CN102959675A - 介电质基板的等离子体浸没离子植入的控制装置 - Google Patents

介电质基板的等离子体浸没离子植入的控制装置 Download PDF

Info

Publication number
CN102959675A
CN102959675A CN201180031969XA CN201180031969A CN102959675A CN 102959675 A CN102959675 A CN 102959675A CN 201180031969X A CN201180031969X A CN 201180031969XA CN 201180031969 A CN201180031969 A CN 201180031969A CN 102959675 A CN102959675 A CN 102959675A
Authority
CN
China
Prior art keywords
plasma
substrate
electrode
insulator
voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201180031969XA
Other languages
English (en)
Inventor
提摩太·J·米勒
维克拉姆·辛
卢多维克·葛特
克里斯多夫·J·里维特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Publication of CN102959675A publication Critical patent/CN102959675A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/542Controlling the film thickness or evaporation rate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

一种介电质基板的等离子体浸没离子植入的控制装置,包括配置在等离子体处理室中所产生的等离子体上方的电极。利用电位高于用以接收离子植入的基板或阴极的电位的负电压脉冲而对该电极施予偏压。电极的电位较低,以便对由该电极产生的当作二次电子的电子给予足够的能量来克服基板周围的高电压鞘层的负电压而使电子到达基板。这些电子将加速朝向基板以便中和基板上的电荷聚集。

Description

介电质基板的等离子体浸没离子植入的控制装置
技术领域
本发明涉及一种等离子体处理系统(plasma processing systems),尤其涉及一种改善及调节用于等离子体浸没离子植入(plasma immersionion implantation,PIII)的绝缘目标基板(insulating target substrates)的电压耦合的装置及方法。
背景技术
等离子体(plasmas)以多种方式使用于将各种掺杂物(dopants)植入晶圆(wafers)或基板(substrates)的半导体制程(semiconductor processing)中,以便沉积(deposit)或蚀刻(etch)薄膜(thin films)。此种制程包含目标基板表面上或表面之下的离子(ions)的定向沉积(directional deposition)或掺杂。其他的制程包括等离子体蚀刻,其中蚀刻物种的定向性(directionality)决定要蚀刻的沟槽(trenches)的品质。
通常,等离子体浸没离子植入(亦称为等离子体掺杂(plasma doping,PLAD))将掺杂物植入基板。藉由供应能量给导入处理室(chamber)中的中性气体(neutral gas)可产生等离子体以形成要植入至目标基板的带电载子(charged carriers)。等离子体掺杂(PLAD)系统通常使用于需要浅接面(shallow junctions)的半导体元件制造,其离子植入的能量较低因而使掺杂物离子受限于目标基板或晶圆的表面附近。在这些情况下,植入的深度是与施加至晶圆与等离子体掺杂(PLAD)系统或工具的等离子体处理室内的阳极(anode)之间的电压有关。尤其,在处理室内将晶圆定位于当作阴极(cathode)的平台(platen)上。包含想要的掺杂物材料的可离子化气体(ionizable gas)将导入至等离子体处理室。此气体藉由几种等离子体产生方法之一予以离子化,其中包括(但不局限于)直流辉光放电(DC glowdischarge)、电容耦合射频(capacitively coupled RF)、电感耦合射频(inductively coupled RF)等等。
一旦产生等离子体,在此等离子体与所有的周围表面(包含目标基板)之间将存在等离子体鞘层(sheath)。相较于目标基板表面上相反的负电荷,鞘层本质上是具有较大密度的正电离子(亦即,过多的正电荷)的等离子体中的层。接着施加负电压的偏压至平台及基板,以便离子可从等离子体跨越等离子体鞘层而植入或沉积在晶圆上达到与所施加的偏压成正比的深度。
使用等离子体掺杂(PLAD)工具的植入通常局限于导电的基板或半导电的(例如硅)工件(workpiece),这是因为能够施加偏压至导电的基板以便吸引离子跨越等离子体鞘层而植入其中。为了制造某些类型的元件,需要将特殊的掺杂物植入至例如玻璃、石英(quartz)等等的绝缘基板或绝缘体基板(insulator substrates)之中。然而,为了维持适当的基板偏压来吸引离子跨越等离子体鞘层而植入,将难以经由绝缘基板来耦合电压。尤其,对于较厚的绝缘基板,比基板表面上方的等离子体鞘层的电容小的绝缘体基板的电容将限制电压耦合。这导致一种在跨越基板时下降大部分的电压的分压器电路(voltage divider circuit)。对于使用于例如平面显示器(flat panel displays)的薄绝缘基板,电压的合理的部分与基板耦合但迅速衰退。这是部分由于在植入离子时绝缘体基板的正电充电,并且部分由于在离子撞击绝缘体基板表面时产生二次电子(secondaryelectrons)所造成。
这大略地显示于图1,此图是典型的等离子体掺杂(PLAD)工具的某些电位的功能图。绝缘体基板1配置在导电平台2上。藉由将反应气体(reactive gas)导入至处理室来产生等离子体3是所属技术领域众所周知的。位于所产生的等离子体与绝缘体基板1的表面的间的鞘层4具有如下所示的有效植入电压(effecive implant voltage)(Veff):
V eff = V o ( 1 - a ( t ) / V o 1 + b ( t ) )
其中a(t)表示有效电压的压降,这是由于所植入的离子连同所产生的二次电子所导致的绝缘体基板1的表面放电所造成,并且b(t)表示绝缘体基板1与鞘层4的电容分压器(capacitive divider)。因为目标基板是绝缘体,所以鞘层4的性质改变且可存在电容分压器而降低有效电压。此外,绝缘体目标基板表面上的电荷聚集(charge build-up)进一步降低有效电压。若有效电压太小,则植入制程可能受损。因此,需要减少等离子体掺杂(PLAD)系统中所用的绝缘体目标基板表面上的电荷聚集,这可维持有效电压以提供想要的植入特性。
发明内容
本发明提供一种介电质基板(dielectric substrate)的等离子体浸没离子植入的控制装置及其方法。在本发明的一实施例中,上述的等离子体处理工具包括:等离子体处理室,用以由导入该处理室中的气体产生具有离子的等离子体;平台,用以支撑及电性连接至等离子体掺杂所使用的绝缘体基板,此平台连接至供应第一电位(potential)的负偏压脉冲(negative bias voltage pulses)给平台及基板的电压源;以及电极(electrode),配置在所产生的等离子体上方且接收第二电位的负偏压脉冲,其中第二电位低于第一电位,以便给予第二电极所提供的电子足够的能量来克服基板周围的高电压鞘层的负电压而使电子到达基板。当离子撞击电极时,将产生二次电子,其将以第二电位加速朝向基板以便中和基板上的电荷聚集。
本发明提供一种将等离子体处理工具中的绝缘体目标基板表面上的电荷聚集予以中和的方法,包括:提供反应气体给处理室;激发反应气体以产生具有离子的等离子体;施加第一偏压脉冲至配置在处理室中的绝缘体基板;施加第二偏压脉冲至配置在等离子体上方的电极,其中第二偏压脉冲的电位高于第一偏压脉冲的电位以便吸引离子使朝向电极;在所吸引的离子撞击电极的表面时产生二次电子;以及加速二次电子使朝向绝缘体基板以便中和出现在基板表面上的电荷聚集。
附图说明
图1是现有的一种等离子体掺杂(PLAD)系统或工具的某些电位的功能图。
图2是依照本发明的一实施例的一种简化的等离子体掺杂(PLAD)系统的示意图。
图3是依照本发明的一实施例的图2所示的等离子体掺杂(PLAD)系统的功能图。
图4是依照本发明的一实施例的一种包含闭合回路控制系统的简化的等离子体掺杂(PLAD)系统的示意图。
图5是依照本发明的一实施例的图4所示的等离子体掺杂(PLAD)系统的功能图。
图5A是依照本发明的另一实施例的施加至电极及基板的电压脉冲的曲线图。
图6是依照本发明的一实施例的施加至电极板及平台的脉冲对于目标基板的表面电压的效应的曲线图。
图7是依照本发明的一实施例的脉冲的频率与其对于表面电压的相对应的冲击的曲线图。
具体实施方式
以下,将参考附图更完整地说明本发明,图中显示本发明的较佳实施例。然而,本发明可能以许多不同的形式来实施,因此不应视为局限于在此所述的实施例。更确切地说,提供这些实施例将使本发明的揭示更齐全,且将更完整地传达本发明的范畴给任何所属技术领域中的技术人员。在图中,相同的参考数字皆表示相同的元件。
图2是依照本发明的一实施例的一种简化的等离子体掺杂(PLAD)系统或工具10的示意图。系统10包括具有底座(pedestal)或平台14以支撑绝缘目标基板5的处理室12。一种或多种包含想要的掺杂物特性的反应气体经由穿透处理室12的顶板(top plate)18的进气口(gas inlet)13而送入此处理室。此反应气体可以是例如三氟化硼(BF3)、乙硼烷(B2H6)、五氟化磷(PF5)等等。接着,此反应气体在进入处理室12之前可经由隔板(baffle)11而均匀地散布。连同处理室12的外壁形成阳极的一组线圈(coils)16可经由氧化铝(Al2O3)窗17将射频(radio frequency,RF)电力引入至处理室12。此射频(RF)电力由反应气体产生含掺杂物的等离子体10。偏压经由平台14施加至目标基板5,以从等离子体20抽出带电粒子。平台14与处理室10成电性绝缘且目标基板保持在负电位以吸引等离子体的带正电离子。通常,基板5是利用脉冲直流(DC)电压来施加偏压,以作为阴极。结果,从等离子体20萃取掺杂物离子且掺杂物离子跨越配置在等离子体20与基板5的顶表面之间等离子体鞘层。此离子在偏压脉冲周期期间被植入至基板5。通常,离子剂量(ion dose)是指植入至目标基板的离子数量或离子流(ion current)随着时间而得的积分。偏压对应于离子的植入深度,此深度也可能受到导入至处理室12的反应气体的压力及流量、偏压的持续期间等等影响。
目标基板12可以是用于平面显示器的绝缘基板。目标基板也可以是例如低温多晶硅(low-temperature polycrystalline silicon,LTPS)、薄膜电晶体(thin film transistors,TFT)、有机发光二极体(organic light emittingdiodes,OLED)、太阳能电池(solar cells)等等。如上所述,因为目标基板是绝缘体(例如玻璃、石英等等),所以目标上方的鞘层变成电容分压器,这是由于目标基板5的电容低于等离子体与目标基板5表面之间的鞘层的电容。这降低有效植入电压Veff(如上述方程式1所示),绝缘的目标基板5表面上的电荷聚集进一步降低此电压。尤其,当利用直流(DC)电压脉冲来施加偏压至目标基板5以吸引离子跨越等离子体鞘层时,电荷倾向于累积在基板5的表面上。当等离子体植入制程的脉冲周期较低时,这种电荷聚集倾向于藉由出现在等离子体20中的电子而有效率地予以中和。然而,当增加脉冲周期以便达到想要的生产量且维持某些现代元件所需的掺杂浓度时,在非脉冲周期期间可中和基板5上的电荷聚集的时间较短。因此,电荷聚集发生在基板5的表面上。这可能导致基板上有较高的电位电压,因而造成掺杂不均匀性、电弧(arcing)以及元件损害。换言之,电荷聚集与降低的有效电压都对植入制程产生负面效应。
提供电子(负电荷)源给基板5可中和绝缘的目标基板5表面上的电荷聚集。这可藉由提供例如平板形状的电极25而达成,其配置在隔板11下方,且因隔板11通常是地电位而藉由绝缘部分(insulating portion)26来与电极25绝缘。电极25是与等离子体环境相容的导电材料且可以是例如铝、低电阻率碳化硅(SiC)或硅涂层铝(Silicon coated aluminum)。或者,电极25可以与隔板11一体成形,在此情况下隔板11与处理室12的外壁电气隔离且用以维持想要的电极电位以便中和该目标基板5表面上的电荷聚集。通常,基板5上的电荷聚集藉由离子撞击电极板(electrodeplate)25的表面所产生的二次电子而予以中和,二次电子以电极板25上的电位而加速朝向阴极(基板5)。
这可藉由图3获致较佳的理解,此图是只显示等离子体掺杂(PLAD)工具10内部的功能图,图中显示如何利用电极板25来产生二次电子(多个)以便中和绝缘基板5上的电荷聚集。须知图2所示的一部分元件未包含于图3是为了便于解释才予以排除。电极板25位于平台14及绝缘基板5所形成的阴极对面。电极板25利用电压脉冲30来施予负偏压。脉冲30是与施加至平台14且用以吸引离子从等离子体20跨越鞘层20a而进入绝缘体基板5的偏压脉冲35同步。然而,因为电极板25利用高于基板5表面的电位的电压来施予负偏压,所以吸引等离子体20的离子跨越鞘层20b而到电极板25。撞击电极板25表面的离子产生二次电子,并且这些二次电子以电压脉冲30来施加至电极板25的电位而加速朝向绝缘体5及平台14所形成的阴极。
当这些二次电子到达鞘层20a时,二次电子会被减速。因为电极板25的电压稍微高于绝缘体基板5的电压,所以电极板所产生的二次电子将利用非常低的能量(例如通常少于100伏特(ev))经由基板5周围的高电压鞘层而到达基板5。这些电子用来中和基板5表面上的电荷聚集。理想的情况下,对于植入基板5且产生聚集在其表面上的正电荷的每一个离子,一个从电极板25到达基板5的二次电子将中和一个相对应的正电荷。可最大化电极板25的二次电子产量以便充分地中和基板5表面上的电荷聚集。这可藉由确保电极板25的面积大于基板5的面积而予以达成。此外,电极板25可设定为具有表面粗糙度以增加电极板25的入射角(incident angle),因而增加二次电子产量。或者,可机械加工或处理电极板25的表面以增加离子入射的可能性及/或可加热电极板至其最大热稳定性(thermal stability)。藉由加热电极板,可增加导电带(conduction band)的电子的能量,因而增加从表面发射电子的可能性。
图4是依照本发明的一实施例的一种利用闭合回路控制系统(closedloop control system)的简化的等离子体掺杂(PLAD)系统100的示意图。通常,系统100包括处理室112,其具有底座或平台114以支撑绝缘目标基板105。一种或多种包含想要的掺杂物特性的反应气体将经由穿透处理室112的顶板118的进气口113而送入至此处理室。配置在进气口113附近的隔板111用以均匀地散布导入至处理室112中的反应气体。射频(RF)电力将供应给配置在处理室112的外壁周围的多个垂直线圈及水平线圈140。这射频(RF)能量使供应给处理室112的来源气体离子化以产生具有想要的掺杂物特性的等离子体105。负偏压脉冲将经由平台114而施加至目标基板105以便由等离子体120抽出带电粒子以跨越鞘层而植入至基板。
如上关于图2所述,由于植入至绝缘体目标基板的离子与二次电子的产生而在绝缘体基板105表面上发生电荷聚集。为了控制等离子体120所产生的离子植入至绝缘体基板105的深度,必须控制绝缘体基板表面的电压。电极125配置在位于绝缘部分126上的隔板111的下方处且朝向等离子体120。或者,电极125可与隔板111一体成形,如上关于图1所述。一闭合回路控制系统配置在处理室112中且藉由屏蔽环(shieldring)150、绝缘层(insulating layer)155以及金属层(metal layer)160来界定。此闭合回路系统用以在植入制程期间控制绝缘体目标基板105(例如玻璃、石英等等)的电压,其方式为本质上模仿绝缘体基板105及平台114的结构且利用这种测量来施加偏压至电极125以便从等离子体吸引离子及控制二次电子导向基板以中和其上的电荷聚集。
尤其,选择具有与绝缘基板105相同性质的绝缘层155。绝缘层155配置在屏蔽环150上。屏蔽环150与平台114电性连接且当作其延伸。以这种方式,施加至平台114的偏压脉冲将同样施加至屏蔽环150。金属层160较薄,通常是十微米的厚度,并且用以监测绝缘体目标基板的电压。所监测的电压表示要植入的绝缘体目标基板105表面的电压。根据所监测的电压,可控制供应给电极板125的电压脉冲以便从等离子体120吸引离子。这反过来决定用以中和绝缘体基板105表面上的电荷聚集的二次电子的产生。
图5是只显示一种具有闭合回路控制系统的等离子体掺杂(PLAD)工具100的内部的功能图。平台114用以支撑目标绝缘体基板105。电极板125位于平台114及目标绝缘体基板105所形成的阴极对面。电极板125利用电压脉冲130来施予负偏压。脉冲130是与施加至平台114且用以吸引离子从等离子体120跨越鞘层120a而进入至绝缘体基板105的偏压脉冲135同步。
闭合回路系统包括与平台114电性连接且为其延伸的屏蔽环150。绝缘体155配置在位于绝缘体基板105的周边附近的屏蔽环150上。这允许施加至平台114的偏压也同样施加至屏蔽环150,因而也施加至绝缘体155。藉由分别将屏蔽环与绝缘体配置在平台114与目标绝缘体基板105的周边附近,闭合回路系统可模仿基板105所接收的植入制程。金属层160配置在绝缘体155上且与电压监测器(voltage monitor)(探测器(probe))165连接以测量绝缘体155的表面电压。因为绝缘体155配置在基板105的周边附近,所以所测量的绝缘体155表面的电压被视为在绝缘体基板105表面上产生的电荷聚集。根据所测量的绝缘体155表面上的电压,可调整及/或控制施加至电极125的电压脉冲130,使得离子撞击电极125表面所产生的二次电子的数目足以获得绝缘体目标基板105的想要的表面电压。
图6显示施加至电极125的单一脉冲130的效应,为了便于解释,使该单一脉冲130偏离施加至平台114及基板105的脉冲135。这些脉冲显示为偏移5微秒(μS)以便显示电极偏压对于表面电荷聚集的冲击。图中可看出,当偏压脉冲210施加至平台114时,绝缘体105的表面电压将减少。当偏压脉冲220施加至电极125时,将藉由离子撞击电极125表面来产生二次电子。图中可看出,绝缘体105的表面电压以正斜率增加直到电压脉冲210结束为止,并且绝缘体105的表面电压对于剩余的电极脉冲220而言在产生突波后保持水平。
此外,可调整施加至电极板125的电压脉冲130的宽度以提供较长的脉冲来吸引离子朝向此板,藉以增加所产生的二次电子的数目。并且,多个施加至电极125的电压脉冲可发生在一个施加至基板的脉冲的时序内。尤其,图5a显示发生在一个施加至绝缘体基板105的脉冲135的时序内的多个施加至电极板125的电压脉冲130。所施加的脉冲的宽度、持续期间、电压准位以及数目用来控制基板表面上的电压聚集。
或者,可控制电极125的温度,这会影响离子撞击表面时所产生的二次电子的数目。这可作为中和基板表面上的电荷聚集的初始控制,而未使用由屏蔽环150、绝缘体155以及金属层160所构成的控制回路系统。以这种方式,一旦藉由改变电极125的温度来管理基板表面上的电荷聚集的初始控制,则闭合回路控制系统可用以微调二次电子的产生及中和该电荷聚集。
图7是脉冲的频率与其对于绝缘体105的表面电压的相对应的冲击的曲线图。图中可看出,当施加至电极125的脉冲的频率增加时,绝缘体105的表面电压可维持较为恒定的状态。
虽然本发明已经参考某些实施例揭示如上,但是在不脱离本发明的精神和范围内,所述的实施例可存在许多修改、替换以及改变,如同后附的申请专利范围所界定者。因此,本发明并未局限于所述的实施例,而本发明的保护范围包含下列申请专利范围的文字叙述及其等效所界定的全部范围。

Claims (15)

1.一种等离子体处理工具,包括:
等离子体处理室,用以由导入该处理室中的气体产生具有多个离子的等离子体;
平台,用以支撑及电性连接至等离子体掺杂用的绝缘体基板,该平台连接至电压源,该电压源供应第一电位的多个负偏压脉冲给该平台及该基板;以及
电极,配置在所产生的该等离子体的上方,该电极接收第二电位的多个负偏压脉冲,该第二电位大于该第一电位,其中该多个离子撞击用来产生多个二次电子的该电极的表面,该多个二次电子以该第二电位加速朝向该基板,以中和该基板上的电荷聚集。
2.根据权利要求1所述的等离子体处理工具,还包括:
隔板,配置在该电极上方且与该平台相隔一距离;以及
绝缘部分,配置在该隔板与该电极之间以电气隔离该电极。
3.根据权利要求1所述的等离子体处理工具,其中该电极是具有第一表面的电极板,该表面指向该平台,该第一表面的轮廓可被组构以增加与该电极上的该多个离子有关的入射角。
4.一种将等离子体处理工具中的绝缘体目标基板表面上的电荷聚集予以中和的方法,包括:
提供反应气体给处理室;
激发该反应气体以产生具有多个离子的等离子体;
施加多个第一偏压脉冲至配置在该处理室中的绝缘体基板;
施加多个第二偏压脉冲至配置在该等离子体上方的电极,该多个第二偏压脉冲的电位高于该多个第一偏压脉冲的电位,以吸引该多个离子朝向该电极;
当所吸引的该些离子撞击该电极的表面时产生多个二次电子;以及
加速所产生的该多个二次电子使朝向该绝缘体基板,以中和出现在该基板的表面的电荷聚集。
5.根据权利要求4所述的将等离子体处理工具中的绝缘体目标基板表面上的电荷聚集予以中和的方法,其中该些第二偏压脉冲是负电位且该多个第一偏压脉冲与该多个第二偏压脉冲同步。
6.根据权利要求4所述的将等离子体处理工具中的绝缘体目标基板表面上的电荷聚集予以中和的方法,其中该多个二次电子以对应于该多个第二偏压脉冲的电位而加速朝向该绝缘体基板。
7.根据权利要求6所述的将等离子体处理工具中的绝缘体目标基板表面上的电荷聚集予以中和的方法,还包括在该些二次电子到达该绝缘体基板的表面之前使该多个二次电子减速。
8.根据权利要求4所述的将等离子体处理工具中的绝缘体目标基板表面上的电荷聚集予以中和的方法,还包括在施加该多个第二偏压脉冲之前加热该电极。
9.根据权利要求4所述的将等离子体处理工具中的绝缘体目标基板表面上的电荷聚集予以中和的方法,还包括经由配置在该绝缘体基板上方的隔板而在该处理室内散布该反应气体,该电极配置在该隔板的指向该绝缘体基板的一侧上。
10.一种监测等离子体浸没离子植入的装置,包括:
等离子体处理室,用以由导入该处理室中的气体来产生具有多个离子的等离子体;
平台,用以支撑及电性连接至用于植入该多个离子的绝缘体基板,该平台连接至电压源,该电压源供应第一电位的多个负偏压脉冲给该平台及该基板;
屏蔽环,配置在该处理室内且与该平台相邻,该屏蔽环电性连接至该平台且偏压至该第一电位;
绝缘体,配置在该屏蔽环上;
金属层,配置在该绝缘体上,该金属层的电荷对应于在植入该多个离子期间该基板的电荷聚集;以及
探测器,连接至该金属层,以测量该电荷聚集。
11.根据权利要求10所述的监测等离子体浸没离子植入的装置,还包括配置在所产生的该等离子体上方的电极,该电极接收第二电位的多个负偏压脉冲,该第二电位大于该第一电位以便从该等离子体吸引该多个离子且产生多个二次电子,该多个二次电子以该第二电位加速朝向该基板以便中和该基板上的电荷聚集。
12.一种调节经历等离子体浸没离子植入的绝缘体基板的表面电压的方法,包括:
在等离子体处理室内激发反应气体以产生具有多个离子的等离子体;
在该等离子体处理室内监测配置在平台上的绝缘体基板的表面电压;
对与配置在该处理室内所产生的该等离子体上方的电极有关的多个参数之一进行调整;
吸引离子从所产生的该等离子体朝向该电极;
当该多个离子撞击该电极时产生多个二次电子;以及
引导所产生的该多个二次电子朝向该基板以便调节该基板的该表面电压。
13.根据权利要求12所述的调节经历等离子体浸没离子植入的绝缘体基板的表面电压的方法,其中对与该电极有关的该多个参数之一进行调整包括调整该电极的偏压。
14.根据权利要求12所述的调节经历等离子体浸没离子植入的绝缘体基板的表面电压的方法,其中对与该电极有关的该多个参数之一进行调整包括调整施加至该电极的电压脉冲的宽度。
15.根据权利要求12所述的调节经历等离子体浸没离子植入的绝缘体基板的表面电压的方法,还包括施加偏压脉冲至该绝缘体基板,其中对与该电极有关的该多个参数之一进行调整包括调整在施加至该绝缘体基板的该多个脉冲电压的每一个脉冲期间施加至该电极的多个偏压脉冲的数目。
CN201180031969XA 2010-07-02 2011-06-30 介电质基板的等离子体浸没离子植入的控制装置 Pending CN102959675A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/829,794 US20120000421A1 (en) 2010-07-02 2010-07-02 Control apparatus for plasma immersion ion implantation of a dielectric substrate
US12/829,794 2010-07-02
PCT/US2011/042623 WO2012003339A1 (en) 2010-07-02 2011-06-30 Control apparatus for plasma immersion ion implantation of a dielectric substrate

Publications (1)

Publication Number Publication Date
CN102959675A true CN102959675A (zh) 2013-03-06

Family

ID=44504168

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180031969XA Pending CN102959675A (zh) 2010-07-02 2011-06-30 介电质基板的等离子体浸没离子植入的控制装置

Country Status (6)

Country Link
US (1) US20120000421A1 (zh)
JP (1) JP2013537706A (zh)
KR (1) KR20130026489A (zh)
CN (1) CN102959675A (zh)
TW (1) TW201216320A (zh)
WO (1) WO2012003339A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109417013A (zh) * 2016-06-13 2019-03-01 应用材料公司 用于在等离子体处理期间控制在基板的电压波形的系统与方法
CN111433882A (zh) * 2017-12-20 2020-07-17 瓦里安半导体设备公司 工件加工用的低粒子电容性耦合构件

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US9006065B2 (en) * 2012-10-09 2015-04-14 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
US9783884B2 (en) 2013-03-14 2017-10-10 Varian Semiconductor Equipment Associates, Inc. Method for implementing low dose implant in a plasma system
US9384937B2 (en) * 2013-09-27 2016-07-05 Varian Semiconductor Equipment Associates, Inc. SiC coating in an ion implanter
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
US9450078B1 (en) 2015-04-03 2016-09-20 Advanced Ion Beam Technology, Inc. Forming punch-through stopper regions in finFET devices
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US20240079213A9 (en) * 2017-11-17 2024-03-07 Advanced Energy Industries, Inc. Synchronization of plasma processing components
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP7313929B2 (ja) * 2019-06-26 2023-07-25 住友重機械工業株式会社 負イオン照射装置
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
US11043387B2 (en) * 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020166980A1 (en) * 1998-02-18 2002-11-14 Matsushita Electronics Corporation Apparatus and method for introducing impurity
US20050260837A1 (en) * 2004-05-24 2005-11-24 Varian Semiconductor Equipment Associates, Inc. Methods for stable and repeatable ion implantation
US20060121704A1 (en) * 2004-12-07 2006-06-08 Varian Semiconductor Equipment Associates, Inc. Plasma ion implantation system with axial electrostatic confinement

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06252083A (ja) * 1993-02-25 1994-09-09 Toshiba Corp 半導体のドーピング方法
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US6794301B2 (en) * 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US6335536B1 (en) * 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
US6485572B1 (en) * 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6646277B2 (en) * 2000-12-26 2003-11-11 Epion Corporation Charging control and dosimetry system for gas cluster ion beam
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
US7713430B2 (en) * 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
KR100857845B1 (ko) * 2007-05-29 2008-09-10 주식회사 다원시스 플라즈마 이온 주입 방법 및 장치
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
US20090104761A1 (en) * 2007-10-19 2009-04-23 Varian Semiconductor Equipment Associates, Inc. Plasma Doping System With Charge Control

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020166980A1 (en) * 1998-02-18 2002-11-14 Matsushita Electronics Corporation Apparatus and method for introducing impurity
US20050260837A1 (en) * 2004-05-24 2005-11-24 Varian Semiconductor Equipment Associates, Inc. Methods for stable and repeatable ion implantation
US20060121704A1 (en) * 2004-12-07 2006-06-08 Varian Semiconductor Equipment Associates, Inc. Plasma ion implantation system with axial electrostatic confinement

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109417013A (zh) * 2016-06-13 2019-03-01 应用材料公司 用于在等离子体处理期间控制在基板的电压波形的系统与方法
CN111433882A (zh) * 2017-12-20 2020-07-17 瓦里安半导体设备公司 工件加工用的低粒子电容性耦合构件
CN111433882B (zh) * 2017-12-20 2023-03-28 瓦里安半导体设备公司 使用具有介电涂层的构件的工件加工系统

Also Published As

Publication number Publication date
TW201216320A (en) 2012-04-16
WO2012003339A1 (en) 2012-01-05
US20120000421A1 (en) 2012-01-05
JP2013537706A (ja) 2013-10-03
KR20130026489A (ko) 2013-03-13

Similar Documents

Publication Publication Date Title
CN102959675A (zh) 介电质基板的等离子体浸没离子植入的控制装置
US4434036A (en) Method and apparatus for doping semiconductor material
US8470616B2 (en) Patterned assembly for manufacturing a solar cell and a method thereof
US20100323113A1 (en) Method to Synthesize Graphene
US6182604B1 (en) Hollow cathode for plasma doping system
US7727866B2 (en) Use of chained implants in solar cells
US20120021136A1 (en) System and method for controlling plasma deposition uniformity
US20070068624A1 (en) Apparatus to treat a substrate and method thereof
US20090084987A1 (en) Charge neutralization in a plasma processing apparatus
US20010046566A1 (en) Apparatus and method for direct current plasma immersion ion implantation
JP5424299B2 (ja) イオン注入装置、イオン注入方法、及び半導体装置
US9899193B1 (en) RF ion source with dynamic volume control
CN104508174A (zh) 三维金属沉积技术
CN102471880B (zh) 选择性控制等离子体的离子组成物的系统和方法
US20120000606A1 (en) Plasma uniformity system and method
US20120056101A1 (en) Ion doping apparatus and ion doping method
US20080078959A1 (en) Method for controlling charge amount of ion beam and a wafer applied in the method
US20230369009A1 (en) Ion implantation system
Taki et al. ECR Based Low Energy Ion Beam Facility at VECC, Kolkata
JP2004296112A (ja) イオン注入装置用イオン発生装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130306