CN102832163B - The method forming opening - Google Patents

The method forming opening Download PDF

Info

Publication number
CN102832163B
CN102832163B CN201110160266.9A CN201110160266A CN102832163B CN 102832163 B CN102832163 B CN 102832163B CN 201110160266 A CN201110160266 A CN 201110160266A CN 102832163 B CN102832163 B CN 102832163B
Authority
CN
China
Prior art keywords
layer
hard mask
reflecting
opening
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201110160266.9A
Other languages
Chinese (zh)
Other versions
CN102832163A (en
Inventor
张峰溢
林义博
廖俊雄
蔡尚元
冯郅文
吕水烟
徐庆斌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to CN201110160266.9A priority Critical patent/CN102832163B/en
Publication of CN102832163A publication Critical patent/CN102832163A/en
Application granted granted Critical
Publication of CN102832163B publication Critical patent/CN102832163B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

The present invention preferably discloses a kind of method forming opening.The method includes that the hard mask being initially formed carbon containing, in semiconductor substrate surface, then utilizes the gas without oxygen atom this hard mask to be etched technique, to form the first opening in this hard mask.

Description

The method forming opening
Technical field
The present invention relates to a kind of method making opening, espespecially a kind of producing in hard mask contacts as hole Opening and can avoiding in manufacturing process, make the method that contact hole sidewall produces arcuation profile.
Background technology
Along with the progress of semiconductor technology, the microminiaturization of microelectronic element has enter into deep-sub-micrometer grade, And interval between the density the biggest expression element of the semiconductor element on one chip is the least, this makes The making that must contact hole is more and more difficult.At present, high-aspect-ratio contact hole to be dug out the most smoothly, To expose the conductive region of enough below area, it is still the direction that industry is made great efforts.
The known method making contact hole the most first provides and is provided with the semiconductor-based of multiple semiconductor element The end, wherein semiconductor element can include metal-oxide semiconductor (MOS) (MOS) element such as transistor or resistance. Then at least one dielectric layer and hard mask are sequentially formed on a semiconductor substrate and partly leading of having covered Body member, and utilize patterning photoresist oxidant layer hard mask and dielectric layer are carried out a series of pattern to turn Move technique, to form contact hole in hard mask and dielectric layer.
Include that the etching gas of oxygen atom carries out above-mentioned pattern transfering process it is well known, however, that generally use, and This etching gas is seriously invaded by often making the sidewall of hard mask when of plasma bombardment to hard mask Lose and form the profile (bowing profile) of rough arcuation.It is subsequently stuffed in contact hole and partly leads for connecting The metal material of body member easily cannot be fully filled with whole contact hole just because of the expansion within contact hole First seal hole, make the contact hole central part inserting metal material form gap (seam) and cause element Loose contact and affect the operational effectiveness of whole element.
Summary of the invention
Therefore the main object of the present invention is to provide a kind of method made as contacted the openings such as hole, to solve Current technology make subsequent touch hole produce the problems such as arc profile because of the reason of etching gas.
The preferred embodiment of the present invention is to disclose a kind of method forming opening.It is initially formed the hard mask of carbon containing In semiconductor substrate surface, then utilize the gas without oxygen atom that this hard mask is etched technique, To form the first opening in this hard mask.
Another embodiment of the present invention is to disclose a kind of method forming opening.It is initially formed hard mask and Jie Conductive anti-reflective layer on semiconductor base, then formed the first end anti-reflecting layer on dielectric reflecting-resisting-layer, In the first end anti-reflecting layer and part dielectric reflecting-resisting-layer, form the first opening, form the second end antireflection Layer is on dielectric reflecting-resisting-layer and fills up the first opening, in the second anti-reflecting layer and part dielectric reflecting-resisting-layer Middle formation the second opening and the utilization gas without oxygen atom are etched technique to hard mask, by first Opening and the second opening are transferred in hard mask form multiple 3rd openings.
Further embodiment of this invention is to disclose a kind of method forming opening.It is initially formed hard mask and Jie Conductive anti-reflective layer, on semiconductor base, then forms the first end anti-reflecting layer in this dielectric reflecting-resisting-layer Above, the first end anti-reflecting layer, dielectric reflecting-resisting-layer and hard mask are etched to form first in hard mask Opening, formed the second end anti-reflecting layer on dielectric reflecting-resisting-layer and fill up opening and etching second end resist Reflecting layer, dielectric reflecting-resisting-layer and hard mask, to form the second opening in hard mask, wherein etch and firmly cover It is to utilize the etching gas without oxygen atom during mould.
Accompanying drawing explanation
Fig. 1 to Fig. 3 is the process schematic representation that the preferred embodiment of the present invention forms opening.
Fig. 4 to Fig. 5 is the process schematic representation that another embodiment of the present invention forms opening.
Fig. 6 to Figure 11 is the process schematic representation that another embodiment of the present invention forms opening.
Description of reference numerals
34 contact hole etching stopping layer 36 interlayer dielectric layers
44 hard mask 46 dielectric reflecting-resisting-layers
48 end anti-reflecting layers 54 pattern photoresist oxidant layer
56 opening 60 semiconductor bases
62 end anti-reflecting layers 64 pattern photoresist oxidant layer
80 semiconductor bases 82 contact hole etching stopping layer
84 interlayer dielectric layer 86 hard masks
88 dielectric reflecting-resisting-layer 90 first end anti-reflecting layers
92 patterning photoresist oxidant layer 94 first openings
96 second end anti-reflecting layers 98 pattern photoresist oxidant layer
100 second opening 102 the 3rd openings
Detailed description of the invention
Refer to the technique that Fig. 1 to Fig. 3, Fig. 1 to Fig. 3 are preferred embodiment of the present invention formation opening show It is intended to.As it is shown in figure 1, first provide semiconductor base 60, such as by monocrystal silicon (monocrystalline Silicon), GaAs (gallium arsenide, GaAs) or the semi-conducting material known to other known technologies The substrate constituted.Then establishing criteria metal oxide semiconductor transistor technique is in semiconductor base 60 Surface forms at least one metal oxide semiconductor transistor (not shown), such as p-type metal-oxide half Conductor (PMOS) transistor, N-type metal-oxide semiconductor (MOS) (NMOS) transistor or complementary metal Compound quasiconductor (CMOS) transistor, or other various semiconductor elements.Wherein metal-oxide is partly led Body transistor can respectively have grid structure, clearance wall, source drain, regions and source/drain and silicon are lightly doped Change the standard crystal tubular constructions such as metal level, and grid structure can include polysilicon gate or normal by front high dielectric Number dielectric layer (high-K first) technique or rear dielectric layer with high dielectric constant (high-K last) technique are completed Metal gates.Owing to these techniques are persons skilled in the art, at this without adding repeating.
Then cover be made up of nitride contact hole etching stopping layer (contact etching stop layer, CESL) 34 on metal oxide semiconductor transistor, wherein the thickness of contact hole etching stopping layer 34 It is about 850 angstroms.Contact hole etching stopping layer 34 optionally exists, and contact hole etching stopping layer 34 optionally provide stress to the element of lower section, such as, can make for the situation that lower section is NMOS With there is the contact hole etching stopping layer SiC of stretching stress, can using for the situation that lower section is PMOS There is the contact hole etching stopping layer SiN of compression stress.It it is STI or noncrystal tube elements region in lower section In the case of, contact hole etching stopping layer may be for stretching stress contact hole etching stopping layer and compression stress Composite contact hole etching stopping layer combined by the etching stopping layer of contact hole, and composite contact hole etch stop Interlayer has the cushion that oxide is constituted.
Then form interlayer dielectric layer (interlayer dielectric, ILD) 36 and cover contact hole etch stop Layer 34 surface.In the present embodiment, interlayer dielectric layer 36 can be made up of three kinds of material layers, including by Sub-atmospheric pressure chemical gaseous phase deposition (sub-atmospheric pressure chemical vapor deposition, SACVD) dielectric layer that technique is formed, phosphorosilicate glass (phosphosilicate glass, PSG) layer and four Ethyl oxosilane (tetraethylorthosilicate, TEOS) layer.The thickness of the most whole interlayer dielectric layer 36 It is about thousands of angstroms, preferably about 3150 angstroms;The thickness of dielectric layer is about hundreds of angstroms, and preferably 250 Angstrom;The thickness of phosphorosilicate glass (phosphosilicate glass, PSG) layer about between 1000 angstroms to 3000 angstroms, And preferably 1900 angstroms;And the thickness of tetraethyl oxosilane layer is about between 100 angstroms to 2000 angstroms and excellent Elect 1000 angstroms as.Interlayer dielectric layer 36 in addition to being composite layer, also homogenous material layer;Layer Between dielectric layer 36 in addition to including above-mentioned material, also can include undoped silica glass (USG), boron phosphorus glass Glass (BPSG), low dielectric constant dielectric materials such as porous dielectric material, carborundum (SiC), nitrogen oxidation Silicon (SiON) or its combination in any.
Then hard mask 44 is formed on interlayer dielectric layer 36 surface.According to the preferred embodiments of the present invention, Hard mask 44 is to be made up of carbonaceous materials such as amorphous carbons (amorphous carbon), and be preferably selected from by The advanced patterned film (advanced pattern film, APF) (trade name) that Applied Materials obtain, Its thickness about between 1000 angstroms to 5000 angstroms, and preferably 2000 angstroms.Sequentially form dielectric the most again Anti-reflecting layer (dielectric anti-reflective coating, DARC) 46 and end anti-reflecting layer (bottom Anti-reflective coating, BARC) 48 on hard mask 44 surface.In the present embodiment, dielectric anti-reflective Penetrate the composite junction that layer 46 can be together constituted with oxide layer by silicon oxynitride (silicon oxynitride, SiON) layer Structure, but it is not limited to this.The wherein thickness of dielectric reflecting-resisting-layer 46 about 250 angstroms, and end anti-reflecting layer The thickness of 48 the most about 1020 angstroms.Dielectric reflecting-resisting-layer 46, end anti-reflecting layer 48 optionally exist, And in addition to inorganic material, above-mentioned two anti-reflecting layers it be also possible to use the organic material formed in spin coating mode.
Then the stacked film of above-mentioned formation is carried out pattern transfering process repeatedly, run through forming opening End anti-reflecting layer 48, dielectric reflecting-resisting-layer 46, hard mask 44, interlayer dielectric layer 36 and the etching of tactile hole Stop-layer 34 grade also exposes beneath metal oxide semiconductor transistor, such as the source/drain of transistor Territory, polar region etc..Such as, it is initially formed and is applicable to the patterning photoresist oxidant layer 54 of wavelength about 193 nanometer On above-mentioned stacked film and expose partial bottom anti-reflecting layer 48 upper surface, wherein pattern photic The thickness of resist layer 54 is about 1800 angstroms.Then optionally by CO and O2Mixing etching Patterning photoresist oxidant layer 54 is gone residue (descum) step, to remove photoresist by gas The unnecessary residue that may produce because of poor visualization in exposure with developing process.
Then as in figure 2 it is shown, utilize patterning photoresist oxidant layer 54 as mask to end anti-reflecting layer 48 carry out pattern transfering process, such as, utilize CF4And CH2F2Mixing etching gas remove part End anti-reflecting layer 48 and dielectric reflecting-resisting-layer 46, thus will be patterned into the opening figure of photoresist oxidant layer 54 Case is transferred in end anti-reflecting layer 48 and dielectric reflecting-resisting-layer 46 and exposes the hard mask 44 of lower section.
Then as it is shown on figure 3, carry out another figure with patterning photoresist oxidant layer 54 as mask again Case shifting process, such as, utilize the etching gas without oxygen atom to remove the hard mask 44 of part, thus End anti-reflecting layer 48 is persistently transferred in hard mask 44 with the opening in dielectric reflecting-resisting-layer 46 with shape Become the hard mask of patterning.In the present embodiment, H should be preferably selected from without the gas of oxygen atom2、N2、 He、NH3、CH4And C2H4.It is furthermore noted that, utilize the etching gas without oxygen atom to carry out figure Also can remove the patterning photoresist being located at above hard mask 44 during case hard mask 44 simultaneously Oxidant layer 54 and end anti-reflecting layer 48, to form opening 56 in hard mask 44.
Interlayer dielectric layer 36 and the etching of contact hole are stopped by the hard mask 44 that can pattern subsequently as mask Only layer 34 is etched technique, such as, utilize containing C4F6, the mixed gas of O and Ar remove part Interlayer dielectric layer 36, and then opening 56 pattern in hard mask 44 is transferred to interlayer dielectric layer 36 With contact hole etching stopping layer 34, with complete the preferred embodiment of the present invention make opening method.
Along with live width is more and more less, due to technique now cannot only with pattern transfering process from aforementioned firmly Required patterns of openings is gone out defined in mask, the most current commonly used double exposure twice development of collocation Mode obtains required patterns of openings.Then refer to Fig. 4 to Fig. 5, it will for the embodiment of the present invention The method collocation of above-mentioned formation opening is to double exposure and the schematic diagram of twice developing process.
As shown in Figure 4, the opening 56 that the earlier figures that continues 3 is formed in hard mask 44, the present invention can depend on Sequence forms another end anti-reflecting layer 62 and patterning photoresist oxidant layer 64 in dielectric reflecting-resisting-layer 46 On, the opening 56 during wherein end anti-reflecting layer 62 preferably fills up dielectric reflecting-resisting-layer 46.
Then as it is shown in figure 5, carry out another erosion first with patterning photoresist oxidant layer 64 as mask Carving technology is to remove partial bottom anti-reflecting layer 62 and dielectric reflecting-resisting-layer 46 and to expose the hard mask of lower section 44.Then the recycling etching gas without oxygen atom carrys out the hard mask 44 of etching part, is thus resisted at the end Opening in reflecting layer 62 and dielectric reflecting-resisting-layer 46 is persistently transferred in hard mask 44 form pattern The hard mask changed.The most removable patterning photoresist oxidant layer 64, end anti-reflecting layer 62 resist with dielectric Reflecting layer 46, then first embodiment above directly utilizes the hard mask 44 of patterning as mask erosion The interlayer dielectric layer 36 of the side of inscribing with contact hole etching stopping layer 34, with complete the present embodiment make opening Technique.
Then refer to Fig. 6 to Figure 11, it is the another embodiment of the present invention method by above-mentioned formation opening Collocation is to double exposure and the schematic diagram of twice developing process.As shown in Figure 6, first provide semiconductor-based The end 80, can be as brilliant in first embodiment forms at least one metal-oxide semiconductor (MOS) according to process requirements on it Body pipe (not shown), such as P-type mos (PMOS) transistor, N-type metal-oxide Quasiconductor (NMOS) transistor or CMOS complementary metal-oxide-semiconductor (CMOS) transistor, or other are each Formula semiconductor element.
The most sequentially cover on semiconductor element contact hole etching stopping layer 82, interlayer dielectric layer 84, Hard mask 86, dielectric reflecting-resisting-layer the 88, first end anti-reflecting layer 90 and patterning photoresist oxidant layer 92.Wherein contact hole etching stopping layer 82, interlayer dielectric layer 84, hard mask 86, dielectric reflecting-resisting-layer 88 and first the material of end anti-reflecting layer 90 etc. can be equal to previous embodiment, at this without adding repeating.
Then first to pattern photoresist oxidant layer 92 as mask to the first end anti-reflecting layer 90 and dielectric Anti-reflecting layer 88 carries out pattern transfering process, such as, utilize CF4And CH2F2Mixing etching gas Remove the first end anti-reflecting layer 90 and the part dielectric reflecting-resisting-layer 88 of part.In the present embodiment, this Etching step is the most only removed the dielectric reflecting-resisting-layer 88 of about half thickness and is not exposed from firmly covering of lower section Mould 86, subsequently as it is shown in fig. 7, remove patterning photoresist oxidant layer 92 and remaining first end anti-reflective Penetrate layer 90, to form the first opening 94 in dielectric reflecting-resisting-layer 88.
The most as shown in Figure 8, the second end anti-reflecting layer 96 and patterning photoresist are sequentially formed Layer 98 is on dielectric reflecting-resisting-layer 88, and wherein the second end anti-reflecting layer 96 preferably fills up dielectric reflection The first opening 94 in layer 88.Then as it is shown in figure 9, first with patterning photoresist oxidant layer 98 As mask, the second end anti-reflecting layer 96 is carried out another pattern transfering process with dielectric reflecting-resisting-layer 88, Remove second end of part anti-reflecting layer 90 and the dielectric reflecting-resisting-layer 88 of a half thickness and be not exposed from lower section Hard mask 86.Then patterning photoresist oxidant layer 98 and remaining second end anti-reflecting layer 96 are removed, To form the second opening 100 in dielectric reflecting-resisting-layer 88.
As shown in Figure 10, first remove bottom the first opening 94 and the second opening 100 with one etch process Remaining dielectric reflecting-resisting-layer 88 also exposes hard mask 86, the most again with remaining dielectric reflecting-resisting-layer 88 carry out another etch process as mask, to form multiple 3rd opening 102 in hard mask 86. Such as the method for first embodiment etch hard mask 86, the present embodiment is preferably with the etching without oxygen atom Hard mask is removed the hard mask 86 of part forming the 3rd opening 102 by gas, and does not contains oxygen atom Gas is preferably selected from H2、N2、He、NH3、CH4And C2H4
The most as shown in figure 11, with remaining dielectric reflecting-resisting-layer 88 as mask, or residue is first removed Dielectric reflecting-resisting-layer 88, using patterning hard mask 86 as mask to interlayer dielectric layer 84 and contact Hole etching stopping layer 82 is etched technique, and then is turned by the 3rd opening 102 pattern in hard mask 86 Move to interlayer dielectric layer 84 and contact in hole etching stopping layer 82, to complete another embodiment of the present invention system The method making opening.It is noted that example of the present invention is in by the not office of the opening produced by said method It is limited to circle, can be formed along the transverse axis extension of grid according to the technique disclosed by the various embodiments described above again The groove (slot contact opening) of rough rectangle, is then further filled with required metal material to form square Shape contact plunger, this embodiment also belongs to the scope that the present invention is contained.
In sum, the present invention utilizes without oxygen atom when mainly etching patterns of openings in stacked film Etching gas the hard mask in stacked film is etched, to form required opening in hard mask Figure.According to the preferred embodiments of the present invention, hard mask is preferably selected from the APF that Applied Materials obtain Thin film, and it is preferably selected from H without the etching gas of oxygen atom2、N2、He、NH3、CH4And C2H4。 Due to known frequently with CO/O2/CO2Hard mask would generally be produced lateral by the etching gas based on Deng Etching (side etch), can cause again opening short and small in addition to being susceptible to the variation of locality critical live width. Therefore it is etched just can maintaining good hard mask profile with the gas without oxygen atom, and obtains excellent Average boundary line width (critical dimension uniformity).Secondly, when critical live width down reduces Time, with the gas without oxygen atom, hard mask is etched not only can maintaining good opening verticality, Can avoid again known because use containing oxygen atom etching gas and cause opening randomization (hole distortion) Problem.
The foregoing is only the preferred embodiments of the present invention, all equivalents done according to the claims in the present invention become Change and modify, all should belong to the covering scope of the present invention.

Claims (13)

1. the method forming opening, including:
Form the hard mask of carbon containing in semiconductor substrate surface;
Sequentially form dielectric reflecting-resisting-layer, end anti-reflecting layer and patterning photoresist oxidant layer firmly to cover in this On mould;
Utilize this patterning photoresist oxidant layer to carry out the second etch process, with in this end anti-reflecting layer and should Dielectric reflecting-resisting-layer is formed the second opening;And
Utilize the gas without oxygen atom and this patterning photoresist oxidant layer that this hard mask is carried out first Etch process, to form the first opening in this hard mask.
2. the method for claim 1, wherein this hard mask includes amorphous carbon.
3. the method for claim 1, should the gas without oxygen atom be wherein selected from H2、N2、 He、NH3、CH4And C2H4
4. the method for claim 1, separately includes before wherein forming this hard mask forming grid knot Structure is in this semiconductor substrate surface, and this grid structure is provided with contact hole etching stopping layer and dielectric layer.
5. method as claimed in claim 4, wherein this grid structure includes polysilicon gate or metal gate Pole.
6. method as claimed in claim 4, separately includes utilizing this first horizontal stroke being opened on this grid structure Axle definition square type groove.
7. the method forming opening, including:
Form hard mask and dielectric reflecting-resisting-layer on semiconductor base;
Form the first end anti-reflecting layer on this dielectric reflecting-resisting-layer;
In this first end anti-reflecting layer and this dielectric reflecting-resisting-layer of part, form the first opening, be not exposed from This hard mask of lower section;
Form the second end anti-reflecting layer and and fill up this first opening on this dielectric reflecting-resisting-layer;
In this second end anti-reflecting layer and this dielectric reflecting-resisting-layer of part, form the second opening, be not exposed from This hard mask of lower section;And
Utilize, without the gas of oxygen atom, this hard mask be etched technique, by this first opening and this Two openings are transferred in this hard mask form multiple 3rd openings.
8. method as claimed in claim 7, wherein this hard mask includes amorphous carbon.
9. method as claimed in claim 7, should the gas without oxygen atom be wherein selected from H2、N2、 He、NH3、CH4And C2H4
10. method as claimed in claim 7, separately includes before wherein forming this hard mask forming grid Structure is in this semiconductor substrate surface, and this grid structure is provided with contact hole etching stopping layer and dielectric Layer.
11. methods as claimed in claim 10, wherein this grid structure includes polysilicon gate or metal Grid.
12. methods as claimed in claim 7, separately include after wherein forming this second opening:
Remove this dielectric reflecting-resisting-layer of part under this first opening and this second opening hard to expose this Mask;And
This dielectric reflecting-resisting-layer remaining is utilized to carry out this etch process, many to form this in this hard mask Individual 3rd opening.
13. methods as claimed in claim 10, separately include utilizing the plurality of 3rd to be opened on this grid knot The transverse axis definition square type groove of structure.
CN201110160266.9A 2011-06-15 The method forming opening Active CN102832163B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201110160266.9A CN102832163B (en) 2011-06-15 The method forming opening

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201110160266.9A CN102832163B (en) 2011-06-15 The method forming opening

Publications (2)

Publication Number Publication Date
CN102832163A CN102832163A (en) 2012-12-19
CN102832163B true CN102832163B (en) 2016-11-30

Family

ID=

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1914715A (en) * 2004-01-30 2007-02-14 应用材料公司 Techniques for the use of amorphous carbon(apf) for various etch and litho integration scheme
CN1930670A (en) * 2004-03-12 2007-03-14 应用材料公司 Method of depositing an amorphous carbon film for metal etch hardmask application
CN101174099A (en) * 2006-07-18 2008-05-07 应用材料公司 Graded arc for high na and immersion lithography
CN101819933A (en) * 2010-02-11 2010-09-01 中微半导体设备(上海)有限公司 Plasma etching method for carbon-containing bed

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1914715A (en) * 2004-01-30 2007-02-14 应用材料公司 Techniques for the use of amorphous carbon(apf) for various etch and litho integration scheme
CN1930670A (en) * 2004-03-12 2007-03-14 应用材料公司 Method of depositing an amorphous carbon film for metal etch hardmask application
CN101174099A (en) * 2006-07-18 2008-05-07 应用材料公司 Graded arc for high na and immersion lithography
CN101819933A (en) * 2010-02-11 2010-09-01 中微半导体设备(上海)有限公司 Plasma etching method for carbon-containing bed

Similar Documents

Publication Publication Date Title
US10043714B2 (en) Elongated contacts using litho-freeze-litho-etch process
TWI732102B (en) Semiconductor device and fabrication method thereof
US10930564B2 (en) Metal gate structure cutting process
US9059085B2 (en) Method of forming an integrated circuit using a patterned mask layer
US20190164741A1 (en) Cut Metal Gate Process for Reducing Transistor Spacing
KR101175247B1 (en) Method for manufacturing semiconductor device using spacer patterning
CN106711042A (en) Method and structure for semiconductor mid-end-of-line (MEOL) process
US20060073699A1 (en) Method for fabricating semiconductor device
DE102021100877B4 (en) Centerline air gap interconnect structure and method of making same
TWI792360B (en) Methods for forming semiconductor devices and method for manufacturing an integrated circuit using the same
CN110223956B (en) Porous structure and manufacturing method thereof
CN101330039B (en) Method for eliminating load effect using through-hole plug
US20120021607A1 (en) Method of pitch dimension shrinkage
CN112017946A (en) Forming method of semiconductor structure and transistor
CN110875252B (en) Semiconductor device and method of manufacturing semiconductor device
US10755932B2 (en) Method of manufacturing integrated circuit device
KR100832016B1 (en) Method for fabricating landing plug conatct in semiconductor device
CN102832163B (en) The method forming opening
CN109494149B (en) Method for manufacturing semiconductor structure
TWI705526B (en) Method for producing semiconductor device
US20140264782A1 (en) Formation of a high aspect ratio contact hole
US10103171B2 (en) Metal on elongated contacts
KR20100026198A (en) Method for fabricating semicondoctor device
TWI553739B (en) Method for fabricating an aperture
US7125775B1 (en) Method for forming hybrid device gates

Legal Events

Date Code Title Description
PB01 Publication
SE01 Entry into force of request for substantive examination
GR01 Patent grant