CN102779751B - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
CN102779751B
CN102779751B CN201110121071.3A CN201110121071A CN102779751B CN 102779751 B CN102779751 B CN 102779751B CN 201110121071 A CN201110121071 A CN 201110121071A CN 102779751 B CN102779751 B CN 102779751B
Authority
CN
China
Prior art keywords
layer
dielectric layer
gate dielectric
side wall
sio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201110121071.3A
Other languages
Chinese (zh)
Other versions
CN102779751A (en
Inventor
许高博
徐秋霞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ruili Flat Core Microelectronics Guangzhou Co Ltd
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201110121071.3A priority Critical patent/CN102779751B/en
Publication of CN102779751A publication Critical patent/CN102779751A/en
Application granted granted Critical
Publication of CN102779751B publication Critical patent/CN102779751B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

The application discloses a manufacturing method of a semiconductor device, which comprises the following steps: providing a semiconductor substrate; forming a gate stack on the semiconductor substrate, wherein the gate stack comprises a gate dielectric layer and a sacrificial gate electrode layer, the gate dielectric layer is positioned on the semiconductor substrate, and the sacrificial gate electrode layer is positioned on the gate dielectric layer; forming a side wall around the gate stack; forming source/drain regions on two sides of the gate stack and embedded in the semiconductor substrate; forming SiO on the semiconductor substrate2Layer of said SiO2Spin-coating spin-on glass (SOG) on the layer, and flattening until the sacrificial gate electrode layer is exposed; removing the sacrificial gate electrode layer to form an opening in the side wall; a replacement gate electrode is formed within the opening.

Description

A kind of manufacture method of semiconductor device
Technical field
The present invention relates to sub-micro technical field of semiconductor device, particularly relate to the alternative gate preparation method of a kind of high-k gate dielectric/metal-gate structures semiconductor device, the method adopts sacrifices polygate electrodes as false gate electrode, after flatening process, remove and sacrifice polycrystalline silicon dummy gate electrode, form metal gate electrode.
Background technology
Over more than 40 year, integrated circuit technique is by Moore's Law sustainable development, and characteristic size constantly reduces, and integrated level improves constantly, and function is more and more stronger.At present, the characteristic size of mos field effect transistor (MOSFET) enters sub-50 nm.With the continuous reduction of device feature size, if still adopt traditional polysilicon gate, poly-Si depletion effect will be more and more serious, and polysilicon resistance also will increase thereupon, the boron penetration phenomenon of PMOS can be more remarkable, and these obstacles are by the further raising of serious limiting device performance.In order to overcome above difficulty, industrial quarters starts to adopt high-k, and (high k) gate dielectric/metal gate structure replaces traditional silica/polysilicon grating structure.
In the preparation of high-k gate dielectric/metal gate semiconductor device, if still adopt the preparation technology similar to standard CMOS process flow, namely source/drain is prepared after first preparing metal gate electrode, although technique is simple, mutually compatible with standard CMOS process, and some techniques conventional in standard CMOS process also can adopt in first grid technique, be conducive to saving cost, but there is the shortcoming that some are difficult to overcome in this method: be first the electrology characteristic that ion penetration that metal gate electrode is easily injected into source/drain affects device; Next is that the high-temperature technology activating source/drain impurity can have a great impact the work function of metal gate, and most of metal gate material its work function after the high temperature anneal to forbidden band central mobile, can cause the degeneration of device performance.
Summary of the invention
Main purpose of the present invention is the manufacture method providing a kind of semiconductor device, and the method comprises: provide Semiconductor substrate; Form grid on the semiconductor substrate stacking, described grid are stacking comprises gate dielectric layer and sacrificial gate dielectric layer, and wherein, described gate dielectric layer is positioned in described Semiconductor substrate, and described sacrificial gate dielectric layer is positioned on described gate dielectric layer; Around the stacking formation side wall of described grid; In the stacking both sides of described grid and embed described Semiconductor substrate formed source/drain region; Form SiO on the semiconductor substrate 2layer, at described SiO 2spin coating SOG (Spin On Glass, spin on glass dielectric layer) on layer, and carry out being planarized to described sacrificial gate dielectric layer and expose; Remove described sacrificial gate dielectric layer to form opening in described side wall; Alternative gate electrode is formed in described opening.
According to the manufacture method of this semiconductor device provided by the invention, first form high-k gate dielectric/false gate electrode structure, after completing source/drain injection and activation technology, false gate electrode is removed by planarization, form grid groove, then depositing metal grid again, complete the preparation of high-k gate dielectric/metal gate semiconductor device.The advantage of this rear grid technique is that metal gate electrode is formed after source/drain activation heat annealing process, avoid the impact of high-temperature technology on metal gate characteristic, make device obtain very high stability and consistency, be conducive to forming high performance high-k gate dielectric/metal gate semiconductor device and circuit.
According to embodiments of the invention, adopt SiO 2+ SOG technique realizes planarization.Such as, first, LTO technique is adopted to form SiO 2dielectric layer, can realize preliminary planarization, reduces the difference in height between the stacking and source/drain of grid; Then, SOG is adopted to carry out planarization further.Liquid SOG has good flattening effect, can effectively fill and reduce the difference in height between the stacking and source/drain of grid, reach desirable flattening effect.SiO can be formed after SOG annealing solidification 2dielectric layer, the SiO formed with LTO 2dielectric layer is mutually compatible, is conducive to later stage employing dry etch process and obtains desirable flattening effect.
According to embodiments of the invention, TMAH is adopted to remove polycrystalline silicon dummy gate electrode process.Such as, first, HF acid is adopted to remove the oxide layer of polycrystalline silicon dummy gate top of electrodes; Then, TMAH wet corrosion technique is adopted to remove remaining polysilicon gate electrode.
Accompanying drawing explanation
By referring to the description of accompanying drawing to the embodiment of the present invention, above-mentioned and other objects of the present invention, feature and advantage will be more clear, in the accompanying drawings:
Fig. 1-10 shows the sectional view manufacturing the device architecture that each step obtains in the flow process of semiconductor device according to the embodiment of the present invention;
Figure 11 and 12 shows the electrology characteristic of the HfSiON/TaN/W high-k gate dielectric layer/work-function layer/metal gate conductor structure nMOSFET prepared according to the embodiment of the present invention;
Figure 13 and 14 shows the HfSiAlON/AlN prepared according to the embodiment of the present invention xthe electrology characteristic of the pMOSFET of/Mo high-k gate dielectric layer/work-function layer/metal gate conductor structure.
Description of reference numerals:
1000 Semiconductor substrate;
1001 channel regions;
1002 high-k gate dielectric layers;
1004 sacrificial gate dielectric layer;
1006 hard mask layer (SiO 2);
1008 first side wall (Si 3n 4);
1009 source and drain extension areas;
1010 second side wall (SiO 2);
1012 source/drain regions;
1014 metal silicides;
1016SiO 2dielectric layer;
1018 spin on glass (SOG);
1022 workfunction metal gate electrode layers;
1024 metal gate conductor layers;
1026 isolation (LOCOS).
Embodiment
Below, by the specific embodiment shown in accompanying drawing, the present invention is described.But should be appreciated that, these describe just exemplary, and do not really want to limit the scope of the invention.In addition, in the following description, the description to known features and technology is eliminated, to avoid unnecessarily obscuring concept of the present invention.
Rotating fields schematic diagram according to the embodiment of the present invention shown in the drawings.These figure not draw in proportion, wherein for purposes of clarity, are exaggerated some details, and may eliminate some details.The shape of the various regions shown in figure, layer and the relative size between them, position relationship are only exemplary, in reality may due to manufacturing tolerance or technical limitations deviation to some extent, and those skilled in the art can design the regions/layers with difformity, size, relative position in addition needed for actual.
Fig. 1 ~ 10 show in detail the sectional view manufacturing the device architecture that each step obtains in semiconductor device flow process according to the embodiment of the present invention.Below, with reference to these accompanying drawings, each step according to the embodiment of the present invention is described in detail.
First, as shown in Figure 1, Semiconductor substrate 1000 is provided.Substrate 1000 can comprise any applicable semiconductor substrate materials, can be specifically but be not limited to silicon, germanium, SiGe, SOI (semiconductor-on-insulator), carborundum, GaAs or any III/V compound semiconductor etc.In addition, Semiconductor substrate 1000 can comprise epitaxial loayer alternatively, can by stress changes to strengthen the property.
Semiconductor substrate 1000 can be formed with isolation structure 1026, preferably adopt carrying out local oxide isolation (Local Oxidation of Silicon, LOCOS).Also can adopt other isolation structures in an embodiment of the present invention, isolation structure and purport of the present invention have nothing to do, and repeat no more here.
Then, Semiconductor substrate 1000 forms grid stacking.
For this reason, such as shown in Figure 2, Semiconductor substrate 1000 forms high-k gate dielectric layer 1002.Particularly, Semiconductor substrate 1000 can be cleaned, such as, adopt HF+IPA+H 2o removes natural oxidizing layer.Then, preferably, can adopt rapid thermal anneal process substrate surface formed 5 to siO 2(this layer is very thin, therefore this SiO is not clearly shown in figure for boundary layer 2boundary layer), and adopt magnetron sputtering technique at SiO 2deposit high-k gate dielectric on boundary layer.Such as, for nMOSFET, can deposit HfSiON high-k gate dielectric; For pMOSFET, can deposit HfSiAlON high-k gate dielectric.Then, carry out quick thermal annealing process to high-k gate dielectric, annealing temperature is 700 DEG C to 900 DEG C, thus forms high-k gate dielectric layer 1002.
Then, high-k gate dielectric layer 1002 forming sacrificial gate dielectric layer 1004, such as, can be polysilicon layer.Particularly, LPCVD (Low-Pressure Chemical Vapor Deposition, low-pressure chemical vapor phase deposition) mode can be adopted to form sacrifice polysilicon layer, the thickness of sacrificing polysilicon layer can be 150nm to 190nm.
Then, sacrificial gate dielectric layer 1004 continuing form hard mask layer 1006, such as, can be SiO 2layer.Particularly, LTO (Low-temperature oxidation, low-temperature oxidation) mode can be adopted to form SiO 2hard mask layer, SiO 2hard mask layer thickness can be 40-70nm.At this, the selection of thickness is determined according to the etching of polysilicon gate and side wall below, requires after the etching through grid heap superimposition side wall, SiO 2hard mask layer thickness needs to remain 10-20nm, forms silicide to prevent sacrificing polysilicon layer top.
Then, as shown in Figure 3, patterning etching is carried out to grid structure.Particularly, anti-etching dose of spin coating, antagonism etching agent carries out patterning, is shelter etching SiO with anti-etching dose 2hard mask layer 1006, then removes anti-etching dose, with SiO 2hard mask layer is shelter etching polysilicon layer 1004 and high-k gate dielectric layer 1002, thus it is stacking to form grid.
Then, as shown in Figures 4 and 5, in the stacking both sides of grid around the stacking formation sidewall structure of grid.According to embodiments of the invention, double layer side wall construction or three layers of sidewall structure can be formed.
Such as, first as shown in Figure 4, in the stacking both sides of grid such as, around grid stacking formation first side wall 1008, Si 3n 4side wall.Particularly, PECVD (Plasma-Enhanced Chemical Vapor Deposition, plasma-reinforced chemical vapor deposition) mode can be adopted to form one deck Si 3n 4layer, thickness can be 50-90nm, then adopts dry etch process, such as, be RIE (Reactive-Ion Etching, the reactive ion etching) Si to deposit 3n 4layer etches, and only retains it and is positioned at part on the stacking sidewall of grid, to form Si 3n 4side wall.After formation first side wall 1008, ion implantation can be adopted to form source/drain extension area 1009.Such as, for nMOSFET, As or Sb can be injected; For pMOSFET, BF can be injected 2or In.
Then, as shown in Figure 5, the second side wall 1010, such as SiO is formed at the first side wall 1008 outer ring around the first side wall 1008 2side wall.Particularly, LTO mode can be adopted to form one deck SiO 2layer, thickness can be 80-120nm, then adopts dry etch process to the SiO formed 2layer etches, and only retains it and is positioned at part on the first side wall 1008 sidewall, to form SiO 2side wall.After formation second side wall 1010, ion implantation can be adopted to form source-drain area 1012.Such as, for nMOSFET, As or Sb can be injected; For pMOSFET, BF can be injected 2or In.
According to other embodiments of the invention, can also at the outer formation further of the second side wall 1010 the 3rd side wall, the material of the 3rd side wall preferably includes Si 3n 4.3rd side wall is not shown in figure.
Then, silicide 1014 can be formed on source-drain area.According to embodiments of the invention, silicide can select Ni silicide.
Then, as shown in Figure 6, the Semiconductor substrate being formed with device architecture shown in Fig. 5 forms dielectric layer 1016, such as SiO 2.According to embodiments of the invention, LTO mode can be adopted to form SiO 2dielectric layer, SiO 2thickness of dielectric layers is at 600 to 800nm.
Then, spin coating spin on glass (SOG) 1018.Liquid state SOG can fill the sunk part of wafer surface, reaches the object of device surface planarization.Then to SOG annealing solidification, after making the solvent evaporates in SOG, SiO can be formed 2layer.
Then, as shown in Figure 7, to SiO 2dielectric layer 1016 and SOG layer 1018 carry out further planarization, to expose altered sacrificial gate electrode, to carry out alternative gate process subsequently.Particularly, dry etch process such as can be adopted to etch SOG 1018 to SOG and SiO 2dielectric layer 1016 interface.Then, according to SOG and SiO 2etch rate ratio is 1: 1.2 to 1: 2 dry etching SOG and SiO 2dielectric layer exposes to altered sacrificial gate electrode, now, at source-drain area residue SiO 2thickness of dielectric layers is 50nm to 150nm.
Then, as shown in Figure 8, sacrifice polygate electrodes is removed.Such as, diluted hydrofluoric acid (volume ratio HF: H can be adopted 2o=1: 50 ~ 1: 10) solution corrosion sacrifices the residual oxide layer at polygate electrodes top; Then, adopt tetramethyl aqua ammonia (Tetramethy ammoniumhydroxide, TMAH) solution corrosion to sacrifice polygate electrodes, in side wall, form opening.Preferably, the concentration of TMAH solution is 5%-20% (volume ratio), and temperature is 50-80 DEG C.
Then, as shown in Figure 9, in side wall opening, metal gate is formed.Particularly, high-k gate dielectric 1002 forms workfunction metal gate electrode layer 1022.Such as, for nMOSFET, it can be TaN metal gate electrode layer; For pMOSFET, can be AlN xmetal gate electrode layer.Depositing metal grid conductor layer 1024 on workfunction metal gate electrode layer 1022.Such as, for nMOSFET, it can be W metal gate conductor layer; For pMOSFET, it can be Mo metal gate conductor layer.
Then, as shown in Figure 10, patterning is carried out to metal gate conductor layer 1024 and workfunction metal gate electrode layer 1022, to form final grid structure.Particularly, such as anti-etching dose of spin coating on metal gate conductor layer 1024, antagonism etching agent carries out patterning, and then using plasma dry etching metal gate conductor layer 1024 and workfunction metal gate electrode layer 1022, to form metal-gate structures.At this, preferably anti-etching dose of patterning will cover side wall open outer side 0.5 to 4 μm, thus forms T-shaped metal-gate structures.
In addition, for completing whole device, the electrical contact with source/drain region is formed.Such as, photoetching source/drain through hole, fills Ti/TiN/Al interconnect metallization lines wherein, and patterned etching forms source and drain contact site (not shown).
In the above description, the ins and outs such as composition, etching for each layer are not described in detail.But it will be appreciated by those skilled in the art that and by various means of the prior art, the layer of required form, region etc. can be formed.In addition, in order to form same structure, those skilled in the art can also design the not identical method with method described above.
It is the electrology characteristic of the HfSiON/TaN/W high-k gate dielectric layer/work-function layer/metal gate conductor structure nMOSFET adopting the present invention to prepare shown in Figure 11 and 12.The threshold voltage of this example device is 0.24V, and saturation current is 1.17 × 10 -4a/ μm (| V gS|=| V dS|=1.5V).
The HfSiAlON/AlN adopting the present invention to prepare shown in Figure 13 and 14 xthe electrology characteristic of the pMOSFET of/Mo high-k gate dielectric layer/work-function layer/metal gate conductor structure.The threshold voltage of this example device is-1.29V, and saturation current is 1.38 × 10 -4a/ μm (| V gS|=| V dS|=2.5V).
The manufacture method of this semiconductor device provided by the invention adopts sacrifices polygate electrodes as false gate electrode, effectively avoids first grid technique high-temperature annealing process on the impact of high-k gate dielectric/metal-gate structures electrology characteristic.In concrete preparation technology, what can adopt in following multinomial technology is one or more to improve device performance, comprising:
-adopt double layer side wall construction (as Si 3n 4/ SiO 2) or three layers of sidewall structure (as Si 3n 4/ SiO 2/ Si 3n 4)
Such as, Si is being adopted near metal gate side 3n 4first side wall can effectively prevent high-k gate dielectric and metal gate oxidized, avoid the increase of high-k gate dielectric equivalent oxide thickness and the degeneration of metal gate characteristic.
-adopt SiO 2+ SOG flatening process
First, SiO is formed 2dielectric layer (such as, adopt LTO technique), can realize preliminary planarization, reduces the difference in height between the stacking and source/drain of grid; Then, SOG is adopted to carry out planarization further.Liquid SOG has good flattening effect, can effectively fill and reduce the difference in height between the stacking and source/drain of grid, reach desirable flattening effect.SiO can be formed after SOG annealing solidification 2dielectric layer, the SiO formed with LTO 2dielectric layer is mutually compatible, is conducive to later stage employing dry etch process and obtains desirable flattening effect.
-adopt TMAH wet corrosion technique to be conducive to improving sacrifice polysilicon gate to the Selection radio of high-k gate dielectric
Because TMAH has very high Selection radio for oxide layer and polysilicon, namely very thin oxide layer can stop TMAH to the corrosion of polysilicon, therefore, before TMAH wet corrosion technique, adopt hydrofluoric acid solution to remove sacrifice the residual oxide layer at polysilicon gate top or the oxide layer of self-assembling formation, to reduce the impact of oxide layer on TMAH wet corrosion technique.
With reference to embodiments of the invention, explanation is given to the present invention above.But these embodiments are only used to the object illustrated, and are not intended to limit the scope of the invention.Scope of the present invention is by claims and equivalents thereof.Do not depart from the scope of the present invention, those skilled in the art can make a variety of substitutions and modifications, and these substitutions and modifications all should fall within the scope of the present invention.

Claims (11)

1. a manufacture method for semiconductor device, comprising:
Semiconductor substrate is provided;
Form grid on the semiconductor substrate stacking, described grid are stacking comprises gate dielectric layer and sacrificial gate dielectric layer, and wherein, described gate dielectric layer is positioned in described Semiconductor substrate, and described sacrificial gate dielectric layer is positioned on described gate dielectric layer;
Around the stacking formation side wall of described grid, described side wall comprises the first side wall and the second side wall, and wherein the material of the first side wall is Si 3n 4;
In the stacking both sides of described grid and embed described Semiconductor substrate formed source/drain region;
Low-temperature oxidation is adopted to form SiO on the semiconductor substrate 2layer, at described SiO 2spin coating spin on glass SOG layer on layer, and pass through according to SOG layer and SiO 2layer etch rate ratio is 1: 1.2 to 1: 2 dry etching SOG layer and SiO 2layer carries out being planarized to described sacrificial gate dielectric layer and exposes;
Remove described sacrificial gate dielectric layer to form opening in described side wall;
In described opening, form alternative gate electrode, the grid that wherein said alternative gate electrode and described gate dielectric layer form semiconductor device are stacking.
2. method according to claim 1, wherein, the step forming grid stacking on the semiconductor substrate comprises:
Form gate dielectric layer, sacrificial gate dielectric layer and hard mask layer successively on the semiconductor substrate;
Patterning is carried out to hard mask layer, forms gate pattern;
Described sacrificial gate dielectric layer and gate dielectric layer are etched for sheltering with hard mask layer, stacking to form grid.
3. method according to claim 1 and 2, wherein, described gate dielectric layer comprises high-k gate dielectric layer.
4. method according to claim 1, wherein, described sacrificial gate dielectric layer comprises polysilicon gate electrode layer.
5. method according to claim 1, wherein, described second side wall is by SiO 2formed.
6. method according to claim 5, wherein, the step forming the second side wall around described first side wall comprises:
Low-temperature oxidation mode is adopted to form SiO on the semiconductor substrate 2layer;
To described SiO 2pattern layers, to form the second side wall around the first side wall.
7. method according to claim 5, wherein, the step around the stacking formation side wall of described grid comprises further:
The 3rd side wall is formed around described second side wall;
Wherein said 3rd side wall is by Si 3n 4formed.
8. method according to claim 1, wherein, at described SiO 2on layer after spin coating SOG, described method comprises further:
Annealing solidification is carried out to SOG.
9. method according to claim 4, wherein, described removal sacrificial gate dielectric layer comprises with the step forming opening in described side wall: adopt tetramethyl aqua ammonia TMAH wet etching sacrificial gate dielectric layer.
10. method according to claim 9, wherein, before described employing TMAH wet etching sacrificial gate dielectric layer, described method comprises further: adopt hydrofluoric acid wet etching to remove the oxide layer of sacrificial gate dielectric layer top layer.
11. methods according to claim 1, wherein, the described step forming alternative gate electrode in described opening comprises: in described opening, form metal gate electrode.
CN201110121071.3A 2011-05-11 2011-05-11 Method for manufacturing semiconductor device Active CN102779751B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201110121071.3A CN102779751B (en) 2011-05-11 2011-05-11 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201110121071.3A CN102779751B (en) 2011-05-11 2011-05-11 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
CN102779751A CN102779751A (en) 2012-11-14
CN102779751B true CN102779751B (en) 2015-09-09

Family

ID=47124620

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110121071.3A Active CN102779751B (en) 2011-05-11 2011-05-11 Method for manufacturing semiconductor device

Country Status (1)

Country Link
CN (1) CN102779751B (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1841666A (en) * 2005-03-31 2006-10-04 中国科学院微电子研究所 Preparation method of replacement gate
CN102543696A (en) * 2010-12-17 2012-07-04 中国科学院微电子研究所 Method for manufacturing semiconductor device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020142531A1 (en) * 2001-03-29 2002-10-03 Hsu Sheng Teng Dual damascene copper gate and interconnect therefore
JP5282419B2 (en) * 2007-04-18 2013-09-04 ソニー株式会社 Semiconductor device and manufacturing method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1841666A (en) * 2005-03-31 2006-10-04 中国科学院微电子研究所 Preparation method of replacement gate
CN102543696A (en) * 2010-12-17 2012-07-04 中国科学院微电子研究所 Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
CN102779751A (en) 2012-11-14

Similar Documents

Publication Publication Date Title
CN102543696B (en) Method for manufacturing semiconductor device
CN103137624B (en) High gate densities device and method
TWI527237B (en) Semiconductor devices and methods of manufacture the same
TWI342601B (en) Ultra-thin soi vertical bipolar transistors with an inversion collector on thin-buried oxide(box) for low substrate-bias operation and methods thereof
US9196694B2 (en) Integrated circuits with dual silicide contacts and methods for fabricating same
CN102903742B (en) The metal gate electrode of field-effect transistor
US9466729B1 (en) Etch stop region based fabrication of bonded semiconductor structures
TW201424003A (en) FinFET with embedded MOS varactor and method of making same
CN105405750A (en) High surface dopant concentration semiconductor device and method of fabricating
CN102856180B (en) Replacement gate integration method of semiconductor device
CN104810368A (en) Cmos transistor and forming method thereof
US20160322348A1 (en) Method to make gate-to-body contact to release plasma induced charging
WO2011134274A1 (en) Manufacturing method of asymmetrical source and drain field effect transitor
CN101385150A (en) Double-gate semiconductor devices having gates with different work functions and methods of manufacture thereof
US20150091079A1 (en) Non-volatile memory (nvm) and high-k and metal gate integration using gate-first methodology
US8377769B2 (en) Method for integrating replacement gate in semiconductor device
CN103854980B (en) Method of forming replacement gate of semiconductor device and method of manufacturing semiconductor device
CN104752202A (en) Method for manufacturing semiconductor device
CN103187310A (en) Complementary junction field effect transistor (c-JFET) device and rear grid electrode manufacturing method thereof
CN104752175B (en) A kind of method for making semiconductor devices
CN102779751B (en) Method for manufacturing semiconductor device
US9076818B2 (en) Semiconductor device fabrication methods
US7745298B2 (en) Method of forming a via
US20130069124A1 (en) Mosfet integrated circuit with uniformly thin silicide layer and methods for its manufacture
CN104078426A (en) Forming method of transistor

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20201218

Address after: 510000 601, building a, 136 Kaiyuan Avenue, Huangpu District, Guangzhou City, Guangdong Province

Patentee after: AoXin integrated circuit technology (Guangdong) Co.,Ltd.

Address before: 100029 Beijing city Chaoyang District Beitucheng West Road No. 3

Patentee before: Institute of Microelectronics of the Chinese Academy of Sciences

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20220427

Address after: 510000 room 710, Jianshe building, No. 348, Kaifa Avenue, Huangpu District, Guangzhou, Guangdong

Patentee after: Ruili flat core Microelectronics (Guangzhou) Co.,Ltd.

Address before: 510000 601, building a, 136 Kaiyuan Avenue, Huangpu District, Guangzhou City, Guangdong Province

Patentee before: AoXin integrated circuit technology (Guangdong) Co.,Ltd.

TR01 Transfer of patent right