CN101572232A - A method and apparatus for forming a high quality low temperature silicon nitride layer - Google Patents

A method and apparatus for forming a high quality low temperature silicon nitride layer Download PDF

Info

Publication number
CN101572232A
CN101572232A CNA2009101417730A CN200910141773A CN101572232A CN 101572232 A CN101572232 A CN 101572232A CN A2009101417730 A CNA2009101417730 A CN A2009101417730A CN 200910141773 A CN200910141773 A CN 200910141773A CN 101572232 A CN101572232 A CN 101572232A
Authority
CN
China
Prior art keywords
silicon nitride
nitride layer
source gas
siliceous
hydroperoxyl radical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2009101417730A
Other languages
Chinese (zh)
Other versions
CN101572232B (en
Inventor
S·王
E·A·C·桑柴兹
A·(史蒂文)·陈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/327,467 external-priority patent/US7172792B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101572232A publication Critical patent/CN101572232A/en
Application granted granted Critical
Publication of CN101572232B publication Critical patent/CN101572232B/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Abstract

A method of forming a silicon nitride layer is described. According to the present invention, a silicon nitride layer is deposited by thermally decomposing a silicon/nitrogen containing source gas or a silicon containing source gas and a nitrogen containing source gas at low deposition temperatures (e.g., less than 550 DEG C) to form a silicon nitride layer. The thermally deposited silicon nitride layer is then treated with hydrogen radicals to form a treated silicon nitride layer.

Description

Form the method and apparatus of high quality low temperature silicon nitride layer
The application divides an application, and the applying date of original application is that December 19, application number in 2003 are that 200380107849.9 (PCT/US2003/040793), denomination of invention are " forming the method and apparatus of high quality low temperature silicon nitride layer ".
Background of invention
The present invention forms the field about thin layer, and more specifically, the present invention is about forming the method and apparatus of silicon nitride layer.
Correlation technique is discussed
Modern integrated circuits is made up of hundreds of up to ten million transistors that are integrated in the functional circuit.In order further to improve the computing capability and the storage volume of integrated circuit, transistor feature size must be further scaled such as grid length and gate oxide film thickness.But along with transistor gate is long by constantly scaled, transistorized electrical characteristics and performance are because the cause that the heat of alloy distributes again in the device and can changing greatly.Similarly, along with the device quilt is further scaled, be used to make the heat balance (thermal budget) of integrated circuit, promptly, come the heat input single operation and accumulation of auto-deposition and technological temperature, also must be reduced, to guarantee one of the device reliable electrical property of making peace.In addition, for further scaled semiconductor device, the thin layer that is used to make device must form component and the consistency of thickness with height.
It is a kind of that to be used to form transistorized material be silicon nitride.Thin layer of sin gets by thermal chemical vapor deposition (CVD) deposition in semiconductor fabrication process traditionally.For example, silicon nitride layer is used as wall (spacer layers), etching stops (etch stops) and capacitor and layer insulation thing (interlayer dielectrics).Yet, utilize thermal chemical vapor deposition on single wafer reactor, to form the technology of high-quality silicon nitride layer at present, need be higher than 750 ℃ temperature high deposition, and/or under the temperature that reduces, has a deposition velocity of reduction, and, may cause the silicon nitride deposition that to assess for the transistor manufacturing.
In addition, under the temperature that silicon nitride layer is lowering with present technology and precursor (precursor), or during with high deposition velocity deposition, it is desired that layer fair is lower than.As comprise at present silane, dichlorosilane, disilane, the silicon nitride precursor of two-tetrabutyl amino silane (BTBAS) and disilicone hexachloride produced the layer of the layer quality that is lower than expectation, such as low-density and high hydrogen content.Disilane and disilicone hexachloride have weak Si-Si key, this makes the acceptable deposition velocity of acquisition become possibility, but when using with nitrogenous source such as ammonia, they or cause failure film quality (low-density and high hydrogen content, bad step covers and micro-loading, to disilane), or cause almost unmanageable particle to form phenomenon (for disilicone hexachloride).
Therefore, need be a kind of under the depositing temperature that reduces, under the deposition rate that can make, utilize thermal chemical vapor deposition (CVD) to form the method for high-quality silicon nitride layer.
Summary of the invention
The present invention is generally about forming transistor dielectric layer (dielectric layer), such as the method for silicon nitride layer.According to the present invention, by thermal decomposition under the depositing temperature that lowers siliceous/the source gas or the siliceous source gas of nitrogen and contain nitrogenous source gas and form silicon nitride layer.This precursor comprise have the Si-N key, the compound of Si-Cl key or two kinds of keys.This heat deposition silicon nitride layer is exposed to hydroperoxyl radical then, to form the silicon nitride layer of handling.Precursor with one or more Si-Si, N-N or N=N key is used to this silicon nitride layer of temperature deposit of lowering.
In one aspect of the invention, provide the method for process substrate, it comprises substrate is heated to 550 ℃ or be lower than 550 ℃ temperature; The source gas of the siliceous and nitrogen of thermal decomposition or siliceous source gas and contain nitrogenous source gas with deposited silicon nitride layer on substrate surface, and are exposed to hydroperoxyl radical with this silicon nitride layer.
In another aspect of the present invention, the method that forms silicon nitride layer is provided, it is included under the temperature that is lower than 550 ℃, to be higher than 100
Figure A20091014177300071
/ minute deposition rate, the source gas of and nitrogen siliceous or siliceous source gas and contain nitrogenous source gas and come deposited silicon nitride layer to obtain being lower than 150 by thermal decomposition
Figure A20091014177300072
Thickness, the silicon nitride layer that is deposited is exposed to hydroperoxyl radical, this hydroperoxyl radical forms by the plasma decomposes of hydrogen-containing gas.
In another aspect of the present invention, the method that forms silicon nitride layer is provided, it comprises: the source gas of and nitrogen siliceous by thermal decomposition or siliceous source gas and contain nitrogenous source gas and come deposited silicon nitride layer, wherein, this siliceous source gas and siliceous and source gas nitrogen comprise chlorine and carbon, and the silicon nitride layer of handling deposition with hydroperoxyl radical to be to form the silicon nitride layer of handling, and this hydroperoxyl radical forms by the plasma decomposes hydrogen-containing gas.
In another aspect of the present invention, the method that forms silicon nitride layer is provided, it comprises: the source gas of and nitrogen siliceous by thermal decomposition or siliceous source gas and contain nitrogenous source gas and come deposited silicon nitride layer, wherein after the deposited silicon nitride layer, the hydrogen concentration that this silicon nitride layer has is higher than 15at.% (atomic percent), and concentration of carbon is higher than 10at.%; And handle the silicon nitride layer deposited with hydroperoxyl radical, less than 10at.%, concentration of carbon is less than 5at.% up to the hydrogen concentration of silicon nitride layer.
In another aspect of the present invention, the equipment that is used to form silicon nitride layer is provided, it comprises the substrate support that is arranged in the chamber, it is used to keep substrate; Heater, it is used to heat the substrate that places on the substrate support; Air inlet, it is used for process gas mixture is provided to the chamber, and this process gas mixture comprises silicon source gas and nitrogen source gas, and/or silicon/nitrogen source gas; Be used for producing the device of hydroperoxyl radical by hydrogen-containing gas; And processor/controller, it is used for the operation of control appliance, wherein, this processor/controller comprises memory, this memory has many instructions, these instructions are heated to the instruction of the temperature that is lower than 550 ℃ for placing substrate on the substrate support, with in heated substrate, with siliceous source gas with contain nitrogenous source gas or siliceous and nitrogen source gas is provided in the chamber, on substrate, to form the instruction of silicon nitride layer, and control is used to produce the instruction of the device of hydroperoxyl radical, and described hydroperoxyl radical is used for handling silicon nitride layer with hydroperoxyl radical.
The accompanying drawing summary
Fig. 1 is a flow chart, shows a kind of embodiment of the method that forms silicon nitride layer.
Fig. 2 is a flow chart, shows a kind of embodiment of the method that forms silicon nitride layer.
Fig. 3 A-3C forms the have sidewall spacer a kind of cross sectional representation of embodiment of method of semiconductor device of (sidewall spacers) by silicon nitride layer.
Fig. 4 is a kind of cross-sectional schematic of embodiment that can be used to form the equipment of silicon nitride layer.
Fig. 5 is a kind of vertical view of embodiment that can be used to form the cluster tool of silicon nitride layer.
Detailed Description Of The Invention
The present invention is about forming high-quality silicon nitride layer, its can reduce or the low deposition temperature under form.In following description, numerous specific details is set forth such as deposition and annealing device, so that complete understanding of the present invention to be provided.Yet one of skill in the art will recognize that does not have these details, and the present invention also can implement.In other examples, do not describe known semiconducter process in detail, to avoid making the present invention unintelligible.
The invention provides the method and apparatus that forms the high-quality silicon nitride layer, described silicon nitride layer forms by thermal chemical vapor deposition (CVD) being lower than under 550 ℃ the low deposition temperature.The example of the method for deposited silicon nitride layer has been carried out general explanation in the flow chart of Fig. 1.According to the first step of the present invention, as describing in Fig. 1 square frame 102, process gas mixture is being less than or equal to 550 ℃ in the chamber, as less than under about 500 ℃ depositing temperature (underlayer temperature), by heat treatment, to produce silicon matter and nitrogen material, obtain silicon nitride layer by their depositions, wherein, admixture of gas comprises siliceous and nitrogen source gas, or siliceous source gas and contain nitrogenous source gas.Select this source gas or these source gas so that silicon nitride layer can be less than or equal under 550 ℃ the low deposition temperature (being substrate or wafer (wafer) temperature), with at least 50
Figure A20091014177300091
/ minute, ideally at least 100
Figure A20091014177300092
/ minute deposition rate, form by thermal chemical vapor deposition.
Precursor (precursor)
Can be used for sufficiently high deposition rate, at low temperatures, the source gas that produces silicon nitride layer by thermal chemical vapor deposition comprises the compound with one or more Si-N keys or Si-Cl key, such as two-tetrabutyl amino silane (tertbutylaminosilane) (BTBAS) or disilicone hexachloride (hexachlorodisilane) (HCD or Si 2Cl 6).In precursor, also comprise Si-Si key, N-N key, N=N key, preferred Si-N and Si-Cl key or its combination.
The combination of having observed Si-Cl functional group (key) and Si-N functional group (key) improves step and covers and micro-loading (microloading), especially under the situation of the temperature of the continuous reduction under the suitable deposition velocity.The number of Si-Cl group can be with respect to the number of Si-N group and is changed.Si-Cl it seems that with the S-N key layer characteristic and deposition characteristics are had different influences, and the ratio of Si-N and S-Cl key can be used for balance layer properties and deposition characteristics.
Compound with above-mentioned preferred bond structure has universal architecture:
(I) NR 2-Si (R ' 2)-Si (R ' 2)-NR 2, (amino (two) silane);
(II) R 3-Si-N=N=N, (methyl-monosilane triazo-compound), or
(III) R ' 3-Si-NR-NR 2(methyl-monosilane hydrazine).
In above-mentioned universal architecture, R and R ' comprise one or more functional groups, functional group is selected from halogen, have one and a plurality of pairs of keys organic group, have organic group, aliphatic alkyl group, group of naphthene base, aromatic radical, organosilicon radical, alkylamino group, the cyclic group that contains N or Si and the combination thereof of one and a plurality of triple bonds.
The example of suitable functional group comprises chlorine (Cl-), methyl (CH 3), ethyl (CH 2CH 3), isopropyl, trimethylsilyl, pyrrolidines and combination thereof.The example of suitable compound comprises:
1,2-diethyl-four (diethylamino) disilane, (CH 2CH 3(NCH 2CH 3) 2Si) 2
1,2-two chloro-four (diethylamino) disilanes, (Cl (NCH 2CH 3) 2Si) 2
Six (N-pyrrolidinyl) disilane, ((C 4H 9N) 3) Si) 2
1,1,2,2-tetrachloro-two (two-trimethyl amino) disilane, (Cl 2(NSi (CH 3) 3)) Si) 2
1,1,2,2-tetrachloro-two (two-isopropyl) disilane, (Cl 2(N (C 3H 7) 2)) Si) 2
1,2-dimethyl-four (diethylamino) disilane, (CH 3(NCH 2CH 3) 2Si) 2
Three (dimethylamino) silane triazo-compound, (N (CH 3) 2) 3SIN 3
Trimethyl amino silane triazo-compound, (CH 3) 3SIN 3
(2,2 Dimethylhydrazine) dimethylsilane (CH 3) 2SIH-NH-N (CH3) 2
And combination.
Believe to have silicon-the silicon singly-bound that the silicon source gas (precursor) of (being the Si-Si singly-bound) or silicon and nitrogen source gas (precursor) can make molecule under the temperature that reduces, decompose under all 550 ℃ or the lower temperature according to appointment or dissociate.
The nitrogen source gas or the precursor that can be used to deposit the layer that comprises silicon and nitrogen include, but are not limited to ammonia (NH 3), hydrazine (N 2H 4), hydrogen triazo-compound HN 3Or its combination.Ideally, nitrogen source gas comprises nitrogen-nitrogen singly-bound (being the N-N singly-bound), is used for the decomposition of nitrogen source gas at low temperatures.In addition, when siliceous and nitrogen source gas were used to process gas mixture, the nitrogen source gas of some also can be included in the admixture of gas usually, to control the component of sedimentary deposit flexibly between layer depositional stage.
Suitable silicon source gas, or silicon and nitrogen source gas compounds can be adjusted is to minimize the carbon in the layer and the content of hydrogen.In this respect, Si-C key, Si-H key and N-H key are minimized in precursor key component.
Use the SiN CVD method of through engineering approaches precursor (engineered precursor) to be described at this.The CVD process also needs to add, but minor N H 3But, so that SiN layer stoichiometry.Because Si is different (different dynamics barriers) with the efficient that N is incorporated in the layer, this still needs from the precursor delivery of nitrogen.Stoichiometric silicon nitride layer will comprise<10% C, although may also have>10% H, this depends on the selection of temperature and R group.Can utilize possible further reprocessing to reduce the percentage composition of H, as described in another patent.For the oxide that utilizes amino disilane (aminodisilane) precursor and the deposition of nitrogen oxide, need to add oxidant, such as N 2O.
According to embodiment of the present invention, the example of the method for deposition and processing silicon nitride layer is seen flow process Figure 200 of Fig. 2 in the single wafer reactor.The first step is by thermal chemical vapor deposition silicon nitride layer to be deposited on wafer or the substrate.The specific example of silicon nitride deposition process is set forth among Fig. 2, as the square frame 201 of flow process Figure 200, and can comprise the step 202-210 of flow process Figure 200.The first step of deposited silicon nitride layer is that wafer or substrate are put into the chamber.Ideally, this silicon nitride layer forms in the chamber of reduced pressure single wafer cold wall reactor, and this chamber has the substrate support of the resistance heating that is used for heated chip, such as the Xgen Chamber of Applied Materials Inc's production.The example of suitable chamber shows and is illustrated among Fig. 4.
In case substrate is placed in the chamber, just obtain to be used for the deposition pressure and the temperature of deposited silicon nitride layer.In embodiments of the invention, the deposition pressure of deposited silicon nitride layer is between about 10 holders are held in the palm to about 350.Depositing temperature (being wafer or substrate temperature) will be decided according to the concrete process gas that is used for deposited silicon nitride layer (as siliceous source gas and contain nitrogenous source gas).In deposition process, wafer or underlayer temperature are less than or equal to about 550 ℃, such as being lower than 500 ℃, and generally between about 450 ℃ to about 550 ℃.
Next, as described in square frame 206, process gas is introduced in the settling chamber.This process gas mixture will comprise siliceous source gas (promptly at least, can be decomposed with the gas of the silicon atom that is provided for deposited silicon nitride layer or siliceous intermediate material), with contain nitrogenous source gas (can be thermal decomposited with the nitrogen-atoms that is provided for deposited silicon nitride layer or the gas of nitrogenous intermediate material), as described herein.Alternately, process gas mixture can comprise silicon/nitrogen source gas, and it provides nitrogen and silicon atom and nitrogenous and intermediate material silicon by individual molecule, to form silicon nitride layer.
When using silicon and nitrogen source gas, process gas mixture also can comprise nitrogen source gas and/or silicon source gas, maybe can include only silicon/nitrogen source gas, and not add nitrogen and silicon source.In one embodiment of the invention, provide silicon source gas in the chamber before, during nitrogen source gas is provided and enters the room.Alternately, inert carrier gas such as inert gas, comprises helium and argon, and nitrogen (N 2) be directed in the reative cell.Silicon source gas and nitrogen source gas can between 1: 1 and about 1: 500, be introduced described Processing Room according to appointment with the velocity ratio between 1: 1 and about 1: 1000.
In embodiments of the invention, silicon source gas is disilicone hexachloride (HCD).Silicon nitride can be by providing HCD and NH 3Or N 2H 4In the chamber and form.If use HCD, it can be before being introduced into reative cell, with inert carrier gas, such as N 2Mixed.HCD is provided in the reative cell with the speed between the 10-200sccm, and nitrogen source gas is provided in reative cell with the speed between the 500-5000sccm.In one example, HCD source gas and nitrogen source gas have the velocity ratio of 1: 1 and 1: 1000 respectively, ideally, have the velocity ratio between 1: 1 and 1: 500.This process can be with about 80
Figure A20091014177300121
/ minute deposition rate, 530 ℃ chip temperature and with about 50
Figure A20091014177300122
/ minute deposition rate, 480 ℃ chip temperature forms silicon nitride layer.
Utilize flow velocity to be 1 between the 10-100sccm, 2-two chloro-four (diethylamino) disilanes, and flow velocity is that nitrogen source gas between the 200-2000sccm can form suitable silicon nitride layer.By 1,2-diethyl-four (diethylamino) disilane, with the flow velocity between the 10-100sccm, and nitrogen source gas, with the flow velocity between the 200-2000sccm, can deposit and obtain suitable silicon nitride layer.This technology can be with about 80 / minute deposition velocity, at 530 ℃ chip temperatures with about 50
Figure A20091014177300132
/ minute deposition velocity, at 480 ℃ chip temperature, form silicon nitride layer.Following further example is at single-chip low pressure hot CVD equipment, such as the detailed technological parameter among the SiNgen of Applied Materials Inc's production, preferably with 1,2-two chloro-four (diethylamino) disilanes are precursor, and comprise that underlayer temperature is between 450 ℃ and about 650 ℃, such as 500 ℃; Constant pressure is between about 10 holders and about 300 holders, between all 40 holders according to appointment and about 200 holders; NH 3Flow-rate ratio (an NH with silicon precursor 3To silicon precursor flow ratio) greater than 10, such as between about 50 and about 100; The flow velocity of silicon precursor about 0.2 and about 1.0gms/ minute between, such as 0.5gms; Between about 500 mils of spacing and about 1000 mils, this may cause deposition rate 60 and 200 between heater and the shower nozzle
Figure A20091014177300133
/ minute between, for example about 100
Figure A20091014177300134
/ minute.
As a comparison, be the detailed description of the SiN CVD process in batch processed stove (bach furnaces) below, preferred precursor 1 once more, 2-two chloro-four (diethylamino) disilanes, and comprise underlayer temperature between 450 ℃ and about 650 ℃, all 500 ℃ according to appointment; Constant pressure between about 0.1 holder and about 2 holders is between all 0.4 holders according to appointment and about 1 holder; NH 3With the flow-rate ratio of silicon precursor less than 10, such as between about 1 and about 5, silicon precursor flow rate depends on the boiler tube capacity, it can be created in 5 and 20
Figure A20091014177300135
/ minute between deposition rate, for example about 12 / minute.
Next, described in flow process Figure 200 square frame 208,, make silicon and nitrogen source gas or silicon source gas and nitrogen source gas thermal decomposition from the substrate of heating or the heat of substrate support.The thermal decomposition of silicon source gas provides silicon atom or siliceous intermediate material.The thermal decomposition of nitrogen source gas provides nitrogen-atoms or nitrogenous intermediate material.The thermal decomposition of silicon and nitrogen source gas can provide silicon atom or silicon intermediate material and nitrogen-atoms or nitrogenous intermediate material.Silicon atom or siliceous intermediate material and nitrogen-atoms or the reaction of nitrogenous intermediate material are with deposited silicon nitride layer on substrate surface.In the present invention, preferably only use heat energy, such as from the heat of substrate or from the heat of substrate support, come thermal decomposition siliceous/nitrogen source gas or silicon source gas and nitrogen source gas, be called no plasma deposition process, and do not use the other energy, strengthen or the plasma enhancing as photon.In embodiments of the invention, silicon nitride layer is deposited and obtains 10 to 150
Figure A20091014177300137
Between thickness, preferred thickness is less than 120
Figure A20091014177300138
Ideally, preferably less than 80
Figure A20091014177300141
Thicker if desired layer can use secondary, three times or other repeatedly to deposit/the hydroperoxyl radical cycle of treatment, and with the thicker layer of deposition, this will be described later.
In embodiments of the invention, as described in square frame 210, deposit after the enough thick silicon nitride layer, stop to flow of silicon source gas and nitrogen source gas.In embodiments of the invention, after the silicon nitride deposition was finished, substrate can randomly be handled with nitrogen source gas, as described in square frame 210.Only nitrogen source gas need be introduced reative cell about 10 seconds.Last at deposition step handled silicon nitride layer with nitrogen source gas, and this has removed unreacted silicon position (silicon site) on the substrate.This operation helps to have increased the N/Si ratio, and reduced the hydrogen in the silicon nitride layer (especially with Si-H key form).Yet, according to the present invention, obtain good silicon nitride layer, operation 210 is not to be necessary.
The process gas mixture that is used for deposited silicon nitride layer among the present invention makes silicon nitride layer can pass through thermal chemical vapor deposition, with at least 50
Figure A20091014177300142
/ minute deposition rate, ideally, greater than 100
Figure A20091014177300143
/ minute deposition velocity, be lower than 550 ℃, be lower than ideally under 500 ℃ the depositing temperature and be deposited.
Plasma treatment
The silicon nitride layer of deposition is handled one predefined period with hydroperoxyl radical, so that improve the quality of layer.Hydroperoxyl radical can pass through the plasma decomposes hydrogen-containing gas, such as ammonia (NH 3) and hydrogen (H 2) and form, or it is indoor on-the-spot formation, perhaps forms and be transported to this chamber in remote-control device.The silicon nitride layer that is deposited can be with hydroperoxyl radical with 5 * 10 15Individual atom/cm 2To 1 * 10 17Individual atom/cm 2Between flow processed.During hydroperoxyl radical was handled, this substrate was heated at the low temperature between about 450 ℃ and about 600 ℃, and was under the constant pressure between about 100 millitorrs and about 5 holders.Fully processing is carried out between about 15 seconds and about 120 seconds usually.
The hydroperoxyl radical that is used for the hydroperoxyl radical processing can produce in any suitable manner.In embodiments of the invention, hydroperoxyl radical forms by the plasma decomposes hydrogen-containing gas, and this hydrogen physical efficiency is decomposed so that the hydroperoxyl radical of sufficient amount to be provided.Hydroperoxyl radical comprises the atomic hydrogen of all kinds, comprises highly active neutral atom hydrogen and charged hydrogen ion (chargedhydrogen ions).Suitable hydrogen source gas comprises ammonia (NH 3) and hydrogen (H 2).In embodiments of the invention, hydrogen source gas comprises NH 3And H 2Mixture.In embodiments of the invention, hydrogen is handled gas and is included only NH 3Or include only H 2In addition, in embodiments of the invention, inert gas is such as N 2, Ar or He can handle gas with hydrogen and be provided.
Use microwave or radio frequency source, with the energy between the 200-2000 watt, hydrogen-containing gas can suitably be dissociated, so that hydroperoxyl radical to be provided.Hydrogen is handled the plasma decomposes of gas and can be finished at the scene, or utilizes remote plasma.In the technology, plasma and hydroperoxyl radical are placed the substrate that has processed silicon nitride layer in same indoor generation in this chamber at the scene.The example of suitable plasma chamber comprises capacitive couplings PECVD or high-density plasma HDP chamber.In remote plasma treatment, hydroperoxyl radical and plasma are produced by microwave indoor, and this chamber is to have the chamber that the chamber with the substrate of processed silicon nitride layer separates with placement.In remote plasma process, plasma and hydroperoxyl radical produce in first Room (disassociation chamber or chamber), flow into second Room by pipeline by the disassociation chamber then, and the pending substrate with silicon nitride layer is contained in second Room.Any suitable remote plasma generator reactor (remoteplasma generator reactor) can be used, such as, but be not limited to long-range nitriding RPN (the remote Plasma Nitridation) source of Astex Astron, Applied Materials Inc and additional ASP (the Advanced StripPassivation Plus) chamber of senior removal passivation layer of Applied Materials Inc.
In embodiments of the invention, hydroperoxyl radical is by " hot line (hot wire) " or catalytic decomposition hydrogen-containing gas, such as ammonia (NH 3) and hydrogen (H 2) and the combination and form.In " hot line " technology, line and catalyst are heated to about 1600-1800 ℃ high temperature such as tungsten filament, and hydrogen is handled gas and is provided on the tungsten filament.The tungsten filament of heating makes hydrogen handle gas cracking or decomposition, to form hydroperoxyl radical.Hydroperoxyl radical is handled the silicon nitride layer that forms then on the substrate that is positioned under the tungsten filament.Although tungsten filament has high temperature, however substrate during handling, still only be heated to and be lower than 600 ℃ temperature, preferably be lower than 550 ℃ temperature.Also have in another embodiment of the present invention, the plasma that induction produces can be used to produce hydroperoxyl radical.
Because by low-temperature operation, hydroperoxyl radical can penetrate into the distance limit of silicon nitride layer about 100
Figure A20091014177300161
As the HCD film, and usually less than 50
Figure A20091014177300162
As silane film, so before hydroperoxyl radical is handled, form and be no more than 150
Figure A20091014177300163
Silicon nitride layer, preferably be no more than 100 When seeking out thicker silicon nitride layer, can repeatedly deposit and cycle of treatment.For example, if seek out 300
Figure A20091014177300165
Silicon nitride, at first can deposit 100
Figure A20091014177300166
Silicon nitride layer, handle this silicon nitride layer with hydroperoxyl radical then.Next step, the deposition second layer 100 on the silicon nitride layer of handling
Figure A20091014177300167
Silicon nitride layer is handled second layer silicon nitride layer with hydroperoxyl radical then.Next step deposits the 3rd layer 100 on the silicon nitride layer of the second layer again
Figure A20091014177300168
Silicon nitride layer, handle it with hydroperoxyl radical then.Like this, can form the high-quality silicon nitride layer of any desired thickness.For example, 80 of the HCD of 3 circulations
Figure A20091014177300169
CVD then is exposed to 8 seconds of hydroperoxyl radical, show 3 layers handled 100
Figure A200910141773001610
With untreated 80
Figure A200910141773001611
For example, silicon nitride layer can have the hydrogen concentration greater than 15at.% before hydroperoxyl radical was handled, and wherein the Si-H formal bond accounts for pith; Greater than the concentration of carbon of 10at.%, if use organosilicon precursor; Greater than the cl concn of 1at.%, if use the silicon chloride precursor; Refractive index less than 1.85; With compared with the silica that utilizes oxide etch, the wet etching speed big 2 times (wet etch rate) as the etch-rate of buffered oxide etch (BOE).This kind silicon nitride layer can be thought many application of the silicon nitride layer during being not suitable for semiconductor device makes, such as distance piece or interlayer dielectric (interpoly dielectrics).
Total hydrogen concentration of having observed the silicon nitride layer of handling is less than 10at.%, the Si-H form reduces, concentration of carbon is for example less than 5at.%, cl concn is for example less than 1at.%, the refractive index that increases is for example greater than 1.90, or the wet etching speed that reduces for example roughly with use oxide etch, identical such as the etch-rate (1: 1) of the silica of BOE.
Technology of the present invention can make high-quality silicon nitride layer under the low deposition temperature, with the high deposition rate that can make (as greater than 50
Figure A200910141773001612
/ minute), form by thermal chemical vapor deposition.The low deposition temperature can make silicon nitride layer after transistor or active device form, in the semiconductor circuit manufacturing process in being used to use or place, this is because depositing temperature is enough low, and can not influence the heat balance or the change dopant profiles wherein of device significantly.The high deposition rate of silicon nitride layer can be finished this technology in the single wafer reactor.
Make
The present invention forms the method for silicon nitride, is desirable method for being used for producing the semiconductor devices, the redistribution that it need hang down heat balance and/or prevent to place the alloy in the silicon substrate.For using silicon nitride layer of the present invention, an example is to make sidewall spacer (sidewallspacer).In this is used, substrate, the substrate 300 such as being shown among Fig. 3 A will be provided.This substrate 300 comprises single crystalline silicon substrate or layer 302, and it has gate dielectric layer formed thereon 304.Gate electrode (gate electrode) 306 with laterally relative sidewall (laterally opposite sidewalls) is formed on the gate dielectric layer.Usually, pair of source/drain terminal (source/drain tip) or extension area (extension regions) 310 will be formed in the silicon substrate 302, be connected with the horizontal opposing sidewalls of gate electrode 306.
Then, shown in Fig. 3 B, low temperature silicon nitride layer the method according to this invention forms, and will be capped on the substrate that is deposited on Figure 30 0.The thickness of silicon nitride layer 312 depends on the transistorized physical characteristic that will make, for the technology device of 65nm, will generally be at least 200 Thick.According to the present invention, silicon nitride layer will utilize the low deposition temperature to be deposited with high deposition rate and form by aforesaid method.At first forming thickness is 100
Figure A20091014177300172
Or thinner silicon nitride layer, ideally less than 50
Figure A20091014177300173
Silicon nitride layer is annealed with hydroperoxyl radical then according to the method described above.Next, if necessary, second layer silicon nitride layer is formed on the ground floor silicon nitride layer that hydroperoxyl radical handled.Second layer silicon nitride layer is handled with hydroperoxyl radical then, as mentioned above.Next, the 3rd layer of silicon nitride layer forms by the low temperature chemical vapor deposition deposition, as described above method.Next, the 3rd layer of silicon nitride layer as described above method handle with hydroperoxyl radical.This technology continues in such a way, is formed up to the silicon nitride layer 312 with gross thickness of wanting.In some purposes embodiment, before silicon nitride layer forms, form silicon dioxide layer deposition or growth, therefore it be positioned at below the silicon nitride layer.Because silicon nitride layer 312 forms under low temperature process, and handle with hydroperoxyl radical at low temperatures,, or in substrate 302, redistributed so the dopant of formation source/leakages extension 310 is not removed during silicon nitride fully.Like this, the electrical characteristics of manufacturing device will be uniformities.
Then, shown in Fig. 3 C, behind the silicon nitride layer 312 of formation adequate thickness, silicon nitride 312 can be by anisotropic etching, and to form sidewall spacer 314, its horizontal opposing sidewalls along gate electrode 306 is extended.This anisotropic etching process is removed silicon nitride layer from horizontal surface such as the top of source/leakage extension 310 and gate electrode 306, stays simultaneously on the vertical surface, such as the silicon nitride layer on the sidewall of gate electrode 306.Form after the sidewall spacer 314, carry out other treatment process of semiconductor device, such as formation deep/source drain extensions 316, and/or in the source/drain region forms silicide 318.Sidewall spacer 314 makes deep/source drain extensions biasing (offseting), and makes and silicide, be formed on source/drain region and the gate electrode top such as titanium silicide or cobalt silicide, carries out autoregistration with technology well known in the art.
Equipment
Ideally, silicon nitride layer of the present invention carries out in low pressure thermal chemical vapor deposition reactor.Fig. 4 shows the example of suitable reactor 400.In embodiments of the invention, hydroperoxyl radical is handled and can be carried out being used for the same indoor of deposited silicon nitride layer.In order " to be deposited " silicon nitride layer in the same indoor processing that is used for deposited silicon nitride layer, remote plasma source can be of coupled connections with pressure chemical vapor deposition reactor, so that the hydroperoxyl radical source is offered this chamber.Fig. 4 also shows the example in the remote plasma generator source 801 of being coupled to pressure chemical vapor deposition reactor 400.Remote plasma generator 801 is coupled to thermal chemical vapor deposition reactor 400, will improves output of the present invention widely, and make silicon nitride layer after the silicon nitride deposition, directly handle with hydroperoxyl radical.In addition, when continuous deposition/treatment cycle is used to form thick silicon nitride layer, such as greater than 200
Figure A20091014177300181
Silicon nitride the time, this equipment improves the output of wafer significantly.
Fig. 4 shows reactor vessel assembly (reactor) 400.Fig. 4 shows that reactor 400 comprises chamber body 406, and it has formed reative cell 408, and therein, process gas, precursor gases or reactant gas are thermal decomposited, to form the silicon-containing layer (not shown) on wafer substrates.Chamber body 406 constitutes by can the chamber of making bearing 10 materials to pressure between about 350 holders.At one exemplarily among the embodiment, chamber body 406 is made of aluminum alloy materials.Chamber body 406 comprises passage 410, flows through this passage so that temperature controlled fluid is sucked, and comes cooling chamber body 406.Because be equipped with temperature controlled fluid passages, reactor 400 is called as " cold wall (cold-wall) " reactor.With 406 coolings of chamber body, prevented to cause the corrosion of the material that is used to form chamber body 406 owing to the existence of active material and high temperature.
What be arranged in chamber body 406 is reative cell 408, chamber cap 426, panel (or shower nozzle) 430, blocker plate (blocker plate) 428 and resistive heater elements 404.Resistive heater elements 404 comprises lead (wire leads) 412, and the length of these leads is prolonged the length of heater tube 414 and extended, and heater tube 414 is made by nickel.On the top of heater tube 414 are heating plates 416, and its AIN by sintering makes.Be one or more heater coils 418 in heating plate 416, it is made by molybdenum.Lead 412 and coil 418 combine by brazing, and are conductivity at this.Line 412 and AIN porcelain bushing 420 thermal insulations.Coil 418 provides most resistance, and therefore provides most heating to reative cell 408.At the top of heating plate 416 are the grooves that are referred to as wafer slots (pocket) 422, place the wafer (not shown) in groove 422.
Fig. 4 shows, has also placed lifter assembly 436 in the chamber body 408.Lifter assembly 436 has made things convenient for wafer substrates (not shown) shift-in and has shifted out reative cell 408.Lifter assembly 436 can be a stepping motor.Lifter assembly 436 moves up and down heater assembly 404 along axle 405, to make things convenient for the wafer shift-in and to shift out reative cell 408.
Substrate or wafer are by inlet port 434, and for example utilizing, the conveyer (not shown) of hand type is placed in the reative cell 408.In one embodiment, hand type conveyer and transmission sheet (transfer blade) coupling, and, hand type conveyer control transmission sheet.Transmission sheet inserts substrate by opening, on the groove 422 that substrate is loaded into reative cell 408 and heating plate 416.When substrate was loaded, lifter assembly 436 reduced heater assembly 404 and heating plates 416, along the downward direction of axle 405 so that the surface of heating plate 416 be in inlet port 434 below.When heating plate 416 was lowered, substrate was placed in the reative cell 408.In case substrate is loaded, inlet port 434 is sealed, and lifter assembly 436 moves or promote heater assembly 404 and heating plate 416, towards the high position of panel 430 (as, upwards) direction.In an exemplary, when wafer substrates during apart from panel 430 nearly (as the 400-900 mil), lifting stops.
In an exemplary, when preparing deposition or handling, process gas or the precursor controlled by gas control board 401 are introduced in the reative cell 408.Blocker plate 428 has many holes (not shown), holds the air-flow by wherein.Process gas at first passes through port 424, passes through blocker plate 428, is introduced in the reative cell 408 by panel 430 then.Process gas is by port 424, and the many holes by in the blocker plate 428 are distributed by panel 430 then.Panel 430 with process gas equably distributes enter reative cell 408.
Then, substrate passes through, and as downward (reduction) heater assembly 404, and shifts out from the chamber.Along with heating component 404 moves down, effect by lifter assembly 436, elevating lever (liftpins) 442 contact contact lifter plates (contact lift plate) 444, and keep stable, and finally on the end face of heating plate 416, stretch, with when it is lowered, substrate is separated from heating plate 416.Then, transmission sheet inserts by opening 434, and is placed between substrate and heating plate 416 substrates.Contact lifter plate 444 is lowered then, thereby reduces elevating lever 442, and makes substrate be lowered on the transmission sheet.Substrate is moved out of by inlet port 434 with transmission sheet then.
For follow-up substrate, above-mentioned mechanism can repeat.A kind of detailed description of suitable lifting assembly 436 sees that in the U.S. Patent No. 5772773, it is authorized to the Applied Materials Inc to Santa Clara.
Reactor 400 also comprises the temperature indicator (not shown), with the treatment temperature in the monitoring reative cell 408.In one example, temperature indicator can be a thermocouple, and it is placed so that it provides data about the temperature of heating plate 416 surfaces (or heating plate 416 support substrate surface) easily.In reactor 400, underlayer temperature is lower a little than the temperature of heating plate 416, low 20-30 ℃.
Fig. 4 shows that also reative cell 408 is lined with temperature control liner or insulating cell 409.As mentioned above, chamber body 406 comprises passage 410, so that temperature controlled fluid produces Leng Bi chamber effect (cold-wallchamber effect).Temperature in the reative cell 408 can be up to 600 ℃ or even higher.Along with chemicals is used to reative cell 408 cambium layer, the chamber body 406 of the easy corrosion reaction of high temperature chamber 408.Therefore, chamber body 406 is equipped with passage 410, for use in the temperature controlled fluid of cooling chamber body 406, passes through such as water or other cooling fluids.This will prevent that chamber body 406 from becoming too hot, and the chamber body 406 too hot meeting that becomes makes chamber body 406 be corroded easily.A problem relevant with the Leng Bi chamber is: in the reative cell 408 near the zone of the cold wall of chamber easily experience the sharp of temperature fall.Impel particle to form or condense sharp the falling of these regional temperature, this is unfavorable or not beneficial to the silicon-containing layer that is formed in the reative cell 408.For example, forming silicon nitride layer (Si 3N 4) depositing operation in, HCD and NH 3Reaction causes NH usually 4The formation of Cl.NH 4Cl is unwelcome salt accessory substance, need be cleaned out the Si that is forming to prevent to pollute 3N 4When temperature be reduced to about below 150 ℃ the time, such as NH 4Cl's condenses generation.These particles can be removed from locular wall.The particle of removing becomes the granuloplastic nucleating point on the wafer substrates.In one embodiment, reative cell 408 is lined with temperature control liner 409, condenses with the particle that prevents from not expect.
In one embodiment, temperature controlled fluid liner 409 is coupled with the wall of chamber body 406, and temperature control liner 409 has only some physics contact points along the wall of chamber body 406 like this.(for example referring to, be illustrated in the contact point 459 of Fig. 4).By minimum conductive point (conducting points), minimize the thermal losses of the physics contact energy minimization chamber body 406 between the wall of temperature control liner 409 and chamber body 406.
Between depositional stage, cleaning or purge gas (as nitrogen) can be admitted to the bottom of reative cell 408, to prevent unwanted deposition.
Reactor 400 also is coupled to a pressure regulator or a plurality of pressure regulator (not shown).Pressure in the reative cell 408 is set up and kept to pressure regulator.These pressure regulators are known in this area.The pressure regulator that can be used for exemplary must be able to maintain about 10 holders to the interior pressure of about 350 holder scope levels.Alternately, reactor 400 also can be coupled in gas pumping out system (gas pump-out system) (not shown), and it is being known in the art, and is used for gas is pumped reative cell 408.This gas pumping out system (its can comprise, for example choke valve) also can be used to control the pressure in the reative cell 408.Reactor 400 also can the coupled-inductors (not shown), the operating pressure in its monitoring reative cell 408.
In one embodiment, controller or processor/controller 900 are coupled in chamber body 406, and to receive the signal of self-inductor, these signals show constant pressure.Processor/controller 900 can also be connected to gas control board 401 systems, with the flow of control nitrogen source gas, silicon source gas and inertia and/or purge gas.Processor 900 can with pressure regulator or a plurality of adjuster collaborative work, be under the pressure of expectation with the pressure of regulating or keeping in the reative cell 408.In addition, processor/controller can be controlled the temperature of heating plate, thereby controls substrate temperature placed on it.Processor/controller 900 comprises memory, it comprises the instruction of computer-reader form, with with nitrogen source gas flow, silicon source gas flow and inert gas flow, and the temperature of indoor pressure and heating plate is controlled in the parameter area of setting above, to form silicon nitride layer of the present invention.For example, be stored in the memory of processor/controller 900 is that heated substrate is to the instruction of being less than or equal to 550 ℃ temperature, with when heated substrate during to the temperature of being less than or equal to 550 ℃, silicon source gas is provided, with the instruction of nitrogen source gas and/or silicon/nitrogen source gas, and the pressure in the chamber 408 is controlled at instruction between the 10-350 holder to chamber 408.
The material of selecting reactor 400 parts is so that the parts that are exposed must be suitable for high-temperature process of the present invention.Thermal decomposition precursor of the present invention or reactive material are to form silicon-containing layer, and the temperature that relates in the reative cell 408 is up to 600 ℃.The component materials of reactor 400 should be the type that can stand high temperature like this.In one embodiment, chamber body 406 is made of corrosion resistant metal, such as hard anodizing aluminium (hard anodized aluminum).This kind aluminium is usually expensive.Alternately, chamber body 406 comprises passage 410, is used for temperature controlled fluid and passes through.Temperature controlled fluid passages can make chamber body 406 be made by dog-cheap aluminium alloy or other suitable metal materials, because passage 410 can be kept the cold state of being in of chamber body 406.As mentioned above, Here it is, and why reactor 400 usually is called as one of reason of cold wall reactor.For unnecessary the condensing on the chamber body 406 that prevents cold wall or cooling, above-described temperature control liner 409 can be made by such material: it can absorb the heat of being sent by reative cell 408, and keep temperature control liner 409 at least about or be higher than 150 ℃ temperature, or alternately, be maintained at least about or be higher than 200 ℃ temperature, this depends on the application that layer forms.In one embodiment, temperature control liner 409 must maintain is enough to prevent the unnecessary temperature of condensing.
In addition, component materials also should with process gas and other chemicals, such as cleaning chemicals and the precursor that may introduce reative cell 408 compatibility mutually.In one embodiment, the surface that heating component 404 exposes can be made up of various materials, as long as this material and technology compatibility.For example, this in question exemplary need at high temperature be used eroding chemical.Therefore the parts of heating component must stand this environment.In one example, the parts of heating component are made of ceramic material, such as aluminium nitride (AIN).The heating plate 416 of heating component 404 also can be made of aluminium nitride material.
In a kind of exemplary, reative cell 408 is used stabilizing gas, such as N 2, He, Ar or its combination comes stable.In one example, gas control board system 401 comprises gas manifold, and it will discharge stabilizing gas in reative cell 408.This stabilizing gas can have 1,000sccm to 10, and the flow velocity of 000sccm is that 5 to 6 liters reactor 400 preferable flow rate are about 2,000sccm for capacity.
In embodiments of the invention, reactor 400 is coupled in the remote plasma generator 801, and its generation also provides hydroperoxyl radical to settling chamber 408.Remote plasma generator 801 comprises magnetron 802, and it produces microwave with microwave source.Magnetron 802 preferably can produce the microwave energy that is up to 10,000 watts of 2.5Ghz.It should be noted that the energy that needs depends on the size (or proportional with chamber 408) of chamber 408.For the annealing chamber that is used to handle the 300mm wafer, 10,000 watts energy should be enough.Although microwave source is used to produce plasma in equipment 800, also can use other energy such as radio frequency (RF) source.
Magnetron 802 is coupled in insulator and dummy load (dummy load) 804, and it is provided for impedance matching.Dummy load absorbs reflected energy, does not therefore have reflected energy to enter magnetron head.Insulator and dummy load 804 couple together by waveguide 806, and it is sent to self-seeker (autotuner) 808 with microwave energy.Self-seeker 808 comprises impedance matching head and detector module independently, and it uses three step motor-driven impedance matching axle heads (impedancematching stub), reduces the reflected energy of the microwave energy of guiding power supply.Self-seeker 808 concentrates on the center of (or chamber) 810, applicator chamber (microwave applicator cavity) with microwave energy, so that energy is handled GAS ABSORPTION by the hydrogen that conduit 812 is transported to effect device chamber 810.Although preferred self-seeker, the manual tuning device also can use.
Effect device (Applicator) 810 uses the microwave energy that receives from magnetron 802, handles gas stream when being positioned at the quartzy plasmatron of effect device 810 the insides when hydrogen, handles gas by hydrogen and produces plasma.The hydrogen that is used to produce hydroperoxyl radical is handled gas, such as but be not limited to H 2And NH 3Source 814, such as gas cabinet (tank), by and applicator 810 coupling.In addition, inert gas source also can be coupled with effect device 810 such as argon (Ar) or helium (He) source.Dian Huo mercury lamp can be used to ultraviolet light emission is gone into plasmatron in advance, with ionize process gases partly, thereby microwave energy is easily lighted or activated plasma.
From the microwave energy of magnetron 802 hydrogen is handled gas and be converted into plasma, it mainly is made up of three kinds of components: ionization or charged hydrogen atom, activation (active) electroneutral hydrogen atom and the material that contains intermediate hydrogen, they all are used to constitute " hydroperoxyl radical " of the present invention.
Effect device 810 can be bolted on the lid of equipment 400.The plasma mixture that concentrates flows to downwards in the chamber 408 by conduit 814.Because hydroperoxyl radical with the chamber of substrate orientation to be annealed mutually independently or away from position (chamber 810) in produce, this hydroperoxyl radical is referred to as " long-range generation ".
Remote plasma source 801 can be coupled with processor/controller 900.Processor/controller 900 can comprise with the embodied on computer readable form and be stored in instruction in the memory that the operation of these commands for controlling remote plasma sources 801 is to finish above-described hydroperoxyl radical treatment process.These instructions can comprise, for example, control hydrogen is handled gas flow rate and energy, obtaining to handle the hydroperoxyl radical flow of the necessary expectation of silicon nitride layer, such as 5 * 10 15Individual atom/cm 2With 1 * 10 17Individual atom/cm 2Between flow instruction and also can comprise the instruction of the temperature (thereby temperature of control wafer) of controlling heating plate, and the control hydroperoxyl radical handle during the instruction of pressure in the chamber 408.
In addition, although the present invention is with reference to utilizing single wafer apparatus to be described, if desired, deposition of the present invention and treatment process also can be implemented in batches or in the tubular type equipment half, and can not depart from the scope of the present invention.
In alternative embodiment of the present invention, low temperature silicon nitride deposition process can be implemented all cluster tools 500 as shown in Figure 5 in cluster tool (cluster tool).Cluster tool 500 comprises sealable conveying room 502, and it has wafer transfer device 504, such as the manipulator that is included in wherein.Loadlock (load lock) or a pair of loadlock 506, it is connected with conveying room 502 by sealable door, makes wafer to be sent to or to send cluster tool 500 by manipulator 504.What be coupling-connected to conveying room 502 by sealable door is silicon nitride deposition reactor 508, such as Xgen single-chip, the Leng Bi of Applied Materials Inc, have the thermal chemical vapor deposition reactor of resistance heater.What also be coupled to conveying room 502 by sealable door is hydroperoxyl radical process chamber 510, as shown in Figure 5.The hydroperoxyl radical process chamber for example can be, plasma chamber, the senior removal passivation layer that produces such as Applied Materials Inc's body adds (ASP, AdvancedStrip Passivation Plus) chamber, remote plasma chamber, such as remote plasma nitriding (RPN, the Remote Plasma Nitridation) chamber of Applied Materials Inc's production, or " hot line " chamber.Usually, conveying room 502 remains under the constant pressure of reduction, and has inert environments or atmosphere, such as N 2Like this, wafer can be from a chamber (as, silicon nitride deposition chamber 508) transfers to another chamber (as, hydroperoxyl radical process chamber), and vice versa, and need not wafer is exposed in oxidation environment or the pollutant.Cluster tool 500 also can comprise aforesaid processor/controller 900, with the operation of control silicon nitride deposition reactor 500 and hydroperoxyl radical process chamber 510, with deposited silicon nitride layer, as mentioned above, and handle silicon nitride layer with hydroperoxyl radical, as mentioned above.
In use, wafer or substrate, all wafers as shown in Figure 3A are brought into conveying room 502 by manipulator 504 by loadlock 506.This wafer is transferred to silicon nitride deposition chamber 508, and the door between them is sealed, and silicon nitride layer is formed thereon with the low deposition temperature process.In case silicon nitride layer forms thereon, wafer is shifted out from silicon nitride deposition chamber 508 by manipulator 504, and is brought into hydroperoxyl radical process chamber 510 by manipulator 504.Door between hydroperoxyl radical process chamber 510 and the transfer chamber 502 is sealed then, and silicon nitride layer is exposed to hydroperoxyl radical by aforesaid method.If want to obtain thicker silicon nitride layer, wafer can be from the chamber 510 be removed, and is brought back to silicon nitride deposition chamber 508, to deposit extra silicon nitride.This wafer shifts out from silicon nitride deposition chamber 508 again, and is brought back to hydroperoxyl radical process chamber 510, and handles with hydroperoxyl radical once more.This wafer can continue to shift between settling chamber 508 and process chamber 510, up to the silicon nitride layer that obtains desired qualities and thickness.In case enough thick silicon nitride layer forms, wafer is shifted out from cluster tool 500.
Therefore the invention describes under the low deposition temperature, deposit and handle the method and apparatus of high-quality silicon nitride layer.

Claims (28)

1. the method for a process substrate comprises:
Heated substrate to 550 ℃ or lower temperature;
Siliceous and the nitrogen source gas of thermal decomposition, or siliceous source gas and contain nitrogenous source gas, with deposited silicon nitride layer on described substrate surface, wherein said siliceous source gas or described siliceous and nitrogen source gas comprise the compound with the one or more keys that are selected from Si-Si, N=N and N-N; Then
The silicon nitride layer of described deposition is exposed to hydroperoxyl radical, and wherein said hydroperoxyl radical is formed by the plasma decomposes of source of hydrogen gas.
2. the method for claim 1, wherein said source of hydrogen gas comprises NH 3, H 2Or NH 3And H 2Combination.
3. the method for claim 1, wherein said silicon nitride layer with hydroperoxyl radical with 5 * 10 15Individual atom/cm 2To 1 * 10 17Individual atom/cm 2Between flow handle.
4. the method for claim 1, wherein said source of hydrogen gas also comprises the inert gas that is selected from nitrogen, argon gas and helium.
5. the method for claim 1, wherein the plasma decomposes of source gas is carried out away from the place of the chamber of handling described silicon nitride layer with hydroperoxyl radical physically.
6. the method for claim 1, wherein described silicon nitride layer is exposed to hydroperoxyl radical after, described silicon nitride layer has the cl concn less than 1.0at.%.
7. the method for claim 1, wherein described silicon nitride layer is exposed to hydroperoxyl radical after, described silicon nitride layer has the concentration of carbon less than 5at.%.
8. the method for claim 1, wherein said heat decomposition temperature is lower than 500 ℃.
9. the method for claim 1, wherein said silicon nitride layer is to be higher than / minute deposition velocity form.
10. the method for claim 1, wherein said siliceous source gas or described siliceous and nitrogen source gas comprise by singly-bound be connected to first silicon atom of second silicon atom and be connected to the chlorine atom of described first silicon atom and described second silicon atom or nitrogen-atoms at least a.
11. method as claimed in claim 10, wherein said siliceous source gas or described siliceous and nitrogen source gas comprise first silicon atom that is connected to second silicon atom by singly-bound, with the nitrogen-atoms that is connected to described first silicon atom and described second silicon atom, and the carbon atom that is connected to described nitrogen-atoms.
12. comprising to be selected from, the method for claim 1, wherein said siliceous source gas or described siliceous and nitrogen source gas have R 2N-Si (R ' 2)-Si (R ' 2)-NR 2, R 3-Si-N 3, R ' 3-Si-NR-NR 2The compound of structure, wherein R and R ' comprise one or more organic or aromatic groups that are selected from halogen, have one or more pairs of keys, the organic group with one or more triple bonds, aliphatic alkyl group, group of naphthene base, organosilicon radical, alkylamino group or contain N or the functional group of the cyclic group of Si and combination thereof.
13. method as claimed in claim 12, wherein R and R ' comprise one or more functional groups that are selected from chlorine, methyl, ethyl, isopropyl, trimethylsilyl, pyrrolidines and combination thereof.
14. method as claimed in claim 13, wherein said siliceous source gas or described siliceous comprising with nitrogen source gas are selected from 1,2 diethyl-four (diethylamino) disilane, 1,2-two chloro-four (diethylamino) disilanes, six (N-pyrrolidinyl) disilane, 1,1,2,2-tetrachloro-two (two-trimethyl amino) disilane, 1,1,2,2-tetrachloro-two (two-isopropyl) disilane, 1,2-dimethyl-four (diethylamino) disilane, three (dimethylamino) silane triazo-compound, one or more compounds of trimethyl amino silane triazo-compound and (2,2 Dimethylhydrazine) dimethylsilane.
15. the method for claim 1 also comprises:
By siliceous and nitrogen source gas of thermal decomposition or siliceous source gas with contain nitrogenous source gas and second layer silicon nitride layer is deposited on the silicon nitride layer of handling; With
Expose described second layer silicon nitride layer with hydroperoxyl radical.
16. method as claimed in claim 15 also comprises
By siliceous and nitrogen source gas of thermal decomposition or siliceous source gas with contain nitrogenous source gas the 3rd layer of silicon nitride layer deposited on the silicon nitride layer that the second layer handled; With
Described the 3rd layer of silicon nitride layer is exposed to hydroperoxyl radical.
17. the method for claim 1, wherein the silicon nitride sedimentary deposit is handled with hydroperoxyl radical between 450 ℃ and 600 ℃ of temperature.
18. the method for claim 1, wherein, after handling described silicon nitride layer, the hydrogen concentration of described silicon nitride layer is less than 10at.%, after described silicon nitride layer is exposed to hydroperoxyl radical, its refractive index is more than or equal to 1.90, and etch-rate is equal to the etch-rate of the silica that utilizes buffered oxide etch, or their combination.
19. a method that forms silicon nitride layer comprises:
Be lower than under 550 ℃ the temperature, with greater than
Figure A2009101417730004C1
/ minute deposition velocity, by siliceous and nitrogen source gas of thermal decomposition or siliceous source gas with contain nitrogenous source gas, deposited silicon nitride layer is lower than
Figure A2009101417730004C2
Thickness; With
The silicon nitride layer that is deposited is exposed to hydroperoxyl radical, and described hydroperoxyl radical forms by the plasma decomposes of hydrogen-containing gas.
20. method as claimed in claim 19, wherein said siliceous source gas or described siliceous and nitrogen source gas are selected from organic-second-or first-silane or organic amino-second or first-silane.
21. method as claimed in claim 19, wherein said source of hydrogen gas comprises NH 3, H 2Or NH 3With H 2Combination.
22. method as claimed in claim 19, wherein the silicon nitride layer that is deposited is with having 5 * 10 15Individual atom/cm 2To 1 * 10 17Individual atom/cm 2Between the hydroperoxyl radical of flow handle.
23. method as claimed in claim 19, the silicon nitride layer that wherein is exposed to the described deposition of hydroperoxyl radical has the hydrogen concentration less than 10%.
24. method as claimed in claim 19, wherein, the chamber that described plasma decomposes is handled with hydroperoxyl radical away from described silicon nitride quilt.
25. method as claimed in claim 19, wherein said silicon nitride layer is processed in the same chamber that it is deposited.
26. a method that forms silicon nitride layer comprises:
By siliceous and nitrogen source gas of thermal decomposition or siliceous source gas with contain nitrogenous source gas aggradation silicon nitride layer, wherein, described siliceous source gas or siliceous and nitrogen source gas comprise chlorine and carbon; With
Handle the silicon nitride layer that is deposited with hydroperoxyl radical and form the silicon nitride layer of handling, described hydroperoxyl radical is formed by the plasma decomposes of hydrogen-containing gas.
27. a method that forms silicon nitride layer comprises:
By siliceous and nitrogen source gas of thermal decomposition or siliceous source gas with contain nitrogenous source gas aggradation silicon nitride layer, the hydrogen concentration of wherein said silicon nitride layer is greater than 15at.%, and concentration of carbon is greater than 10at.%; With
Handle the silicon nitride layer that is deposited with the hydroperoxyl radical that the plasma decomposes by source of hydrogen gas forms, less than 10at.%, concentration of carbon is less than 5at.% up to the hydrogen concentration of described silicon nitride layer.
28. method as claimed in claim 27, wherein, the silicon nitride layer of described deposition is exposed to hydroperoxyl radical after, the cl concn of described silicon nitride layer is less than 1.0at.%.
CN2009101417730A 2002-12-20 2003-12-19 A method for forming a high quality low temperature silicon nitride layer Expired - Fee Related CN101572232B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US43581302P 2002-12-20 2002-12-20
US10/327,467 2002-12-20
US60/435,813 2002-12-20
US10/327,467 US7172792B2 (en) 2002-12-20 2002-12-20 Method for forming a high quality low temperature silicon nitride film

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB2003801078499A Division CN100567564C (en) 2002-12-20 2003-12-19 Form the method and apparatus of high quality low temperature silicon nitride layer

Publications (2)

Publication Number Publication Date
CN101572232A true CN101572232A (en) 2009-11-04
CN101572232B CN101572232B (en) 2011-12-21

Family

ID=35964244

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2009101417730A Expired - Fee Related CN101572232B (en) 2002-12-20 2003-12-19 A method for forming a high quality low temperature silicon nitride layer
CNB2003801078499A Expired - Fee Related CN100567564C (en) 2002-12-20 2003-12-19 Form the method and apparatus of high quality low temperature silicon nitride layer

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB2003801078499A Expired - Fee Related CN100567564C (en) 2002-12-20 2003-12-19 Form the method and apparatus of high quality low temperature silicon nitride layer

Country Status (1)

Country Link
CN (2) CN101572232B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107675141A (en) * 2017-10-25 2018-02-09 南昌大学 A kind of device for being used to prepare nitride material

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
KR102482618B1 (en) * 2016-02-26 2022-12-28 버슘머트리얼즈 유에스, 엘엘씨 Compositions and methods using same for deposition of silicon-containing film
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP6807278B2 (en) * 2017-05-24 2021-01-06 東京エレクトロン株式会社 Silicon nitride film deposition method and film deposition equipment
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10720526B2 (en) 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107675141A (en) * 2017-10-25 2018-02-09 南昌大学 A kind of device for being used to prepare nitride material
CN107675141B (en) * 2017-10-25 2023-08-04 南昌大学 Device for preparing nitride material

Also Published As

Publication number Publication date
CN1732288A (en) 2006-02-08
CN101572232B (en) 2011-12-21
CN100567564C (en) 2009-12-09

Similar Documents

Publication Publication Date Title
CN101572232B (en) A method for forming a high quality low temperature silicon nitride layer
JP4658963B2 (en) Method and apparatus for forming a high quality low temperature silicon nitride layer
CN100529174C (en) Method and apparatus for forming a high quality low temperature silicon nitride layer
US8809161B2 (en) Flowable film dielectric gap fill process
KR101002445B1 (en) Methods for silicon oxide and oxynitride deposition using single wafer low pressure cvd
KR100943113B1 (en) Method for silicon nitride chemical vapor deposition
WO2006019438A2 (en) Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
CN102437053A (en) Method to increase the compressive stress of PECVD silicon nitride films
CN101690420A (en) Boron nitride and boron nitride-derived materials deposition method
KR101022949B1 (en) A method and apparatus for forming a high quality low temperature silicon nitride layer
KR20060083977A (en) Method for forming insulating film, system for forming insulating film, and method for manufacturing semiconductor device
US5324539A (en) Method for forming CVD thin glass films
JP3915697B2 (en) Film forming method and film forming apparatus
WO2024044462A1 (en) Systems and methods for depositing low-κ dielectric films

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20111221

Termination date: 20191219

CF01 Termination of patent right due to non-payment of annual fee