CN101441415A - Antireflective coatings - Google Patents

Antireflective coatings Download PDF

Info

Publication number
CN101441415A
CN101441415A CNA200810179929XA CN200810179929A CN101441415A CN 101441415 A CN101441415 A CN 101441415A CN A200810179929X A CNA200810179929X A CN A200810179929XA CN 200810179929 A CN200810179929 A CN 200810179929A CN 101441415 A CN101441415 A CN 101441415A
Authority
CN
China
Prior art keywords
hydrocarbon
ring
polyunsaturated
saturated
branched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA200810179929XA
Other languages
Chinese (zh)
Inventor
R·N·弗尔蒂斯
M·L·奥尼尔
A·D·约翰逊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN101441415A publication Critical patent/CN101441415A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Abstract

A method of forming a feature in a substrate comprises steps of: forming a dielectric layer on a substrate; forming an antireflective coating over the dielectric layer; forming a photoresist pattern over the antireflective coating; etching the dielectric layer through the patterned photoresist; and removing the antireflective coating and the photoresist, wherein the antireflective coating is a film represented by the formula SivOwCxNuHyFz , wherein v+w+x+u+y+z = 100%, v is from 1 to 35 atomic%, w is from 1 to 65 atomic%, x is from 5 to 80 atomic%, u is from 0 to 50 atomic %, y is from 10 to 50 atomic% and z is from 0 to 15 atomic%, wherein the antireflective coating is formed by the chemical vapor deposition of a composition comprising (1) at least one precursor selected from an organosilane, an organosiloxane, and an aminosilane; and (2) a hydrocarbon, and wherein the hydrocarbon is substantially not removed from the antireflective coating.

Description

Antireflecting coating
With reference to related application
The application requires in the No.60/979 of U.S. Patent Application Serial formerly of proposition on October 12nd, 2007 according to 35 U.S.C. § 119 (e), and 585 right of priority is incorporated herein by reference at this.
Background of invention
The present invention relates to make process for semiconductor devices.More specifically, the present invention relates on the integrated circuit front body structure of silicon, dielectric material and formation thus, form the method for antireflecting coating (ARC).
In order to adapt to the demand of faster performance, the reference dimension of integrated device electronics feature is dwindled continuously.Having more, the manufacturing of the equipment of small-feature-size has proposed new challenge to the method that many tradition are used for the semiconductor manufacturing.Require the response in interconnection technique to change to high density relevant and the high performance demand that progressively raises with ultra-large integrated semiconductor distribution.Have now found that, providing aspect low RC (resistance capacitance) interconnect pattern, apply by miniaturization and have aspect the high aspect ratio at submicron order contact and groove especially, be difficult to satisfy this progressively demand of rising.Effort in order to the deleterious effect that dwindles of the increase that improves density of fraction and interconnected xsect comprises, use has that more the insulating material of low-k and use have than typical aluminium (Al) the conductive material conductive material of high conductivity more than typical oxide isolated material (" low-k materials ").Copper is just manifesting the leading material as the sheet upper conductor in typical modern interconnection technique (ICs).
Yet still there is challenge in copper (Cu) to accurate patternsization and etching.For example, copper is not easy to form volatile chloride or fluoride, makes not slow not practicablely based on the ion etching of chlorine and/or fluorine chemistry.Therefore, the negative patterning that the Cu layer is etched away by selectivity under photoresist (photoresist) layer of patterning is replaced on a large scale by the patterning of " damascene " or " dual damascene ".Formed IC structure or feature are called damascene or dual damascene structure or feature.
From the reflection of the exposure radiation on the face (or a plurality of face) that is positioned under the photoresist layer, can cause in ICs generation problem aspect the feature manufacturing and patterning.For example, incident that takes place in photoresist layer and reflected radiation are disturbed, and cause uneven resist exposure and coarse patterning.In addition, exposure radiation meeting is returned from the regional reflex of surface topography or inhomogeneous reflectivity, and it causes photoresist to expose in the zone that is positioned under the photomask, is undesirable and expose for this zone.In both cases, feature critical dimensions (" CDs ") can change, and it has increased the challenge of the accurate and renewable manufacturing of IC feature.
Elimination or minimizing are to use antireflecting coating by the general practice of the manufacturing issue that the radiation reflection produces, and for example, usually bottom antireflective coating (" BARCs ") are applied under the photoresist layer, are positioned on the surface of desiring to be patterned.The BARC layer can be designed to absorb the radiation that sees through photoresist layer, and based on this mechanism, reduces or eliminates the adverse effect of bottom reflection.In addition, can design the BARC layer, so make at the wavelength place of exposure radiation by selection to BARC material and thickness, incident and radiation reflected between destructive interference takes place.Absorb and all can be used in the identical BARC layer with the destructive interference effect.
In order to be reduced in the reflectivity of the semiconductor substrate that runs into usually during the photoresist DUV exposure, now to have developed the light absorption organic polymer that is formed in anti reflection paint (ARC) composition, and it is applied under the photoresist layer.These organic ARCs typically are applied on the semiconductor substrate by so-called spin-coating method.Though the ARC layer of spin coating provides excellent reflectivity control, their performance still is limited by their unevenness, and defective and consistance are shunk, and the intrinsic ineffectivity of other spin-coating method.Along with the industrial semiconductor substrate that approaches to adopt 8 inches and even 12 inches, the intrinsic ineffectivity of spin-coating method will be exaggerated day by day.
Technically apply light absorbing organic polymer and handle the intrinsic ineffectivity of spin-coating method by the chemical vapor deposition (CVD) method.For example, US 6,936, and 405 disclose by CVD deposition anti-reflective compound on stromal surface, wherein anti-reflective compound is high strain (for example having the strain energy at least about a 10kcal/mol) organic molecule, and it contains two annulus by the mutual bonding of linking group.The CVD method comprises anti-reflective compound is heated to its gasification that the compound pyrolytic that will gasify then to be to form stable diradical, wherein this diradical polymerization on stromal surface in the settling chamber subsequently.
Yet the light absorption organic polymer does not consider their deposition process to have significant defective.For example, though these organic polymers have extraordinary light absorption, but the film of these materials normally mechanicalness, chemical or thermal behavior is unsettled, and they not exclusively adhere on the typical inorganic matrix that they will form usually, therefore, need the anti-reflection polymer film that applies by CVD technically, it needs not be subjected to foregoing shortcoming.
The invention summary
The invention provides a kind of method and composition that is used to form the organic and inorganic composite membrane, wherein this film has desirable balance between light absorption, etching selectivity and structural integrity.Particularly, the invention provides a kind of method that forms feature in matrix, it comprises step: form dielectric layer on matrix; On dielectric layer, form antireflecting coating; On antireflecting coating, form the photoresist pattern; Photoresist etching dielectric layer by patterning; With remove antireflecting coating and photoresist, wherein this antireflecting coating is by formula Si vO wC xN uH yF zThe film of expression, v+w+x+u+y+z=100% wherein, v is 1-35 atom %, w is 1-40 atom %, x is 5-80 atom %, and u is 0-50 atom %, and y is that 10-50 atom % and z are 0-15 atom %, wherein antireflecting coating forms by the composition chemical vapor deposition, and wherein said composition comprises at least a precursor of selecting in the group of (1) being made up of organosilane, organosiloxane and amino silane; (2) hydrocarbon, and wherein this hydrocarbon basically (substantially) from antireflecting coating, do not remove.
On the other hand, the invention provides a kind of structure that forms during semiconductor equipment is made, this structure comprises: the layer that is formed on the patternable on the matrix; The antireflecting coating that forms on the layer of this patternable, wherein this antireflecting coating is by formula Si vO wC xN uH yF zExpression, v+w+x+u+y+z=100% wherein, v is 10-35 atom %, and w is 5-65 atom %, and x is 5-80 atom %, and u is 0-50 atom %, y is that 10-50 atom % and z are 0-15 atom %; With the photoresist pattern that forms on antireflecting coating, wherein this antireflecting coating is to form by following material chemical vapor deposition: at least a precursor of selecting in the group that (1) is made up of organosilane, organosiloxane and amino silane; (2) hydrocarbon, and wherein this hydrocarbon is not removed from antireflecting coating basically.
The accompanying drawing summary
Figure 1A-1D is illustrated in the xsect that is used to make according to resulting reflection configuration after some steps of the semiconductor equipment of one embodiment of the present invention;
Fig. 2 is the figure of expression according to the absorbance of an embodiment of antireflecting coating of the present invention;
Fig. 3 represents a series of FTIR spectrum according to antireflecting coating of the present invention;
Fig. 4 is the figure of expression according to the absorbance of antireflecting coating of the present invention;
Fig. 5 is that expression is according to the absorbance of antireflecting coating of the present invention and the graph of a relation of rf power (power);
Fig. 6 is that antireflecting coating according to the present invention is at the comparison FTIR spectrum that is exposed to UV light front and back.
Detailed Description Of The Invention
The invention describes a kind of method that forms semiconductor equipment. In an embodiment of the invention, method comprises following step. At first, form dielectric layer and form ARC at dielectric layer in matrix. Then, form photoetching agent pattern and the photoresist etching dielectric layer by patterning at ARC. Then remove ARC and photoresist. ARC according to the present invention is by formula SivO wC xN uH yF zThe film of expression, v+w+x+u+y+z=100% wherein, v is 10-35 atom %, and w is 5-65 atom %, and x is 5-80 atom %, and u is 0-50 atom %, y is that 10-50 atom % and z are 0-15 atom %. ARC according to the present invention forms by the composition chemical vapour deposition (CVD), and wherein said composition comprises at least a precursor of selecting in the group that (1) be comprised of organosilan, organosiloxane and amino silane; (2) hydrocarbon, and wherein this hydrocarbon is not removed from ARC.
Figure 1A-1D describes one of the inventive method preferred embodiment. In this embodiment, the first conductive layer 101 randomly forms in matrix 100. Matrix 100 can be any surface that can form conductive layer that produces when the manufacturing integration circuit. Therefore matrix 100 for example can comprise, activity and equipment passive state that silicon wafer forms, such as transistor, capacitor, resistor, diffusion junctions, gate electrode, local interlinkage etc. Matrix 100 also can comprise the insulating materials that separates the conductive layer that these activity and equipment passive state and top layer at them form, and the conductive layer that can comprise previous formation.
The suitable material that can be included in the matrix 100 includes but not limited to: semiconductor material such as gallium arsenide (" GaAs "), silicon and siliceous composition, and such as silicon metal, polysilicon, amorphous silicon, epitaxial deposited silicon, silicon dioxide (" SiO 2"), silex glass, silicon nitride, molten silicon, glass, quartz, pyrex, and their combination.Other suitable material comprises chromium, molybdenum and other metal that adopts usually in semiconductor, integrated circuit, plane demonstration and flexible display application.Matrix 100 can have extra play, for example silicon, SiO 2, silicone glass (OSG), fluorinated silica glass (FSG), boron carbonitride, silit, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon-carbon nitride, silicon-carbon hydride nitride, boron nitride, composite organic-inorganic material, photoresist, organic polymer, porous is organic and inorganic material and compound, metal oxide such as aluminium oxide and germanium oxide.Layer in addition can also be germanium silicate, aluminosilicate, copper and aluminium and diffusion barrier material such as, but not limited to, TiN, Ti (C) N, TaN, Ta (C) N, Ta, W or WN.
Conductive layer 101 can be by the material preparation of the conductive layer that is generally used for forming semiconductor equipment.In preferred embodiment, conductive layer 101 comprises copper, and adopts conventional copper electro-plating method to form.Though copper is preferred, other conductive material that can be used for preparing semiconductor equipment still can replace using.Conductive layer 101 can adopt chemically mechanical polishing (" CMP ") step and graduation in its deposition back.
After forming conductive layer 101 on the matrix 100, restraining barrier 102 typically forms on conductive layer 101.Restraining barrier 102 typically be used to prevent can not receiving amount copper or other metal by diffusing into dielectric layer 103.Restraining barrier 102 is also as etch-stop, to stop subsequently via trench etch step in the cleaning that conductive layer 101 is exposed to subsequently.Restraining barrier 102 is preferably prepared by the dielectric material of sealing, for example silicon, SiO 2, silicone glass (OSG), boron carbonitride, fluorinated silica glass (FSG), silit, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon-carbon nitride, silicon-carbon hydride nitride, boron nitride, composite organic-inorganic material, organic and inorganic material and compound, metal oxide such as aluminium oxide, germanium oxide and their combination.
Chemical vapour deposition technique can be used for forming restraining barrier 102.Restraining barrier 102 should be enough thick its diffusion suppresses and the function of etch-stop to take on, but can not be thick to influence unfriendly by restraining barrier 102 and dielectric layer 103 in conjunction with formed overall dielectric performance.Figure 1A is presented at the xsect that forms conductive layer 101 and restraining barrier 102 back resulting structures on the matrix 100.
With reference to Figure 1B, dielectric layer 103 is formation on restraining barrier 102 subsequently.Dielectric layer 103 is porous preferably, as shown in hole 105 exists like that, and referred to herein as " porous dielectric layer 103 ".In the method for the invention, porous dielectric layer 103 is that the deposition by film-forming composition forms, and wherein said composition comprises one or more compounds that can form and keep the interconnected mesh structure.The example of film includes but not limited to SiO 2Silicone glass (OSG), fluorinated silica glass (FSG), boron carbonitride, silit, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon-carbon nitride, the silicon-carbon hydride nitride, boron nitride, composite organic-inorganic material, photoresist, organic polymer, porous organic and inorganic material and composition, metal oxide such as aluminium oxide and germanium oxide, diamond-like carbon, pyrex (Si:O:B:H), or the pyrex of Doping Phosphorus (Si:O:B:H:P), and their composition.
In the preferred embodiment of the present invention, porous dielectric layer 103 comprises earth silicon material.Term used herein " silicon dioxide (silica) " is for to have the material of silicon (Si) and oxygen (O) atom, and have additional substituting group such as, but be not limited to: other element such as C, H, B, N, P or halogen atom; Alkyl group; Or aromatic yl group.In selectable embodiment, porous dielectric layer 103 for example can contain other element, such as, but not limited to, Al, Ti, V, In, Sn, Zn, Ga and their combination.In some preferred embodiments, dielectric layer 103 can comprise by formula Si vO wC xH yF zThe OSG compound of expression is v+w+x+y+z=100 atom % wherein, and v is 10-35 atom %, and w is 10-65 atom %, and x is 5-30 atom %, and y is that 10-50 atom % and z are 0-15 atom %.
Still with reference to Figure 1B, porous dielectric layer 103 is characterised in that the existence in hole 105.In such embodiment, hole 105 forms when film-forming composition comprises silica source and at least a pore-foaming agent, and wherein this pore-foaming agent can be removed when being exposed to one or more energy sources easily and preferably fully." pore-foaming agent " is a kind of reagent that is used for producing at the product film spatial volume.No matter pore-foaming agent no change whether in whole inventive method, term used herein " pore-foaming agent " intention comprises pore former (or pore-forming material) and derivant thereof, no matter they here with which kind of form occur in the process of described entire method.Suitable combination thing as pore-foaming agent includes but not limited to, hydrocarbon materials, labile organic group, solvent, decomposable polymer, surfactant, the big molecule of tree type, super cladodification polymkeric substance, polyoxyalkylene compounds, contain the compound of C and H or their combination.In some embodiments, pore-foaming agent comprises C 1-C 13Hydrocarbon compound.
In hole 105 formed, the deposition materials that will prepare dielectric layer 103 usually was exposed in one or more energy sources with cured film and/or if this pore-foaming agent exists and removes wherein contained at least a portion pore-foaming agent.Exemplary energy source can include but not limited to, ionized radiation source such as alpha particle, beta particle, gamma-radiation, x-ray, beam energy source; The Non-ionizing radiation source is such as ultraviolet ray (10-400nm), visible light (400-750nm), infrared ray (750-10 5Nm), microwave (〉 10 6) and radio frequency (〉 10 6) energy waves; Or their combination.Other energy source comprises heat energy and plasma energy.Depend on energy source, exposing step can be carried out under high pressure, atmospheric pressure or vacuum.Atmosphere can be inertia (for example nitrogen, CO 2, inert gas (He, Ar, Ne, Kr, Xe) etc.), (dilution or the hydrogen that concentrates, the hydrocarbon (saturated, undersaturated, direct-connected or side chain, aromatics) etc.) of (for example oxygen, air, rare oxygen atmosphere, oxygen-enriched atmosphere, ozone, the nitrous oxide etc.) of oxidisability or reductibility.The temperature of exposing step can be 100-500 ℃.In some embodiments, temperature can be with the rate variation of 0.1-100 ℃/min.Preferred 0.01min-12h of entire process time.
Porous dielectric layer 103 typically is formed on to small part matrix 100 (it comprises conductive layer 101) with the form of film by using various method by film-forming composition.These methods can alone or be used in combination.Some examples that can be used for forming the method for film comprise following: CVD, supercritical fluid deposition or the migration polymerization (" TP ") of thermal chemical vapor deposition, plasma reinforced chemical vapour deposition (" PECVD "), high density PECVD, photon assisted CVD, plasma-photon auxiliary (" PPECVD "), ald (ALD), low temperature chemical vapor deposition, the auxiliary vapour deposition of chemistry, heat-filament chemical vapor deposition, liquid polymer precursor.U.S. series of patents US 6,171,945,6,054,206,6,054,379,6,159,871 and WO99/41423 provide some to can be used for more film forming exemplary CVD methods.Except chemical vapour deposition technique, can be used for applying other method of porous dielectric layer 103, for example noncontact sedimentation.The noncontact sedimentation typically makes and can form film and do not need to contact mask or baffle.The noncontact deposition process comprises, for example dipping, spin coating, brushing, spray, extrude, spin-on deposition, air knife, printing and their combination.Other exemplary deposition process comprises that the vibration noncontact induces spreading force, gravity to induce spreading force, soak into and to induce spreading force, sealing to extrude and their combination.
In a concrete embodiment, use spin-on deposition method deposition porous dielectric layer 103.In brief, film-forming composition is distributed on the matrix also with the matrix of wherein contained solvent evaporation with the formation coating.In addition, use centrifugal force to deposit on the matrix equably to guarantee said composition.Another benefit is exactly that composition can be filled any slit that may exist effectively.
In one embodiment, wherein porous dielectric layer 103 uses spin-on deposition method deposition, and film is typically by comprising at least a silica source, and the composition of optional pore-foaming agent, optional catalyst and water forms in addition.In some embodiments, composition can further randomly comprise solvent.In brief, composition is distributed on the matrix and evaporating solvent and water just can form film.The solvent of any remnants, water and pore-foaming agent if they exist, are exposed in one or more energy sources and long enough removing by the matrix with coating usually, to make low dielectric film.The material of spin-on deposition and film and the example of making their method can openly apply for 2004/0048960 and 2003/0224156 referring to the U.S., this with their whole introducings with for referencial use, and belong to the application's assignee.
Following silica source is applicable in spin-on deposition method or the CVD method in the present invention.Similarly, at least a following silica source typically constitutes the composition that can deposit with formation porous dielectric layer 103, for example together with optional pore-foaming agent, optional solvents and optional water.In chemical formula subsequently and in all chemical formulas in whole this document, the R group was not only selected independently with respect to having different other R groups of target of going up under term " independently " should be understood as that expression, also selected independently with respect to the identical R group of any other kind.For example, at formula R aSi (OR 1) 4-aIn, as " a " when being 2, two R groups do not need each other or and R 1Identical.In addition, in following formula, it is that Si-C key or O-C key are bonded to the organic group on purpose element such as Si or the O that term " any monovalent organic radical group " relates to by single C key.The example of any monovalent organic radical group comprises alkyl, aryl, unsaturated alkyl and/or the unsaturated alkyl group that is replaced by alkoxy, ester, acid, carbonyl or alkyl-carbonyl functional group.Alkyl can be straight chain, side chain or the cyclic alkyl that contains 1-5 carbon atom, for example methyl, ethyl, propyl group, butyl or amyl group.Be suitable for comprising phenyl, aminomethyl phenyl, ethylphenyl and fluorophenyl as the example of the aryl of any monovalent organic radical group.In some embodiments, the one or more hydrogen in alkyl can replace with other atom, and such as halogen atom (as fluorine), or oxygen atom replaces to obtain carbonyl or ether functional group.
Other example of silica source can comprise fluorinated silane or fluorinated siloxane, such as at US6, and those that are provided in 258,407.
When being included in cancellation, another example of silica source produces those compounds of Si-H key.
In yet another embodiment of the present invention, silica source can preferably have at least one and is bonded to carboxylate on the Si atom.The example of these silica source comprises tetraethoxysilane, methyl triethoxysilane, ethyl triethoxysilane and phenyl triethoxysilane.Except silica source wherein had at least a silica source of at least one Si atom that is connected with the carboxylate group, said composition can also comprise other silica source that not necessarily contains the carboxylate that is connected on the Si atom.
Silica source can also be the siloxane of straight chain, ring-type or side chain, the carbon silane of straight chain, ring-type or side chain, the silazane of straight chain, ring-type or side chain, or their potpourri.
Use the CVD method to be used for depositing for example embodiment of dielectric layer 103 at one of the present invention, use gaseous reagent to deposit this layer.Though phrase " gaseous reagent " is used to describe reagent sometimes at this, this phrase intention comprises reagent as the direct input reactor of gas, is transferred to reagent in the reactor as the solid of the reagent of the liquid input of gasification, distillation and/or with inert carrier gas.In the preferred embodiment of the present invention, this material forms by the PECVD method.In such method, gaseous reagent typically flows into reaction chamber such as in the vacuum chamber, thereby the plasma energy is given the gaseous reagent energy form film at least a portion matrix.In these embodiments, the co-electrodeposition method of the gaseous mixture that film can be by comprising at least a gas that contains silica precursor and at least a plasma polymerizable organic precursor or pore-foaming agent gas forms, or selectively successive sedimentation method forms.In some embodiments, the plasma energy that applies can be 0.02-7 watt/square centimeter, more preferably 0.3-3 watt/square centimeter.The flow velocity of every kind of gaseous reagent can be 10-5000sccm (per minute standard milliliter).Force value in the vacuum chamber between PECVD method depositional stage of the present invention can be the 0.01-600 holder, more preferably 1-10 holder.In some embodiments, this is deposited on 100-425 ℃, or 200-425 ℃, or carry out under 200-300 ℃ the temperature.Yet method parameter such as plasma energy, flow velocity, pressure and temperature can rely in the surface area of multiple factor such as matrix, employed precursor, the PECVD method employed equipment etc. and change is understandable.
In an embodiment of CVD method, wherein porous dielectric layer 103 is made up of Si, C, O, H and F substantially, and porous dielectric layer 103 is formed by following step: by matrix 100 is provided in vacuum chamber; Introduce gaseous reagent in vacuum chamber, wherein said gaseous reagent comprises at least a silica containing precursor gas selected in the group of being made up of organosilane and organosiloxane, randomly supplies fluorine precursor gas and at least a pore-foaming agent; And the gaseous reagent in this vacuum chamber applies the reaction of energy with the initiation gaseous reagent, thereby forms film on matrix.Suitable pore-foaming agent precursor and other example that contains silicon precursor be referring to U.S. series of patents US 6,726,770,6,583,048 and 6,846,515, this with their whole introducings with for referencial use and belong to the application's assignee.Other suitable pore-foaming agent precursor can be referring to U.S. Patent Publication No. US2002/0180051, and patent series number US 6,441,491 and 6,437,443, introduces with for referencial use at this full content with them.
Method of the present invention is included in the step that forms antireflecting coating on the dielectric layer.Refer now to Figure 1B, antireflecting coating 104 is deposition on dielectric layer 103.Antireflecting coating 104 for example can be, bottom antireflective coating (BARC), hard mask, etching protective layer, photoresist, sacrifice peel ply, chemical barrier, tack coat, or can in integrated device electronics autofrettage flow process, show the above-mentioned functions of any number.
According to the present invention, antireflecting coating 104 is by formula Si vO wC xN uH yF zThe film of expression, v+w+x+u+y+z=100% wherein, v is 10-35 atom %, and w is 5-65 atom %, and x is 5-80 atom %, and u is 0-50 atom %, y is that 10-50 atom % and z are 0-15 atom %.Preferred antireflecting coating 104 forms by the composition chemical vapor deposition, and wherein said composition comprises at least a precursor of selecting in the group of (1) being made up of organosilane, organosiloxane and amino silane; (2) hydrocarbon.This hydrocarbon is used to provide the light absorption species.Species whether absorbing wavelength less than the light of 400nm, be to minimize the light that is reflected by the gained film, for example measure towards the ability of the light of surface layer or photoresist reflection, but its still at long wavelength such as being transparent substantially at the 632nm place that implements calibration method.Light absorption can be passed through multiple instrument such as spectrophotometer, reflectometer and ellipsometer measurement, and can be regarded as the imaginary part of refractive index in some cases owing to the absorbability that is usually directed to film.
In some embodiments of the present invention, hydrocarbon is organic hydrocarbon, and is different from organosilane, organosiloxane and amino silane.In some embodiments of the present invention, organic hydrocarbon only is made up of carbon and hydrogen atom.
Following is the limiting examples that is selected from by at least a precursor in the group of forming of organosilane, organosiloxane and amino silane, and wherein this precursor is fit to use with other hydrocarbon of phase region.In chemical formula subsequently and in all chemical formulas in whole this document, the R group was not only selected independently with respect to having different other R groups of target of going up under term " independently " should be understood as that expression, also selected independently with respect to the identical R group of any other kind.For example, at formula R 1 n(OR 2) 4-nAmong the Si, when " n " is 2 or 3, two or three R 1Group does not need each other or and R 2Identical.
Two (tert-butyl group amino) silane is the limiting examples of at least a precursor, the amino silane of this precursor for being fit to use with other hydrocarbon of phase region.Two (tert-butyl group amino) silane has formula (t-C 4H 9NH) 2Si (H) 2
Following be expression some be fit to the general formula of the silicon-based precursors used with other light absorber of phase region:
(a) formula R 1 n(OR 2) p(O (O) CR 3) 4-(n+p)Si, wherein R 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; And p is 0-4 (example: diethoxymethyl silane, dimethyldimethoxysil,ne, dimethyl diacetoxy silane, methyl acetoxyl group tert-butoxy silane);
(b) formula R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-O-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H or C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, prerequisite is n+p≤3 and m+q≤3 (example: 1,3-dimethyl-1,3-diethoxy disiloxane, 1,3-dimethyl-1,3-diacetoxy disiloxane, 1,3-dimethyl-1-acetoxy-3-ethoxy disiloxane, 1,3-dimethyl-1,3-diacetoxy-1,3-diethoxy disiloxane);
(c) formula R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, prerequisite be n+p≤3 and m+q≤3 (example: 1,2-dimethyl-1,1,2,2-tetraethoxy disilane, 1,2-dimethyl-1,1,2,2-tetrem acyloxy disilane, 1,2-dimethyl-1-acetoxyl group-2-ethoxy disilane, 1,2-dimethyl-1,2-diacetoxy-1,2-diethoxy disilane);
(d) formula R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-R 7-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 6And R 7Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing, selectively, R 7Be amine or organic amine group; R 4And R 5Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(e) formula (R 1 n(OR 2) p(O (O) CR 3) 3-(n+p)Si) tCH 4-t, R wherein 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 2-4, and prerequisite is n+p≤4;
(f) formula (R 1 n(OR 2) p(O (O) CR 3) 3-(n+p)Si) tNH 3-t, R wherein 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-3, and prerequisite is n+p≤4;
(g) formula (OSiR 1R 3) xCyclosiloxane, R wherein 1And R 3Be H independently, C 1-C 4, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the integer (example: 1,3,5,7-tetramethyl-ring tetrasiloxane, eight (octa) methyl cyclotetrasiloxane) of 2-8;
(h) formula (NR 1SiR 1R 3) xThe ring silazane, R wherein 1And R 3Be H independently, C 1-C 4, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the integer of 2-8;
(i) formula (CR 1R 3SiR 1R 3) xRing carbon silane, R wherein 1And R 3Be H independently, C 1-C 4, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the integer of 2-8;
(k)) formula R 1 n(OR 2) p(NR 3) 4-(n+p)Si, wherein R 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; And p is 0-3;
(l) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-O-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(m) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(n) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-R 7-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 6And R 7Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing, selectively, R 7Be amine or organic amine group; R 4And R 5Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(o) formula (R 1 n(OR 2) p(NR 3) 3-(n+p)Si) tCH 4-t, R wherein 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-4, and prerequisite is n+p≤4;
(p) formula (R 1 n(OR 2) p(NR 3) 3-(n+p)Si) tNH 3-t, R wherein 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-3, and prerequisite is n+p≤4.
Above-mentioned precursor can mix or have the light absorption substituting group that adheres to light absorber, and can with other molecules of these kinds and/or with the molecular mixing of identical type.Example: TEOS, triethoxysilane, two tert-butoxy silane, silane, disilane, ditert-butyldiacetyl oxygen-base silane etc.
Following for being suitable for the limiting examples of the hydrocarbon in the embodiment of the present invention, wherein this hydrocarbon is different from this at least a precursor that is selected from the group of being made up of organosilane and organosiloxane.In other words, following compound is suitable as according to light absorber of the present invention.
1) general formula C nH 2nCyclic hydrocarbon, n=4-14 wherein, wherein the carbon number in the ring structure is 4-12, and the hydrocarbon that substitutes onto a plurality of simple or side chain on the ring structure can be arranged.Example comprises: cyclohexane, trimethyl-cyclohexane, 1-methyl-4 (1-Methylethyl) cyclohexane, cyclooctane, methyl cyclooctane, cyclooctene, cyclo-octadiene, cycloheptene, cyclopentene, cyclohexene and 1,5,9-cyclodoecatriene.
2) general formula C nH (2n+2)-2yStraight or branched, saturated, single or polyunsaturated hydrocarbon, n=2-20 wherein, and y=0-n wherein.Example comprises ethene, propylene, acetylene, neohexane etc.
3) general formula C nH 2n-2xList or polyunsaturated cyclic hydrocarbon, wherein x is the number of unsaturated point in the molecule, n=4-14, wherein the carbon number in ring structure is 4-10, and the hydrocarbon that substitutes onto a plurality of simple or side chain on the ring structure can be arranged.Unsaturated link can be positioned on the hydrocarbon substituent of the inside of bridged ring or ring structure.Example comprises cyclohexene, vinyl cyclohexane, dimethyl cyclohexene, tert-butyl group cyclohexene, α-terpinene, firpene, 1,5-dimethyl-1,5-cyclo-octadiene, vinyl cyclohexene etc.
4) general formula C nH 2n-2Dicyclic hydrocarbon, n=4-14 wherein, wherein the carbon number in the twin nuclei is 4-12, and the hydrocarbon that substitutes onto a plurality of simple or side chain on the ring structure can be arranged.Example comprises: norcamphane, spironane, naphthalane etc.
5) general formula C nH 2n-(2+2x)How unsaturated dicyclic hydrocarbon, wherein x is the number of unsaturated point in the molecule, n=4-14, wherein the carbon number in the twin nuclei is 4-12, and the hydrocarbon that substitutes onto a plurality of simple or side chain on the ring structure can be arranged.Unsaturated link can be positioned on the hydrocarbon substituent of the inside of bridged ring or ring structure.Example comprises: amphene, norborene (norbornene), norbornadiene (norbornadiene) etc.
6) general formula C nH 2n-4Tricyclic hydrocarbon, n=4-14 wherein, wherein the carbon number in the tricyclic structure is 4-12, and the hydrocarbon that substitutes onto a plurality of simple or side chain on the ring structure can be arranged.Example is a diamantane.
In yet another embodiment of the present invention, at least one precursor and (2) hydrocarbon that is selected from the group of being made up of organosilane and organosiloxane is the part of same precursor molecule.Correspondingly, structure forms precursor and needs not to be different molecules with the light absorption precursor, and in some embodiments, light absorber is the part (for example being covalently bound to structure forms on the precursor) that structure forms precursor.Contain the precursor that is combined with light absorber and be called " light absorption precursor " at this sometimes.For example, using the phenyl methyl diethoxy silane is possible as single species, and the diethoxy silane of molecule has partly formed basic OSG structure thus, and bulky phenyl substituent is the light absorption species.Have the species of linking the light absorber on the Si, can help cancellated formation, help realizing in higher efficiency absorber of light being attached in the film during deposition process.In addition, having two absorber of light in precursor is attached on the Si, such as the diphenyl diethoxy silane, or have two Si and be attached on the absorber of light, such as 1, two (diethoxy silicyl (the silyl)) hexamethylenes-2 of 4-, 5-diene, also be favourable, because be the Si-carbon bond at the key that in ion plasma, may rupture during the deposition process.So, the reaction of a Si-absorber of light key also can cause introducing optical absorption characteristics in the film of deposition in ion plasma.
Following is the limiting examples with silicon-based precursors of light absorpting ability.In following example, the light absorption function ascribes one or more R to 1, R 3Or R 7:
A) formula (OSiR 1R 3) cyclosiloxane of x, wherein R 1And R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x can be the arbitrary integer (example: 1-new hexyl-1,3,5,7-tetramethyl-ring tetrasiloxane) of 2-8;
B) R 1 n(OR 2) p(NR 3) 4-(n+p)Si, wherein R 1Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; P is 0-4 (example: dimethylamino-tert-butoxy-Xin hexyl silane, and diethoxy-Xin hexyl silane);
C) R 1 n(OR 2) p(NR 4) 3-n-pSi-O-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; P is 0-3; And q is 0-3 (example: 1,3-diethylamino-1, the new hexyl disiloxane of 3-two tert-butoxies-1-and 1,3-diethoxy-1,3-diphenyl disiloxane);
D) R 1 n(OR 2) p(NR 4) 3-n-pSi-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; P is 0-3; And q is 0-3 (example: 1,2-dipropyl amino-1, the new hexyl disilane of 2-two tert-butoxies-1-and 1, the new hexyl disilane of 2-diethoxy-1-);
E) formula (OSi (R 1) a(OR 2) b(NR 3) cThe cyclosiloxane of x, wherein R 1, R 2And R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; A, b and c are 0-2 and a+b+c=2; And x is the arbitrary integer (example: 1, two (dimethoxy silicyl) cyclohexanes of 4-) of 2-8;
F) R 1 n(OR 2) p(O (O) CR 3) 4-(n+p)Si, wherein R 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; And p is 0-4, and prerequisite is at least one R 1By C 3Or bigger hydrocarbon replaces;
G) R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-O-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5And R 6Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3, and R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
H) R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5And R 6Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3, and R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
I) R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-R 7-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5, R 6And R 7Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing, selectively, R 7Be amine or organic amine group; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3, and R 1, R 3And R 7In at least one by C 3Or bigger hydrocarbon replaces;
J) (R 1 n(OR 2) p(O (O) CR 3) 3-(n+p)Si) tCH 4-t, R wherein 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-4, and prerequisite is n+p≤4 and at least one R 1By C 3Or bigger hydrocarbon replaces;
K) (R 1 n(OR 2) p(O (O) CR 3) 3-(n+p)Si) tNH 3-t, R wherein 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-3, and prerequisite is n+p≤4 and at least one R 1By C 3Or bigger hydrocarbon replaces;
L) formula (OSiR 1R 3) xCyclosiloxane, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8, and prerequisite is R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
M) formula (NR 1SiR 1R 3) xThe ring silazane, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8, and prerequisite is R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces; Or
N) formula (CR 1R 3SiR 1R 3) xRing carbon silane, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8, and prerequisite is R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
O) R 1 n(OR 2) p(NR 3) 4-(n+p)Si, wherein R 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; And p is 0-4, and prerequisite is at least one R 1By C 3Or bigger hydrocarbon replaces;
P) R 1 n(OR 2) p(NR 4) 3-n-pSi-O-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5And R 6Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3, and R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
Q) R 1 n(OR 2) p(NR 4) 3-n-pSi-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5And R 6Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3, and R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
R) R 1 n(OR 2) p(NR 4) 3-n-pSi-R 7-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5, R 6And R 7Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing, selectively, R 7Be amine or organic amine group; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3, and R 1, R 3And R 7In at least one by C 3Or bigger hydrocarbon replaces;
S) (R 1 n(OR 2) p(NR 3) 3-(n+p)Si) tCH 4-t, R wherein 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; P is 0-4; And t is 1-4, and prerequisite is n+p≤4 and at least one R 1By C 3Or bigger hydrocarbon replaces;
T) (R 1 n(OR 2) p(NR 3) 3-(n+p)Si) tNH 3-t, R wherein 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-3, and prerequisite is n+p≤4 and at least one R 1By C 3Or bigger hydrocarbon replaces;
U) formula (OSi (R 1) a(OR 2) b(NR 3) c) cyclosiloxane of x, wherein R 1, R 2And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8; A, b and c are 0-2 and a+b+c=2, and prerequisite is R 1, R 2And R 3In at least one by C 3Or bigger hydrocarbon replaces;
V) formula (NR 1Si (R 1) a(OR 2) b(NR 3) c) the ring silazane of x, wherein R 1, R 2And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8; A, b and c are 0-2 and a+b+c=2, and prerequisite is R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces; With
W) formula (CR 1R 3Si (OR 2) b(NR 3) c) the ring carbon silane of x, wherein R 1, R 2And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; X is the arbitrary integer of 2-8; And b and c are 0-2 and b+c=2, and prerequisite is R 1, R 2And R 3In at least one by C 3Or bigger hydrocarbon replaces;
Preferred R 1, R 3And R 7In at least one by C 3Or bigger hydrocarbon replaces with as light absorber, and can adopt optional post-processing step at least a portion with the modification light absorber.The present invention some preferred embodiment in, each R in above-mentioned formula 1, R 2, R 3, R 4And R 7Be hydrogen or C 5-C 7Hydrocarbyl group.
Above-mentioned precursor can with other molecules of these identical type and/or with molecular mixing of the same race, except when n and/or m are outside the 0-3.
In all above-mentioned embodiments, hydrocarbon (being the light absorption component) is not removed from antireflecting coating basically.As used herein, it is characteristic of the present invention that term " is not removed from antireflecting coating " basically, wherein wishes to exist the carbon kind that comes from hydrocarbon to participate in giving the coating anti-reflective.Correspondingly, as the result of some process conditions behind process deposition of antiglare layer 104, though removed some carbon by way of parenthesis, carbon still is present in the coating basically to absorb the light of required wavelength.
In the preferred embodiment of the present invention, anti-reflecting layer 104 is films, it not only has light absorption, with respect to for example organic polymer antireflection material, also have improved elching resistant, structural integrity, mechanical property, thermal stability and chemical stability (to oxygen, oxidizing aqueous environment etc.).
In the preferred embodiment of the present invention, anti-reflecting layer 104 comprises: (a) silicon of the about 35 atom % of about 1-; (b) oxygen of the about 40 atom % of about 1-; (c) hydrogen of the about 50 atom % of about 10-; (d) carbon of the about 80 atom % of about 5-, film also can contain the nitrogen of the 0.1-50 atom % that has an appointment, and/or the fluorine of the about 15 atom % of 0.1-, to improve one or more material properties.Other element of minor amount also may reside in films more of the present invention.Therefore film of the present invention is compound substance, opposite with the organic antireflecting material that lacks structural integrity and film properties by introducing inorganic group.
Antireflecting coating of the present invention need not used oxygenant during thin film deposition.Oxygenant be interpreted as can the oxidation organic group based on current purpose that part of (O for example 2, N 2O, ozone, hydrogen peroxide, NO, NO 2, N 2O 4, or their potpourri), do not have the oxygenant of interpolation in the gas phase, will help the maintenance of desirable light absorption species in film.This makes the introducing of carbon of desired amount that the performance of expectation must be provided, such as light absorption and elching resistant.
Antireflecting coating of the present invention also can contain fluorine, and it is organic (C-F n) or the form of inorganic fluorine (for example Si-F).
Antireflecting coating of the present invention is compatible with the various chemical methodes of making electronic equipment, and can stick on the various materials, such as silicon, SiO 2, Si 3N 4, OSG, FSG, silit, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon-carbon nitride, silicon-carbon hydride nitride, boron nitride, advanced low-k materials, photoresist, organic polymer, porous is organic and inorganic material, metal such as copper and aluminium and diffusion impervious layer such as, but not limited to TiN, Ti (C) N, TaN, Ta (C) N, Ta, W, WN or W (C) N.Such film can stick to the distraction test that is enough at least a previous materials by routine, such as ASTMD3359-95a band distraction test, if wherein do not have recognizable film to move then evaluate sample by this test.
Preferably, with the about 10 microns thickness of the antireflecting coating 104 about 0.002-of deposition, although thickness can change as required.Being deposited on not, the lip-deep coverlay of patterning has excellent uniformity coefficient, it is at the thickness deviation that has on the whole matrix on 1 standard deviation less than 2%, this matrix does not wherein for example include in the statistical computation of uniformity coefficient in the 5mm of matrix outermost edge based on reasonable edge exclusion.。
The absorbance log of film can be by changing the chemical constitution and the mode of deposition of film, and adopt the aftertreatment of optional customised films performance based on concrete application to increase.
Precursor can join in the reactor independently by separate sources, or adds as potpourri.Can adopt several different methods with in the precursor input reactor, preferably use suitable valve and device be equipped with can carry the pressurizeed rustless steel container of liquid to the method reactor.
In some embodiments, the potpourri of different precursors, for example organosilane and/or organosiloxane and/or amino silane are to mix to use.Multiple different light absorber, and be combined with organosilane and/or organosiloxane and/or amino silane, for example be connected with the organosilane and/or the organosiloxane kind of light absorber, mix use and also belong to scope of the present invention.Such embodiment is convenient to be adjusted in light absorber in the final products and the ratio of Si, and/or strengthens the critical performance of one or more structures.For example, utilize diethoxymethyl silane (DEMS) may use other organosilicon as the deposition in initial light absorption function source, for example tetraethoxysilane (TEOS) improves the physical strength of film.Similarly example can be that DEMS is added in the reaction of using organosilicon phenyl methyl diethoxy silane, and the phenyl that wherein is attached on the precursor is used as light absorber.Other example can be to add ditert-butyldiacetyl oxygen-base silane in the reaction of using diphenyl silane and light absorber.In some embodiments, provide have two or still less the Si-O key first organosilicon precursor and have the potpourri of second organosilicon precursor of three or more Si-O keys, with the chemical composition of allotment film of the present invention.
Except structure forms species and light absorption species, can before the deposition reaction, during and/or in vacuum chamber, add other material afterwards.Such material comprises, for example inert gas (for example He, Ar, N 2, Kr, Xe etc., it can be used as the carrier gas of volatile precursor still less, and/or can promote the curing of deposition materials and more stable final film is provided) and reactive materials, such as gaseous state or liquid organic substance, NH 3, H 2, CO 2, or CO.CO 2It is preferred carrier gas.
Apply energy to cause gas reaction and on matrix, to form film to gaseous reagent.Such energy can be by for example heat, plasma, pulse plasma, spiral wave plasma, high-density plasma, induce coupling plasma and remote plasma method to provide.Can use secondary rf frequency source to improve characteristics of plasma in stromal surface.Preferably, film forms by plasma reinforced chemical vapour deposition.It is particularly preferred producing the capacitive coupling plasma at 13.56MHz frequency place.Plasma energy is preferably 0.02-7 watt/square centimeter, and more preferably 0.3-3 watt/square centimeter is based on the surface area of matrix.Can advantageously adopt the carrier gas with low ionization energy to reduce the electron temperature in the ion plasma, it can produce fragmentation still less conversely in OSG precursor and light absorber.The example of the carrier gas of the low ionization energy of this class comprises CO 2, NH 3, CO, CH 4, Ar, Xe, Kr.
The flow velocity of various gaseous reagents is preferably every single 200mm wafer 10-5000sccm, more preferably 30-1000sccm.Thereby select individual rates in film, to provide the structure of desired amount to form and hole formation.Required actual speed rate depends on the size and the cell structure of wafer, and never is limited to 200mm wafer or single wafer chamber.
After forming antireflecting coating 104, photoresist layer 130 can be at its end face patterning, and to touch off, for example, guide hole forms the zone, and it is used to receive the conductive layer that the meeting that forms subsequently touches conductive layer 101.Photoresist layer 130 can use conventional photolithographic techniques and patterning, and such as the masking photoresist layer, the layer after this is sheltered is exposed in the light, and this photoresist layer then develops.Resulting structures is presented among Fig. 1 C, it is illustrated in semiconductor equipment make during formed structure.This structure comprises: the layer 103 of the patternable that on matrix 100, forms, and the antireflecting coating 104 that on the layer 103 of this patternable, forms, wherein antireflecting coating 104 is by Si vO wC xN uH yF zExpression, v+w+x+u+y+z=100% wherein, v is 10-35 atom %, and w is 5-65 atom %, and x is 5-80 atom %, and u is 0-50 atom %, y is that 10-50 atom % and z are 0-15 atom %; And the photoresist pattern 130 that on antireflecting coating 104, forms, wherein this antireflecting coating is formed by following material chemical vapor deposition: at least a precursor of selecting in the group that (1) is made up of organosilane, organosiloxane and amino silane; (2) hydrocarbon, and wherein this hydrocarbon is not removed from antireflecting coating basically.
Behind photoresist layer 130 patternings, pass porous dielectric layer 103 down to restraining barrier 102 etching guide holes 107 as etch-stop.The conventional method step of passing dielectric layer etch can be used for the etching guide hole, for example Chang Gui anisotropic dry etch method.Under suitable temperature and pressure, use isotropy or anisotropy subsequently and form the gas ash to remove photoresist.Can carry out guide hole cleaning, structure shown in the production drawing 1D subsequently.
After guide hole 107 etchings, must remove the antireflecting coating 104 and the photoresist 130 of remainder.It is preferred adopting following method to finish, and wherein this method is removed the speed of antireflecting coating 104 and photoresist 130 significantly than the speed height of removing porous dielectric layer 103.In some embodiments of the present invention, the antireflecting coating 104 of remainder and photoresist 130 are removed by dry ecthing method, and this method is with than removing antireflecting coating 104 and the photoresist 130 that porous dielectric layer 103 remarkable high speed are removed remainder.
In the preferred embodiment of the present invention, the wet etching chemical substance that can adopt comprises that for example solvent and/or removing are filled a prescription.Solvent can be, for example alcoholic solvent, ketone solvent, amide solvent or ester solvent.In some embodiments, solvent can be a supercritical fluid, such as carbon dioxide, fluorocarbon, sulfur hexafluoride, alkane and other suitable multi-component combination etc.In some embodiments, one or more solvents that are used for the present invention have low relatively boiling point, promptly are lower than 160 ℃.These solvents include, but are not limited to tetrahydrofuran, acetone, 1,4-diox, 1,3-diox, ethyl acetate and methyl ethyl ketone.Can comprise dimethyl formamide, dimethyl acetamide, N-Methyl pyrrolidone, ethylene carbonate, propylene carbonate, glycerine and derivant thereof, naphthalene and substituent thereof, acetic anhydride, propionic acid and propionic andydride, dimethyl sulfone, Benzophenone, diphenyl sulfone, phenol, metacresol, dimethyl sulfoxide (DMSO), diphenyl ether, terphenyl etc. with other solvent that still has the boiling point that is higher than 160 ℃ in the present invention.Preferred solvent comprises propylene glycol propyl ether (PGPE), 3-enanthol, 2-methyl-1-pentene alcohol, 5-methyl-2-hexanol, 3-hexanol, 2-enanthol, 2-hexanol, 2,3-dimethyl-3-amylalcohol, propylene glycol methyl ether acetate (PGMEA), ethylene glycol n-butyl ether, propylene glycol n-butyl ether (PGBE), 1-butoxy-2-propyl alcohol, 2-methyl-3-amylalcohol, 2-methoxy ethyl acetic acid esters, butoxy ethanol, 2-ethoxyethyl group acetoacetic ester, 1-amylalcohol and propylene glycol monomethyl ether.Exemplary solvent in addition also comprises lactate, pyruvate and glycol.Exemplary solvent in addition comprises EP1, listed those in 127,929.Above-mentioned cited solvent can use separately or two or more solvents are used in combination.
Wet method is removed and can be adopted one or more to remove prescription.These prescriptions can be solvent based, water base, that contain amine, fluorine-containing, buffering or their combination.The porous dielectric material desiring to dispose and the characteristic of porous sacrificial light absorbing material are depended in the selection of concrete prescription.The example of suitable removing prescription is included in the U.S. series of patents US 6 that has authorized, 583,104,6,677,286,6,627,546,6,828,289 and described in the laid-open U.S. Patents application 2004/0266637,2004/0063042,2003/0130146 and 2003/0148910 those, at this it is all introduced with for referencial use, and belong to the application's assignee.
Though, set forth the antireflecting coating of the present invention benefit relevant with etching dielectric material, those of ordinary skill in the art will appreciate that still antireflecting coating of the present invention can be used for other matrix of etching, for example silicon, aluminium, metal, metal oxide and barrier material.
Be described in more detail the present invention with reference to following examples, but be to be understood that should not be considered as the present invention is limited to these embodiment.
Embodiment
All tests all are being equipped with in Applied Materials Precision-5000 system in the 200mm DxZ chamber of AdvanceEnergy 2000 radio-frequency signal generators, use the TEOS pack processing that undopes to carry out.Method for making comprises following basic steps: preliminary foundation and steady air flow deposits, and moves preceding purification/evacuated chamber at wafer.Thickness, refractive index and extinction coefficient are by SCI Filmtek 2000 reflectometries.
Embodiment 1:BTBAS (amino silane)
Use dual-tert-butyl amino silane (BTBAS) deposit film on silicon wafer by pecvd process.In having the 200mm Applied Materials DxZ PECVD chamber of 150 ℃ of base-plate temps, handle this wafer.Mode of deposition is listed in the table 1.In case establish BTBAS (200mgm) and N 2(750sccm) flow velocity just holds in the palm pressure stability 3.0.Apply then radio-frequency power (13.56MHz, 200W) 120 seconds with the deposition Si vO wN xC yH zFilm.The deposition back is taken out silicon wafer and is used this chamber of NF3 plasma cleans from the PECVD chamber.Use reflectometry Si vO wN xC yH zThickness of film (190nm) and refractive index (1.53).The absorbance log of film is presented among Fig. 2 by the relation between mapping extinction coefficient and wavelength coverage 240-950nm.
Mode of deposition and the film properties of table 1:BTBAS embodiment
BTBAS(mgm) N 2 (sccm) NH 3 (sccm) P (torr) RF (W) T (C) d (nm) Rl
BTBAS
200 750 0 3.0 200 150 190 1.53
BTBAS-NH 3 400 200 500 2.5 400 150 816 1.49
Embodiment 2:BTBAS-NH 3
Use dual-tert-butyl amino silane (BTBAS) and ammonia (NH by pecvd process 3) with Si vO wN xC yH zFilm is deposited on the silicon wafer.In having the 200mm AppliedMaterials DxZ PECVD chamber of 150 ℃ of base-plate temps, handle this wafer.Mode of deposition is listed in the table 1.In case establish BTBAS (200mgm), N 2(200sccm) and NH 3Flow velocity (500sccm) just holds in the palm pressure stability 2.5.Apply then radio-frequency power (13.56MHz, 400W) 300 seconds with the deposition Si vO wN xC yH zFilm.The deposition back is taken out silicon wafer and is used NF from the PECVD chamber 3This chamber of plasma cleans.Use reflectometry Si vO wN xC yH zThickness of film (816nm) and refractive index (1.49).The absorbance log of film is presented among Fig. 2 by the relation between mapping extinction coefficient and wavelength coverage 240-950nm.
Embodiment 3:DEMS and ATRP
Reference table 2 is co-deposited to composite organic-inorganic material on the silicon wafer by α-terpinene (ATRP) and diethoxymethyl silane (DEMS) by PECVD.A2 is taken turns in reference second, and for example the method condition is 540 milligrams of per minutes (mgm) ATRP flow velocity and 60mgm DEMS flow velocity.Adopt the CO of carrier gas stream 200sccm 2Escort chemical substance and enter the settling chamber.Other method condition is as follows: constant pressure 5 holder, 400 ℃ of wafer clamp temperature, apart 0.35 inch of spray head and wafer, and 800 watts of plasma power.As shown in Figure 3, at 3000cm -1Near FT-IR absorbs and demonstrates the significant hydrocarbon content of these films.Also observe strong C=C and absorb (~1600cm -1).With respect to coml spinning anti-reflective coating layer material, these materials provide the profile of extinction coefficient as shown in Figure 4.After the UV radiation, refractive index that records and extinction coefficient generally increase to 1.72 by about 1.65.
These mode of deposition are obviously more radical than used those in the typical porous OSG deposition.For example, deposition according to the present invention 400 ℃, 5 the holder and the 800W radio-frequency power under carry out; In the typical deposition methods of making porous OSG film, temperature may be lower than 300 ℃, and reaction pressure may be about 8-10 holder, and radio-frequency power may be about 500-600W.For realizing the improvement of antireflecting coating of the present invention, force plasma obviously more radical, thereby cause carbon in whole further process, to retain basically reaction conditions.
Mode of deposition and the film properties of table 2:DEMS+ATRP embodiment
Wheel The ATRP flow velocity 80/20 ATRP/DEMS flow velocity The O2 flow velocity The Si-O peak area Si-CH3 (peak area, 1310) C-Hx (peak area) C=C key (peak area, 1607) The Si-CH3/Si-O ratio The C-Hx/Si-O ratio
A1
0 600 0 23.05 0.35 4.07 0.91 0.015 0.18
A2 300 300 0 9.96 0.18 3.17 0.72 0.018 0.32
A3 400 200 0 6.4 0.14 3.81 0.89 0.022 0.60
A4 300 300 20 9.58 0.09 2.74 0.71 0.009 0.29
26sccm C is used in the etch-rate test in AMAT Mark II RIE chamber 4F 8, 7sccm O 2, 168sccm Ar, 1000 watts 13.56MHz radio frequency (rf) power, 50 Gausses, under 35 millitorr pressure, carry out.Etch-rate to the film before and after the UV radiation is~120nm/min to be compared under identical condition SiO 2With porous OSG (k=2.5) film〉etch-rate of 300nm/min.The IR spectrum of antireflecting coating after etching before with respect to its etching is unchanged.
Fig. 5 absorbance log of film (customization) shows the absorptive character that how customize film by the change of process conditions.In this embodiment, only regulate the radio-frequency power of relative power density or every unit (unit) chemical substance stream.Notice that this parameter does not consider that chemical substance changes the change on the retention time of bringing along with the chemical substance flow velocity.Along with the increase of power density, (@240nm on the extinction coefficient) be linear increase, its display material has the absorption tendency higher to UV light.In other words, adopt more radical mode of deposition, for example higher power density, the carbon that is attached in the film will remain in the whole process.This with make porous organic glass material method therefor through PECVD and contrast, wherein unsettled carbon is incorporated into and is intended to remove in its film in this method.For example, Fig. 6 shows that according to antireflecting coating of the present invention (A3, above) wherein this high energy UV typically is used for removing carbon elimination from for example silicone glass film before being exposed to high energy UV and FTIR spectrum afterwards.Can see that in Fig. 6 alkyl carbon is to the transformation of aryl carbon and the reservation of C=C structure.The specific inductive capacity that is presented at the film among Fig. 6 is 3.65 before the UV radiation, and the dielectric coefficient that is presented at the film among Fig. 6 is 3.75 after the UV radiation.Even if this is illustrated in that carbon content in the film changes also is very little.
Though described the present invention with reference to its specific embodiment, scope of the present invention can be regarded as wideer and should be determined by following claim than these embodiments.

Claims (24)

1, a kind of method that in matrix, forms feature, it may further comprise the steps:
On matrix, form dielectric layer;
On dielectric layer, form antireflecting coating;
On antireflecting coating, form the photoresist pattern;
Photoresist etching dielectric layer by patterning; With
Remove antireflecting coating and photoresist,
Wherein antireflecting coating is by formula Si vO wC xN uH yF zThe film of expression, v+w+x+u+y+z=100% wherein, v is 1-35 atom %, and w is 1-40 atom %, and x is 5-80 atom %, and u is 0-50 atom %, y is that 10-50 atom % and z are 0-15 atom %,
Wherein antireflecting coating forms by the composition chemical vapor deposition, and wherein composition comprises at least a precursor that (1) is selected from the group that organosilane, organosiloxane and amino silane are formed; (2) hydrocarbon, and
Wherein said hydrocarbon is not removed from antireflecting coating basically.
2, according to the process of claim 1 wherein that dielectric layer is a porous.
3, according to the process of claim 1 wherein that antireflecting coating is hard mask.
4, according to the process of claim 1 wherein that antireflecting coating is to form by the composition chemical vapor deposition that comprises amino silane and hydrocarbon.
5, according to the method for claim 4, wherein amino silane is two (tert-butyl group amino) silane.
6, according to the process of claim 1 wherein that described hydrocarbon is different from least a precursor.
7, according to the method for claim 6, wherein said at least a precursor is at least a by what select in the following group of forming:
(a) formula R 1 n(OR 2) p(O (O) CR 3) 4-(n+p)Si, wherein R 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; And p is 0-4;
(b) formula R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-O-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H or C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(c) formula R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(d) formula R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-R 7-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 6And R 7Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing, selectively, R 7Be amine or organic amine group; R 4And R 5Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(e) formula (R 1 n(OR 2) p(O (O) CR 3) 4-(n+p)Si) tCH 4-t, R wherein 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 2-4, and prerequisite is n+p≤4;
(f) formula (R 1 n(OR 2) p(O (O) CR 3) 4-(n+p)Si) tNH 3-t, R wherein 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-3, and prerequisite is n+p≤4;
(g) formula (OSiR 1R 3) xCyclosiloxane, R wherein 1And R 3Be H independently, C 1-C 4, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8;
(h) formula (NR 1SiR 1R 3) xThe ring silazane, R wherein 1And R 3Be H independently, C 1-C 4, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8;
(i) formula (CR 1R 3SiR 1R 3) xRing carbon silane, R wherein 1And R 3Be H independently, C 1-C 4, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8;
(k) formula R 1 n(OR 2) p(NR 3) 4-(n+p)Si, wherein R 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; And p is 0-3;
(l) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-O-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(m) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(n) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-R 7-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 6And R 7Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing, selectively, R 7Be amine or organic amine group; R 4And R 5Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(o) formula (R 1 n(OR 2) p(NR 3) 4-(n+p)Si) tCH 4-t, R wherein 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-4, and prerequisite is n+p≤4; With
(p) formula (R 1 n(OR 2) p(NR 3) 4-(n+p)Si) tNH 3-t, R wherein 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-3, and prerequisite is n+p≤4.
8, method according to claim 7, wherein at least a precursor is to be selected from least a by in the following group of forming: diethoxymethyl silane, dimethyldimethoxysil,ne, dimethyl diacetoxy silane, methyl acetoxyl group tert-butoxy silane, 1,3-dimethyl-1,3-diethoxy disiloxane, 1,3-dimethyl-1,3-diacetoxy disiloxane, 1,3-dimethyl-1-acetoxy-3-ethoxy disiloxane, 1,3-dimethyl-1,3-diacetoxy-1,3-diethoxy disiloxane, 1,2-dimethyl-1,1,2,2-tetraethoxy disilane, 1,2-dimethyl-1,1,2,2-tetrem acyloxy disilane, 1,2-dimethyl-1-acetoxyl group-2-ethoxy disilane, 1,2-dimethyl-1,2-diacetoxy-1,2-diethoxy disilane, 1,3,5,7-tetramethyl-ring tetrasiloxane and octamethylcy-clotetrasiloxane.
9, method according to claim 7, wherein said hydrocarbon is to be selected from least a by in the following group of forming: cyclohexane, trimethyl-cyclohexane, 1-methyl-4 (1-Methylethyl) cyclohexane, cyclooctane, the methyl cyclooctane, cyclooctene, cyclo-octadiene, cycloheptene, cyclopentene, cyclohexene and 1,5, the 9-cyclodoecatriene, ethene, propylene, acetylene, neohexane, cyclohexene, vinyl cyclohexane, the dimethyl cyclohexene, tert-butyl group cyclohexene, α-terpinene, firpene, 1,5-dimethyl-1, the 5-cyclo-octadiene, vinyl cyclohexene, norcamphane, spironane, naphthalane, amphene, norborene, norbornadiene and diamantane.
10, according to the process of claim 1 wherein that described hydrocarbon is identical molecule with described at least a precursor.
11, according to the method for claim 10, wherein said at least a precursor is at least a by what select in the following group of forming:
A) formula (OSiR 1R 3) cyclosiloxane of x, wherein R 1And R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the integer of 2-8;
B) R 1 n(OR 2) p(NR 3) 4-(n+p)Si, wherein R 1Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; And p is 0-4;
C) R 1 n(OR 2) p(NR 4) 3-n-pSi-O-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; P is 0-3; And q is 0-3;
D) R 1 n(OR 2) p(NR 4) 3-n-pSi-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; P is 0-3; And q is 0-3;
E) formula (OSi (R 1) a(OR 2) b(NR 3) cThe cyclosiloxane of x, wherein R 1, R 2And R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; A, b and c are 0-2; A+b+c=2; And x is the integer of 2-8;
F) R 1 n(OR 2) p(O (O) CR 3) 4-(n+p)Si, wherein R 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; And p is 0-4, and prerequisite is at least one R 1By C 3Or bigger hydrocarbon replaces;
G) R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-O-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5And R 6Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3, and R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
H) R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5And R 6Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3, and R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
I) R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-R 7-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5, R 6And R 7Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing, selectively, R 7Be amine or organic amine group; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3, m+q≤3, and R 1, R 3And R 7In at least one by C 3Or bigger hydrocarbon replaces;
J) (R 1 n(OR 2) p(O (O) CR 3) 3-(n+p)Si) tCH 4-t, R wherein 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 14, and prerequisite is n+p≤4 and at least one R 1By C 3Or bigger hydrocarbon replaces;
K) (R 1 n(OR 2) p(O (O) CR 3) 3-(n+p)Si) tNH 3-t, R wherein 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-3, and prerequisite is n+p≤4 and at least one R 1By C 3Or bigger hydrocarbon replaces;
L) formula (OSiR 1R 3) xCyclosiloxane, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8, and prerequisite is R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
M) formula (NR 1SiR 1R 3) xThe ring silazane, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8, and prerequisite is R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces; Or
N) formula (CR 1R 3SiR 1R 3) xRing carbon silane, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8, and prerequisite is R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
O) formula R 1 n(OR 2) p(NR 3) 4-(n+p)Si, wherein R 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; And p is 0-4, and prerequisite is at least one R 1By C 3Or bigger hydrocarbon replaces;
P) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-O-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5And R 6Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3, m+q≤3, and R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
Q) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5And R 6Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3, m+q≤3, and R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
R) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-R 7-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5, R 6And R 7Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing, selectively, R 7Be amine or organic amine group; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3, and R 1, R 3And R 7In at least one by C 3Or bigger hydrocarbon replaces;
S) formula (R 1 n(OR 2) p(NR 3) 3-(n+p)Si) tCH 4-t, R wherein 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; P is 0-4; And t is 1-4, and prerequisite is n+p≤4 and at least one R 1By C 3Or bigger hydrocarbon replaces;
T) formula (R 1 n(OR 2) p(NR 3) 3-(n+p)Si) tNH 3-t, R wherein 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-3, and prerequisite is n+p≤4 and at least one R 1By C 3Or bigger hydrocarbon replaces;
U) formula (OSi (R 1) a(OR 2) b(NR 3) c) cyclosiloxane of x, wherein R 1, R 2And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; X is the integer of 2-8; A, b and c are 0-2 and a+b+c=2, and prerequisite is R 1, R 2And R 3In at least one by C 3Or bigger hydrocarbon replaces;
V) formula (NR 1Si (R 1) a(OR 2) b(NR 3) c) the ring silazane of x, wherein R 1, R 2And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the integer of 2-8; A, b and c are 0-2 and a+b+c=2, and prerequisite is R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces; With
W) formula (CR 1R 3Si (OR 2) b(NR 3) c) xRing carbon silane, R wherein 1, R 2And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; X is the integer of 2-8; B and c are 0-2 and b+c=2, and prerequisite is R 1, R 2And R 3In at least one by C 3Or bigger hydrocarbon replaces.
12, method according to claim 11, wherein said at least a precursor is at least a by what select in the following group of forming: 1-new hexyl-1,3,5, the 7-tetramethyl-ring tetrasiloxane, dimethylamino-tert-butoxy-Xin hexyl silane, diethoxy-Xin hexyl silane, 1,3-diethylamino-1, the new hexyl disiloxane of 3-two tert-butoxies-1-, 1,3-diethoxy-1,3-diphenyl disiloxane, 1,2-dipropyl amino-1, the new hexyl disilane of 2-two tert-butoxies-1-, 1, the new hexyl disilane of 2-diethoxy-1-and 1, two (dimethoxy silicyl) cyclohexanes of 4-.
13, a kind of structure that during semiconductor equipment is made, forms, this structure comprises:
Be formed on the layer of the patternable on the matrix;
Be formed on patternable the layer on antireflecting coating, wherein this antireflecting coating is by formula Si vO wC xN uH yF zExpression, v+w+x+u+y+z=100% wherein, v is 1-35 atom %, and w is 1-40 atom %, and x is 5-80 atom %, and u is 0-50 atom %, y is that 10-50 atom % and z are 0-15 atom %; With
Be formed on the photoresist pattern on the antireflecting coating,
Wherein antireflecting coating is to form by following material chemical vapor deposition: at least a precursor of selecting in the group that (1) is made up of organosilane, organosiloxane and amino silane; (2) hydrocarbon, and wherein this hydrocarbon is not removed from antireflecting coating basically.
14, according to the structure of claim 13, wherein dielectric layer is a porous.
15, according to the structure of claim 13, wherein antireflecting coating is hard mask.
16, according to the structure of claim 13, wherein antireflecting coating is to form by the composition chemical vapor deposition that comprises amino silane and hydrocarbon.
17, according to the structure of claim 16, wherein amino silane is two (tert-butyl group amino) silane.
18, according to the structure of claim 13, wherein hydrocarbon is different from least a precursor.
19, according to the structure of claim 18, wherein said at least a precursor is at least a by what select in the following group of forming:
(a) formula R 1 n(OR 2) p(O (O) CR 3) 4-(n+p)Si, wherein R 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; And p is 0-4;
(b) formula R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-O-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H or C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(c) formula R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H or C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(d) formula R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-R 7-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 6And R 7Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing, selectively, R 7Be amine or organic amine group; R 4And R 5Be H or C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(e) formula (R 1 n(OR 2) p(O (O) CR 3) 3-(n+p)Si) tCH 4-t, R wherein 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 2-4, and prerequisite is n+p≤4;
(f) formula (R 1 n(OR 2) p(O (O) CR 3) 3-(n+p)Si) tNH 3-t, R wherein 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-3, and prerequisite is n+p≤4;
(g) formula (OSiR 1R 3) xCyclosiloxane, R wherein 1And R 3Be H independently, C 1-C 4, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8;
(h) formula (NR 1SiR 1R 3) xThe ring silazane, R wherein 1And R 3Be H independently, C 1-C 4, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8;
(i) formula (CR 1R 3SiR 1R 3) xRing carbon silane, R wherein 1And R 3Be H independently, C 1-C 4, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8;
(k) formula R 1 n(OR 2) p(NR 3) 4-(n+p)Si, wherein R 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; And p is 0-3;
(l) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-O-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H or C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(m) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H or C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(n) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-R 7-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 6And R 7Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing, selectively, R 7Be amine or organic amine group; R 4And R 5Be H or C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3;
(o) formula (R 1 n(OR 2) p(NR 3) 3-(n+p)Si) tCH 4-t, R wherein 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-4, and prerequisite is n+p≤4; With
(p) formula (R 1 n(OR 2) p(NR 3) 3-(n+p)Si) tNH 3-t, R wherein 1Be H or C independently 1-C 4Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 6Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-3, and prerequisite is n+p≤4.
20, structure according to claim 19, wherein at least a precursor is to be selected from least a by in the following group of forming: diethoxymethyl silane, dimethyldimethoxysil,ne, dimethyl diacetoxy silane, methyl acetoxyl group tert-butoxy silane, 1,3-dimethyl-1,3-diethoxy disiloxane, 1,3-dimethyl-1,3-diacetoxy disiloxane, 1,3-dimethyl-1-acetoxy-3-ethoxy disiloxane, 1,3-dimethyl-1,3-diacetoxy-1,3-diethoxy disiloxane, 1,2-dimethyl-1,1,2,2-tetraethoxy disilane, 1,2-dimethyl-1,1,2,2-tetrem acyloxy disilane, 1,2-dimethyl-1-acetoxyl group-2-ethoxy disilane, 1,2-dimethyl-1,2-diacetoxy-1,2-diethoxy disilane, 1,3,5,7-tetramethyl-ring tetrasiloxane and octamethylcy-clotetrasiloxane.
21, structure according to claim 20, wherein said hydrocarbon is to be selected from least a by in the following group of forming: cyclohexane, trimethyl-cyclohexane, 1-methyl-4 (1-Methylethyl) cyclohexane, cyclooctane, the methyl cyclooctane, cyclooctene, cyclo-octadiene, cycloheptene, cyclopentene, cyclohexene and 1,5, the 9-cyclodoecatriene, ethene, propylene, acetylene, neohexane, cyclohexene, vinyl cyclohexane, the dimethyl cyclohexene, tert-butyl group cyclohexene, α-terpinene, firpene, 1,5-dimethyl-1, the 5-cyclo-octadiene, vinyl cyclohexene, norcamphane, spironane, naphthalane, amphene, norborene, norbornadiene and diamantane.
22, according to the structure of claim 13, wherein said hydrocarbon is identical molecule with described at least a precursor.
23, according to the structure of claim 22, wherein said at least a precursor is at least a by what select in the following group of forming:
A) formula (OSiR 1R 3) cyclosiloxane of x, wherein R 1And R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the integer of 2-8;
B) R 1 n(OR 2) p(NR 3) 4-(n+p)Si, wherein R 1Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2Be C independently 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; And p is 0-4;
C) R 1 n(OR 2) p(NR 4) 3-n-pSi-O-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; P is 0-3; And q is 0-3;
D) R 1 n(OR 2) p(NR 4) 3-n-pSi-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 6Be C independently 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; R 4And R 5Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; P is 0-3; And q is 0-3;
E) formula (OSi (R 1) a(OR 2) b(NR 3) cThe cyclosiloxane of x, wherein R 1, R 2And R 3Be H independently, C 1-C 12, straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; A, b and c are 0-2; A+b+c=2; And x is the integer of 2-8;
F) R 1 n(OR 2) p(O (O) CR 3) 4-(n+p)Si, wherein R 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; And p is 0-4, and prerequisite is at least one R 1By C 3Or bigger hydrocarbon replaces;
G) R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-O-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5And R 6Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3, and R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
H) R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5And R 6Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3, and R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
I) R 1 n(OR 2) p(O (O) CR 4) 3-n-pSi-R 7-SiR 3 m(O (O) CR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5, R 6And R 7Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing, selectively, R 7Be amine or organic amine group; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3, m+q≤3, and R 1, R 3And R 7In at least one by C 3Or bigger hydrocarbon replaces;
J) (R 1 n(OR 2) p(O (O) CR 3) 3-(n+p)Si) tCH 4-t, R wherein 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-4, and prerequisite is n+p≤4 and at least one R 1By C 3Or bigger hydrocarbon replaces;
K) (R 1 n(OR 2) p(O (O) CR 3) 3-(n+p)Si) tNH 3-t, R wherein 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-3, and prerequisite is n+p≤4 and at least one R 1By C 3Or bigger hydrocarbon replaces;
L) formula (OSiR 1R 3) xCyclosiloxane, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8, and prerequisite is R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
M) formula (NR 1SiR 1R 3) xThe ring silazane, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8, and prerequisite is R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces; Or
N) formula (CR 1R 3SiR 1R 3) xRing carbon silane, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the arbitrary integer of 2-8, and prerequisite is R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
O) formula R 1 n(OR 2) p(NR 3) 4-(n+p)Si, wherein R 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; And p is 0-4, and prerequisite is at least one R 1By C 3Or bigger hydrocarbon replaces;
P) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-O-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5And R 6Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3, m+q≤3, and R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
Q) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5And R 6Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3, m+q≤3, and R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces;
R) formula R 1 n(OR 2) p(NR 4) 3-n-pSi-R 7-SiR 3 m(NR 5) q(OR 6) 3-m-q, R wherein 1And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2, R 4, R 5, R 6And R 7Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing, selectively, R 7Be amine or organic amine group; N is 0-3; M is 0-3; Q is 0-3; And p is 0-3, and prerequisite is n+p≤3 and m+q≤3, and R 1, R 3And R 7In at least one by C 3Or bigger hydrocarbon replaces;
S) formula (R 1 n(OR 2) p(NR 3) 3-(n+p)Si) tCH 4-t, R wherein 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-4; P is 0-4; And t is 1-4, and prerequisite is n+p≤4 and at least one R 1By C 3Or bigger hydrocarbon replaces;
T) formula (R 1 n(OR 2) p(NR 3) 3-(n+p)Si) tNH 3-t, R wherein 1Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; R 2And R 3Be C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, aromatics, the hydrocarbon of partly or entirely fluoridizing; N is 0-3; P is 0-3; And t is 1-3, and prerequisite is n+p≤4 and at least one R 1By C 3Or bigger hydrocarbon replaces;
U) formula (OSi (R 1) a(OR 2) b(NR 3) c) cyclosiloxane of x, wherein R 1, R 2And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; X is the integer of 2-8; A, b and c are 0-2 and a+b+c=2, and prerequisite is R 1, R 2And R 3In at least one by C 3Or bigger hydrocarbon replaces;
V) formula (NR 1Si (R 1) a(OR 2) b(NR 3) c) the ring silazane of x, wherein R 1, R 2And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; And x is the integer of 2-8; A, b and c are 0-2 and a+b+c=2, and prerequisite is R 1And R 3In at least one by C 3Or bigger hydrocarbon replaces; With
W) formula (CR 1R 3Si (OR 2) b(NR 3) c) xRing carbon silane, R wherein 1, R 2And R 3Be H or C independently 1-C 12Straight or branched, saturated, single or polyunsaturated, ring-type, the hydrocarbon of partly or entirely fluoridizing; X is the integer of 2-8; B and c are 0-2 and b+c=2, and prerequisite is R 1, R 2And R 3In at least one by C 3Or bigger hydrocarbon replaces.
24, structure according to claim 23, wherein said at least a precursor is at least a by what select in the following group of forming: 1-new hexyl-1,3,5, the 7-tetramethyl-ring tetrasiloxane, dimethylamino-tert-butoxy-Xin hexyl silane, diethoxy-Xin hexyl silane, 1,3-diethylamino-1, the new hexyl disiloxane of 3-two tert-butoxies-1-, 1,3-diethoxy-1,3-diphenyl disiloxane, 1,2-dipropyl amino-1, the new hexyl disilane of 2-two tert-butoxies-1-, 1, the new hexyl disilane of 2-diethoxy-1-and 1, two (dimethoxy silicyl) cyclohexanes of 4-.
CNA200810179929XA 2007-10-12 2008-10-13 Antireflective coatings Pending CN101441415A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US97958507P 2007-10-12 2007-10-12
US60/979585 2007-10-12
US12/244426 2008-10-02

Publications (1)

Publication Number Publication Date
CN101441415A true CN101441415A (en) 2009-05-27

Family

ID=40616008

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2008101785992A Expired - Fee Related CN101425551B (en) 2007-10-12 2008-10-13 Antireflective coatings for photovoltaic applications
CNA200810179929XA Pending CN101441415A (en) 2007-10-12 2008-10-13 Antireflective coatings

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2008101785992A Expired - Fee Related CN101425551B (en) 2007-10-12 2008-10-13 Antireflective coatings for photovoltaic applications

Country Status (1)

Country Link
CN (2) CN101425551B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104136493A (en) * 2012-01-09 2014-11-05 道康宁公司 Di-t-butoxydiacetoxysilane-based silsesquioxane resins as hard-mask antireflective coating material and method of making
CN104505344A (en) * 2014-08-20 2015-04-08 上海华力微电子有限公司 Method for forming porous ultra-low dielectric material
CN104134612B (en) * 2014-08-20 2017-11-10 上海华力微电子有限公司 A kind of method for repairing side wall damage of ultralow dielectric constant film
CN113534609A (en) * 2015-10-13 2021-10-22 因普里亚公司 Organotin oxide hydroxide patterning compositions, precursors, and patterning
CN116589921A (en) * 2023-05-12 2023-08-15 宁波杭州湾新材料研究院 Silicon-rich anti-reflection coating resin material and preparation method thereof

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011032272A1 (en) * 2009-09-18 2011-03-24 Sixtron Advanced Materials, Inc. Solar cell with improved performance
US11105960B2 (en) * 2017-12-19 2021-08-31 Canon Kabushiki Kaisha Optical element and method of producing the element, and optical instrument

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104136493A (en) * 2012-01-09 2014-11-05 道康宁公司 Di-t-butoxydiacetoxysilane-based silsesquioxane resins as hard-mask antireflective coating material and method of making
CN104505344A (en) * 2014-08-20 2015-04-08 上海华力微电子有限公司 Method for forming porous ultra-low dielectric material
CN104134612B (en) * 2014-08-20 2017-11-10 上海华力微电子有限公司 A kind of method for repairing side wall damage of ultralow dielectric constant film
CN104505344B (en) * 2014-08-20 2017-12-15 上海华力微电子有限公司 The method for forming porous ultra-low dielectric materials
CN113534609A (en) * 2015-10-13 2021-10-22 因普里亚公司 Organotin oxide hydroxide patterning compositions, precursors, and patterning
CN116589921A (en) * 2023-05-12 2023-08-15 宁波杭州湾新材料研究院 Silicon-rich anti-reflection coating resin material and preparation method thereof

Also Published As

Publication number Publication date
CN101425551B (en) 2013-02-06
CN101425551A (en) 2009-05-06

Similar Documents

Publication Publication Date Title
US20090096106A1 (en) Antireflective coatings
KR100930672B1 (en) Silicon-based hard mask composition and method for manufacturing semiconductor integrated circuit device using same
US7833696B2 (en) Method for forming anti-reflective coating
CN101441415A (en) Antireflective coatings
US9293361B2 (en) Materials and methods of forming controlled void
US8263312B2 (en) Antireflective coating material
TWI235428B (en) Organosilicon precursors for interlayer dielectric films with low dielectric constants
US8025927B2 (en) Method for forming anti-reflective coating
US7756384B2 (en) Method for forming anti-reflective coating
EP3039484A1 (en) Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
WO2011038995A1 (en) Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
EP3257069A1 (en) Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
KR101736888B1 (en) Method for forming silicon oxynitride film, and substrate having silicon oxynitride film produced using this formation method
US20090197086A1 (en) Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
TWI833908B (en) Spin-on compositions comprising an inorganic oxide component and an alkynyloxy substituted spin-on carbon component useful as hard masks and filling materials with improved shelf life
CN114207043B (en) Composition for producing siliceous film having low dielectric constant and method for producing cured film and electronic device using the same
WO2000043836A1 (en) Solvent systems for polymeric dielectric materials
KR20110079194A (en) Photoresist underlayer composition and method of manufacturing semiconductor device by using the same
WO2006077684A1 (en) Silylphenylene polymer composition for the formation of interlayers and process for the formation of patterns by using the same
KR20040002587A (en) Reflection Inhibiting Layer and Display Device Employing the Same
US8653217B2 (en) Method for forming anti-reflective coating
TW200426199A (en) Anti-reflective coatings for photolithography and methods of preparation thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20090527