CN101256945B - Processing method and processing system - Google Patents

Processing method and processing system Download PDF

Info

Publication number
CN101256945B
CN101256945B CN2008100878557A CN200810087855A CN101256945B CN 101256945 B CN101256945 B CN 101256945B CN 2008100878557 A CN2008100878557 A CN 2008100878557A CN 200810087855 A CN200810087855 A CN 200810087855A CN 101256945 B CN101256945 B CN 101256945B
Authority
CN
China
Prior art keywords
wafer
etch processes
surface structure
handled object
control device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008100878557A
Other languages
Chinese (zh)
Other versions
CN101256945A (en
Inventor
大野刚
菊池俊彦
守屋真知
斋田喜孝
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2004168649A external-priority patent/JP4694150B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101256945A publication Critical patent/CN101256945A/en
Application granted granted Critical
Publication of CN101256945B publication Critical patent/CN101256945B/en
Anticipated expiration legal-status Critical
Active legal-status Critical Current

Links

Images

Landscapes

  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The present invention provides a processing method and a processing system that accurately evaluate the surface structure of a workpiece in a non-destructive manner, which has undergone a prescribed processing by the scatterometry method. The processing system 1 has a low-pressure processing device 10, a liquid processing device 20, a structure discrimination device 30, and a system control device 40. The low-pressure processing device 10 uses a resist pattern as a mask to carry out etching processing on a wafer. Due to this etching processing, unnecessary objects such as polymer or the like depositing on the wafer surface. The liquid processing device 20 removes those unnecessary objects depositing on the wafer surface. The structure discrimination device 30 discriminates the structure of the wafer surface rid of unnecessary objects by using the scatterometry method such as the ellipsometry method or the like.

Description

Processing method and treatment system
The application is that the application number that proposed on June 21st, 2004 is dividing an application of 2004100598967 application of the same name.
Technical field
The present invention relates to processing method and treatment system, particularly relate to surface structure, and construct the processing method and the treatment system of control and treatment condition according to the surface of this evaluation with the handled object after the scatterometry correct evaluation in the non-destruction ground predetermined process.
Background technology
Along with the development of semiconductor integrated circuit miniaturization, the needs that form the circuit pattern of wafer surface have more imperceptibly been produced now.In order to form this fine circuit pattern, in the fabrication stage, need correctly estimate the structure of wafer surface, for example structure of the wafer surface after the etch processes etc.
So far, in order to estimate the structure of the wafer surface after the etch processes, mainly take to observe the wafer cross-section of riving, the method for taking pictures with scanning electron microscope (SEM:Scanning Electron Microscope).But, in the method, exist in order to form wafer cross-section as the object of observation, must destroy the such shortcoming of wafer itself.
Therefore, the present inventor, structure for the wafer surface after the non-destruction ground evaluation etch processes, the structure (for example, please refer to patent documentation 1) of the wafer surface after can consideration be applied to scatterometry (Scatterometry) method that is used to estimate albedometry (Reflectmetry) at resist pattern that forms on the wafer surface etc., elliptical polarized light (Ellipsometry) method etc. so far to estimate this etch processes.
[patent documentation 1]: TOHKEMY 2002-260994 patent gazette (4-5 page or leaf, 5-8 figure).
But, wafer surface after the etch processes is because shape and composition are diversified not positions, for example, attached to lip-deep polymer, reaction product, the affected layer that in the surf zone of wafer W, forms, the metamorphic layer that in the surf zone of resist, forms and hardened layer etc., can not determine its optical constant n value (refractive index) and k value (absorption coefficient).
Can not determine that with the scatterometry evaluation is this surface structure of the wafer W of optical constant is difficult.
Have again, also be in undeveloped state about the concrete grammar of the surface structure of estimating wafer with according to the concrete control method of the treatment conditions of this evaluation.
Summary of the invention
The present invention proposes in view of the above problems, the purpose of this invention is to provide can enough scatterometry non-correct processing method and the treatment system of estimating the surface structure of the handled object after the predetermined process in ground of destroying.
The purpose of this invention is to provide the enough scatterometry of energy and estimate the concrete processing method and the treatment system of the surface structure of handled object,, control the processing method and the treatment system of predetermined condition according to the surface structure of the handled object of estimating with scatterometry.
In order to achieve the above object, relevant with the 1st viewpoint of the present invention processing method has the treatment step of handled object being implemented predetermined process; Remove the not position at the not position that on the handled object surface, generates by above-mentioned predetermined process and remove step; Remove step with evaluation by above-mentioned not position and remove the not surface structure evaluation procedure (structure evaluation procedure) of the surface structure of the handled object at position.
Also can further have surface structure, control at least one the controlled step in the parameter of treatment conditions of above-mentioned predetermined process according to the handled object of estimating by above-mentioned surface structure evaluation procedure.
Have, above-mentioned predetermined process also can be that resist is carried out etching as mask to above-mentioned handled object again, forms the etch processes of predetermined pattern on the surface of this handled object.
Further, to remove step also can be to remove the metamorphic layer that forms on above-mentioned resist and/or the step of hardened layer in above-mentioned etch processes at above-mentioned not position.
Have, it also can be the step of removing the affected layer that forms in above-mentioned etch processes in the surf zone of above-mentioned predetermined pattern that step is removed at above-mentioned not position again.
Further, to remove step also can be the step of removing in above-mentioned etch processes attached to the lip-deep polymer of above-mentioned handled object at above-mentioned not position.
Have, it also can be the step of removing the resist that cross sectional shape changes by above-mentioned etch processes that step is removed at above-mentioned not position again.In addition, to remove step also can be the step of removing the lip-deep reaction product that is deposited in handled object by above-mentioned etch processes at above-mentioned not position.
And then, above-mentioned surface structure evaluation procedure also can be to remove step with scatterometry (Scatterometry) method instrumentation by above-mentioned not position to remove the predetermined physical quantities of not wanting the handled object behind the position, from this predetermined physical quantities, infer the step of the surface structure of above-mentioned handled object through instrumentation.
In order to achieve the above object, the feature of the processing method relevant with the 2nd viewpoint of the present invention is to have the treatment step of handled object being implemented predetermined process; Remove the not position at the not position that on the handled object surface, generates by above-mentioned predetermined process and remove step; With estimate the processing method of removing the surface structure evaluation procedure (structure evaluation procedure) of the 1st surface structure that step removes the handled object behind the position not by above-mentioned not position, state on the implementation after the treatment step of predetermined process, carry out above-mentioned the 1st surface structure evaluation procedure; When being evaluated as in above-mentioned the 1st surface structure evaluation procedure is good, carry out above-mentioned not position and remove step, the above-mentioned handled object of removing behind the above-mentioned not position is carried out the 2nd surface structure evaluation procedure.
Also can further have in above-mentioned the 2nd surface structure evaluation procedure, the data of using during with above-mentioned the 1st surface structure evaluation procedure switch to the data switch step of removing the data of the shape behind the position not according to above-mentioned.
In order to achieve the above object, relevant with the 3rd viewpoint of the present invention treatment system has the processing unit of handled object being implemented predetermined process; Remove at the not position at the not position of having implemented to generate on the handled object surface of above-mentioned predetermined process and remove device; Evaluation is removed the surface structure evaluating apparatus (structure evaluating apparatus) that step is removed the surface structure of the handled object behind the position not by above-mentioned not position; Above-mentioned handled object is moved into the carrying device of taking out of above-mentioned each device; Remove device, above-mentioned surperficial control device of constructing evaluating apparatus and above-mentioned carrying device with the above-mentioned processing unit of control, above-mentioned not position.
In order to achieve the above object, the treatment system relevant with the 4th viewpoint of the present invention has implements predetermined process to handled object, and removes the not processing unit at position that generates by this predetermined process on this handled object surface; The surface structure evaluating apparatus (structure evaluating apparatus) of the surface structure of the handled object behind the position is not removed in evaluation by above-mentioned processing unit; Above-mentioned handled object is moved into the carrying device of taking out of above-mentioned each device; Control device with the above-mentioned processing unit of control, above-mentioned surface structure evaluating apparatus and above-mentioned carrying device.
The processing unit of implementing above-mentioned predetermined process also can be a plasma-etching apparatus.
Have, it also can be wet treater that device is removed at the not position of removing above-mentioned not position again.In addition, to remove device also can be the dry-cure device at the not position of removing above-mentioned not position.
Further, the surface structure evaluating apparatus of estimating the surface structure of above-mentioned handled object also can be estimated with scatterometry (Scatterometry) method.
In the parameter of the treatment conditions of the above-mentioned predetermined process of surface structure control of the handled object that above-mentioned control device also can be estimated according to above-mentioned surface structure evaluating apparatus at least 1 is arranged again.
Further, above-mentioned control device, also can monitor the evaluation operation in the structure evaluating apparatus of above-mentioned surface, when judging that this evaluation malfunction is good, move into above-mentioned not position and remove device and remove not position being judged as not good handled object, and move into above-mentioned surface structure evaluating apparatus evaluation table surface construction once more, thereby control.
Have, when the evaluation in the structure evaluating apparatus of above-mentioned surface was not derived optimum solution in the scheduled period, above-mentioned control device can judge that also it is not good estimating operation again.
Further, when the evaluation in the structure evaluating apparatus of above-mentioned surface was not derived optimum solution from storage vault, above-mentioned control device can judge that also it is not good estimating operation.
Have, above-mentioned control device when making above-mentioned not good judgement, also can be removed this handled object again, stops handled object being carried out processing or operation in each device.
In order to achieve the above object, according to the processing method of the present invention of other viewpoint, has the etching step of handled object being implemented etch processes; With the surface structure determination step (structure determination step) of scatterometry mensuration through the size of the surface structure of the handled object of above-mentioned etching step processing; With the surperficial construction size that will measure by above-mentioned surface structure determination step and predefined permissible value relatively, according to this comparative result decision continuation or interrupt the step of above-mentioned etch processes.In addition, in " the surface structure of handled object ", also comprise three-dimensional surface structure.
The size that determination step also can be measured the two-dimensional directional at least of constructing on the surface of handled object is constructed on above-mentioned surface.
The depth direction that construct on the surface that above-mentioned surperficial structure determination step also can be measured handled object and the size of horizontal direction.
Above-mentioned etching step and above-mentioned surface structure determination step also can be to carry out with handled object with the test with structure more simple than the handled object that becomes goods.
In order to achieve the above object, the treatment system of the present invention according to other viewpoint has the etch processes device of handled object being implemented etch processes; With the surface structure determinator (structure determinator) of scatterometry mensuration through the surperficial construction size of the handled object of etch processes; Compare with size up and predefined permissible value, continue or interrupt the control device of the etch processes in the above-mentioned etch processes device according to this comparative result decision above-mentioned surface structure.
The size that determinator also can be measured the two-dimensional directional at least of constructing on the surface of handled object is constructed on above-mentioned surface.The depth direction that construct on the surface that above-mentioned surperficial structure determinator also can be measured handled object and the size of horizontal direction are arranged again.
Above-mentioned surface structure determinator also can be measured with the test handled object with structure more simple than the handled object that becomes goods, measures the size of above-mentioned surface structure.
In order to achieve the above object, has the surface structure determination step (structure determination step) of measuring the surperficial construction size of the handled object before the etch processes with scatterometry according to the processing method of the present invention of other viewpoint; For measurement result, make the surface of the handled object after the etch processes be constructed to desired size, and the treatment conditions of the treatment conditions when setting etch processes are set step according to above-mentioned surperficial construction size; After this, the etching step of etch processes handled object in the treatment conditions of above-mentioned setting.
The related data of the amount of pruning of the surface structure of treatment conditions when trying to achieve in advance and the handled object that causes by etch processes with etch processes, above-mentioned treatment conditions are set step also can set above-mentioned treatment conditions according to the measurement result and the above-mentioned related data of above-mentioned surperficial construction size.
Above-mentioned treatment conditions are set step also can set above-mentioned treatment conditions so that the size of the two-dimensional directional at least of the surface structure after the above-mentioned etch processes becomes the mode of desired size.
A plurality of treatment conditions when above-mentioned treatment conditions are set step and also can be set above-mentioned etch processes.
Above-mentioned treatment conditions are set step also can be with the measurement result according to above-mentioned surperficial construction size, and the mode that makes the size of the depth direction in the surface structure after the etch processes become desired size is set disposing time; With the disposing time according to above-mentioned setting, the mode that makes the size of the horizontal direction in the surface structure after the etch processes become desired size is set the supply flow rate of etching gas.
In order to achieve the above object, the treatment system of the present invention according to other viewpoint has the etch processes device of handled object being implemented etch processes; Measure the surface structure determinator (structure determinator) of the surperficial construction size of the handled object before the etch processes with scatterometry; With with measurement result according to above-mentioned surperficial construction size, the control device of the treatment conditions the when mode that makes the surface of the handled object after the etch processes be constructed to desired size is set etch processes.
The related data of the amount of pruning of the surface structure of treatment conditions in the time of will be with above-mentioned etch processes and the processing body that caused by etch processes is stored in the above-mentioned control device; Above-mentioned control device also can be set above-mentioned treatment conditions according to the measurement result and the above-mentioned related data of above-mentioned surperficial construction size.
Above-mentioned control device also can be set above-mentioned treatment conditions so that the size of the two-dimensional directional at least of the surface structure after the above-mentioned etch processes becomes the mode of desired size.
A plurality of treatment conditions when above-mentioned control device also can be set above-mentioned etch processes.
Above-mentioned control device also can be with the measurement result according to above-mentioned surperficial construction size, and the mode that makes the size of the depth direction in the surface structure after the etch processes become desired size is set disposing time; For the disposing time according to above-mentioned setting, the size that makes the horizontal direction in the surface structure after the etch processes becomes desired size and sets the supply flow rate of etching gas.
According to the present invention, can provide processing method and treatment system with the surface structure of the handled object after the scatterometry correct evaluation in the non-destruction ground predetermined process.
Have again,, can provide processing method and treatment system according to the surface structure control predetermined condition of the handled object of estimating with scatterometry according to the present invention.
Description of drawings
Fig. 1 is the figure of the formation of the expression processing unit relevant with the of the present invention the 1st and the 3rd execution mode.
Fig. 2 is the figure of the formation of the expression decompression processing device relevant with embodiments of the present invention.
Fig. 3 is the figure of the formation of the expression liquid handling device relevant with embodiments of the present invention.
Fig. 4 is the figure of the formation of the expression structure discriminating gear relevant with the of the present invention the 1st and the 3rd execution mode.
Fig. 5 is the figure that disposes rectangularly and login the constructing variable in storage vault of the expression repository stores unit storage relevant with the of the present invention the 1st and the 3rd execution mode.
Fig. 6 be the phase difference in login each wavelength in storage vault of storing of the expression repository stores unit relevant with the of the present invention the 1st and the 3rd execution mode cosine cos Δ curve and be illustrated in the figure of curve of the tangent tan Ψ of the amplitude shift in each wavelength.
Fig. 7 is resolution unit phase difference and the displacement Ψ of amplitude and the key diagram that storage vault implement the operation of pattern match to calculate relevant with the of the present invention the 1st and the 3rd execution mode.
Fig. 8 is the key diagram of the operation of the resolution unit relevant with the of the present invention the 1st and the 3rd execution mode surface structure of proofreading and correct constructing variable, infer and differentiate wafer W.
Fig. 9 is the flow diagram of the expression processing operation relevant with the 1st execution mode of the present invention.
Figure 10 is the sectional view of the die/wafer configuration in the expression processing relevant with the 1st execution mode of the present invention each step of operating.
Figure 11 is the figure of the formation of the expression treatment system relevant with the 2nd execution mode of the present invention.
Figure 12 is the figure of the formation of the expression structure discriminating gear relevant with the of the present invention the 2nd and the 4th execution mode.
Figure 13 is the flow diagram of the expression processing operation relevant with the 2nd execution mode of the present invention.
Figure 14 is the sectional view of the die/wafer configuration in the expression processing relevant with the 2nd execution mode of the present invention each step of operating.
Figure 15 is the flow diagram of the expression processing operation relevant with the 3rd execution mode of the present invention.
Figure 16 is the sectional view of the die/wafer configuration in the expression processing relevant with the 3rd execution mode of the present invention each step of operating.
Figure 17 is the figure of the formation of the expression treatment system relevant with the 4th execution mode of the present invention.
Figure 18 is the flow diagram of the expression processing operation relevant with the 4th execution mode of the present invention.
Figure 19 is the flow diagram of the expression processing operation relevant with the 4th execution mode of the present invention.
Figure 20 is the sectional view of the die/wafer configuration in the expression processing relevant with the 4th execution mode of the present invention each step of operating.
Figure 21 is the figure of the formation of the expression treatment system relevant with the of the present invention the 5th and the 6th execution mode.
Figure 22 is the flow diagram of the processing relevant with the 5th execution mode of the present invention.
Figure 23 is the longitudinal section of the pattern structure on the test wafer surface before and after the expression etch processes.
Figure 24 is expression with etch process conditions with according to the table of the relevant data of the amount of eliminating of etch processes.
Figure 25 is the flow diagram of the expression processing relevant with the 6th execution mode of the present invention.
Figure 26 is the longitudinal section of the pattern structure on the test wafer surface before and after the expression etch processes.
Label declaration: W wafer; 1 treatment system; 5 SiO2 layers; 6 resist layers; 7 contact holes; 8 polymer; 10 decompression processing devices; 20 liquid handling devices; 30 structure discriminating gears; 40 system control devices; 2 treatment systems; 9 rotten hardened layers; The A affected layer; 14 method memory cell; 80 structure discriminating gears; 3 treatment systems; 4 treatment systems.
Embodiment
(the 1st execution mode)
The processing unit 1 relevant with the 1st execution mode of the present invention is described with reference to the accompanying drawings.
Treatment system 1, as shown in Figure 1, collect the box of a plurality of wafer W by decompression processing device 10, liquid handling device 20 (device that uses liquid that wafer W is handled), structure discriminating gear 30, system control device 40, mounting, constitute load port 50 that moving into of wafer W take out of the unit, with the wafer W conveyance to the transport mechanism 60 of decompression processing device 10 etc. with carry out aligned units 70 formations that the position of wafer W overlaps.
Treatment system 1 is implemented etch processes to the wafer W as handled object, with the surface structure of the wafer W after elliptical polarized light (Ellipsometry) method differentiation (evaluation) this etch processes.In addition, wafer W as shown in figure 10, for example is made of silicon, forms SiO2 layer 5 in this surf zone, further, forms the resist layer 6 of predetermined pattern on the SiO2 of wafer W layer 5.
Decompression processing device 10 as shown in Figure 2, has the up and down electrode of parallel opposed, is so-called parallel plate-type plasma processing apparatus, roughly is made of chamber 11 cylindraceous roughly, the supporter 12 and the upper electrode 13 that work as lower electrode.Decompression processing device 10 under the atmosphere of decompression, selectively carries out etch processes to wafer W with the resist pattern as mask.
Chamber 11 is made of conductive materials such as aluminium, in its surface, implements anodized such as alumite processing.Have again, make chamber 11 ground connection.
Chamber 11 has exhaust outlet 111 in its bottom, and the exhaust apparatus 112 with vacuum pump is connected with this exhaust outlet 111.Exhaust apparatus 112 can arrive predetermined reduced atmosphere with vacuum exhaust in the chamber 11, for example the following pressure of 0.01Pa.
Chamber 11 has to move on its sidewall takes out of mouth 113.Move into and take out of mouthfuls 113 and have the sluice valve 114 that can open and close,, can move into and take out of wafer W by open sluice valve 114.
Have, the bottom center in chamber 11 is provided with roughly columned supporter and supports platform 121 again, further, supports to be provided as the supporter described later 12 that the wafer mounting table works on the platform 121 at this supporter by insulator 122.Supporter supports platform 121 to have with the elevating mechanism 123 that is arranged on chamber 11 belows to be connected, can with the formation of supporter 12 1 liftings.
The center upper portion of supporter 12 forms the circular plate type of convex, is provided with it on and wafer W unillustrated electrostatic chuck among the figure of homotype roughly.When on this electrostatic chuck, adding direct voltage and since the Coulomb force with the wafer W Electrostatic Absorption of mounting on supporter 12.
By unillustrated adaptation among the figure, the 1st high frequency electric source 124 is connected with supporter 12.The 1st high frequency electric source 124 adds high frequency (0.1~13MHz) voltage on supporter 12.By adding this high frequency voltage, can access the effect of minimizing to damage of wafer W etc.
With supporter 12 upper electrode 13 is set opposed to each other, upper electrode 13 is made of battery lead plate 131 and electrode support 132, through insulating material 133, is supported in the top of chamber 11.
Battery lead plate 131 for example is made of aluminium etc., has many pore 131a on its roughly whole.
Electrode support 132 is electrically connected with battery lead plate 131 by screw etc., is made of conductive material.Have, electrode support 132 has gas introduction tube 134 again, by valve 135, volume control device 136 etc., supplies with the etching gas of being made up of C4F8, argon and oxygen from gas supply source 137 to this gas introduction tube 134.Electrode support 132, portion within it, diffusion unit 132a with the hollow that is connected with a plurality of pore 131a of battery lead plate 131.Make the etching gas supplied with through gas introduction tubes 134 from gas supply source 137 be supplied to pore 131a through the diffusion of diffusion unit 132a.Therefore, etching gas is supplied with equably whole of wafer W from a plurality of pore 131a.
The feed rod 138 that is made of the conductive material of aluminium etc. is connected with upper electrode 13, and feed rod 138 through unillustrated adaptation among the figure, is connected with the 2nd high frequency electric source 139.The 2nd high frequency electric source 139 is to upper electrode 13 supply high frequencies (13~150MHz) power.Therefore, between upper electrode 13 and supporter 12, generate highdensity plasma as lower electrode.
Liquid handling device 20 is rotation type processing unit, as shown in Figure 3, roughly by chamber 21 cylindraceous roughly, be arranged on rotating suction disc 22 in the chamber 21, motor 23, polymer is removed liquid and resist layer remove the 1st soup feed unit 24 and will being used to that liquid is supplied to the surface of wafer W and wash the 2nd soup feed unit 25 that clean pure water or isopropyl alcohol (IPA) be supplied to wafer W and constitute.Liquid handling device 20 is removed the not position attached to the lip-deep polymer 8 of wafer W and resist layer 6 etc., and flushing is cleaned the wafer W of having removed polymer 8 and resist layer 6, the wafer W that Rotary drying is cleaned through flushing.
Above chamber 21, be provided with and be connected with the 1st soup feed unit 24, will be for example the polymer formed of hydrofluoric acid (HF), sulfuric acid (H2SO4) remove liquid and remove liquid with resist layer and be ejected into lip-deep the 1st medical liquid spraying nozzle 211 of wafer W and be connected with the 2nd soup feed unit 25, will be used to wash lip-deep the 2nd medical liquid spraying nozzle 212 that clean pure water etc. is sprayed onto wafer W.Have again, below chamber 21, the leakage fluid dram 213 of discharging the soup be ejected in the chamber 21, pure water etc. is set.
The wafer W of rotating suction disc 22 vacuum suction mountings, motor 23 make the wafer W high speed rotating of vacuum suction on rotating suction disc 22.Make the wafer W high speed rotating with motor 23, utilize the centrifugal force that produces by rotation, do not need multipass that the soup, pure water etc. of ejection are blazoned on the surface of wafer W.Have again, by making more high speed rotating of wafer W, the wafer W after the Rotary drying flushing is cleaned.
Structure discriminating gear 30, as shown in Figure 4, by with polarizing light irradiation on wafer W, and accept to constitute from the optical unit 31 of the polarised light of wafer W reflection with from the structure judgement unit 32 that reverberation is differentiated the surface structure of wafer W, differentiate the surface structure of wafer W with ellipsometry.
So-called ellipsometry be exactly with polarizing light irradiation on wafer W, from being radiated at the rectilinearly polarized light on this wafer W and differentiating the method for discrimination of the surface structure of wafer W from the displacement Ψ of the phase difference of the polarised light of wafer W reflection and amplitude.The displacement Ψ of this phase deviation Δ and amplitude is defined by following formula.
[formula 1]
Δ=(Wp-Ws) Reverberation-(Wp-Ws) Go into power light
(Wp is the phase place of p wavelet, and Ws is the phase place of s wavelet.)
[formula 2]
Ψ = tan - 1 [ Rp Rs ] , Rp=(I Reverberation/ I Incident light) p, Rs=(I Reverberation/ I Incident light) s
(Ip is a p composition intensity of wave, and Is is a s composition intensity of wave, and Rp is the reflectivity of p wavelet, and Rs is the reflectivity of s wavelet.)
Optical unit 31 by mounting table 311, photophore 312, polarizer 313, detect optical element 314 and light-receiving device 315 constitutes, polarizing light irradiation on wafer W, and is accepted from the polarised light of wafer W reflection.
Mounting table 311 have can the mounting wafer W formation, and have the formation that can move along the XY direction with unillustrated driving mechanism among the figure.
Photophore 312 is made of xenon lamp etc., sends white light to wafer W, and polarizer 313 will be transformed into rectilinearly polarized light from the white light that photophore 312 sends, and the rectilinearly polarized light that conversion is obtained is radiated on the wafer W.
Detecting optical element 314 only makes the polarized light component of predetermined polarisation angle see through from the elliptically polarized light of wafer W reflection.Light-receiving device 315, for example, by formations such as CCD (Charge CoupledDevice (charge coupled device)) cameras, accept to see through the polarised light that detects optical element 314, the polarised light that receives is transformed into the signal of telecommunication, and the signal of telecommunication that conversion is obtained is supplied to structure judgement unit 32.
Structure judgement unit 32 is made of repository stores unit 321, resolution unit 322, from being radiated at the polarised light on this wafer W and inferring the surface structure of wafer W from the displacement Ψ of the phase difference of the polarised light of wafer W reflection and amplitude.
Repository stores unit 321 is made of hard disk drive etc., the medium that can rewrite, the storage vault that a plurality of constructing variables, the phase difference of storage and the surface structure of expression wafer W distributes and the Displacements Distribution of amplitude is logined accordingly.
Storage vault as shown in Figure 5, is configured to a plurality of constructing variables to login rectangularly.Have again, that storage vault login expression is calculated in advance is that construct on the surface of the wafer W that is configured to rectangular constructing variable and has this constructing variable as shown in Figure 6, the curve of the cosine cos Δ (phase difference distribution) of the phase difference in each wavelength X and be illustrated in the curve of the tangent tan Ψ (Displacements Distribution of amplitude) of the amplitude shift in each wavelength X.
Resolution unit 322 is made of CPU, RAM, ROM etc.Resolution unit 322 is resolved the reverberation of the phase place Wp catoptrical each wavelength X, reverberation and the reverberation of intensity I p, the reverberation of Is of Ws according to the signal of telecommunication of supplying with from optical unit 31.Have again, resolution unit 322 is used formula 1 and formula 2, the incident light of phase place Wp each wavelength X of light on being radiated at wafer W, the incident light of Ws and the incident light of intensity I p, the incident light of Is, with calculating the displacement Ψ of phase difference and amplitude through the reverberation of the phase place Wp in catoptrical each wavelength X of resolving, reverberation and the reverberation of intensity I p, the reverberation of Is of Ws, try to achieve that phase difference distributes and the Displacements Distribution of amplitude.
Resolution unit 322, as shown in Figure 7, this phase difference of trying to achieve is distributed and the Displacements Distribution of amplitude distributes with login each phase difference in storage vault and the Displacements Distribution of amplitude is carried out pattern match the phase difference distribution that is similar to from the Displacements Distribution of storage vault retrieval and this phase difference of trying to achieve distribution and amplitude and the Displacements Distribution of amplitude.
Resolution unit 322, according to the Displacements Distribution of phase difference distribution of trying to achieve and amplitude and the approximate ratio of the Displacements Distribution of phase difference distribution of retrieving from storage vault and amplitude, use interpolation method, proofread and correct and the phase difference distribution of this retrieval and the corresponding constructing variable of Displacements Distribution of amplitude, infer the surface structure of wafer W from this constructing variable through overcorrect.
When being described in detail, as shown in Figure 8, resolution unit 322, from 4 of storage vault retrievals distribute with the phase difference of trying to achieve and the Displacements Distribution of amplitude is similar to the phase difference distribution and the Displacements Distribution of amplitude, approximate ratio according to the Displacements Distribution of the phase difference of trying to achieve distributes and the Displacements Distribution of amplitude and retrieval obtain phase difference distribution and amplitude, 4 phase differences synthetic and that this retrieval obtains distribute and the corresponding constructing variable of Displacements Distribution of amplitude, infer the surface of wafer W and construct from synthesizing the constructing variable that obtains.
System control device 40 shown in Figure 1 is made of CPU, RAM, ROM etc.System control device 40 is taken out of wafer W by control transport mechanism 60 from load port 50, and aligned units 70 and decompression processing device 10 etc. are arrived in this wafer W conveyance of taking out of.System control device 40 is moved into load port 50 with wafer W implemented predetermined process in each device 10~30 after.
Secondly, the sectional view of the wafer W in the flow diagram that our reference is shown in Figure 9 and each step shown in Figure 10, form a plurality of contact holes to differentiate on wafer W, the situation of the surface structure of the wafer W of formation contact hole is an example, and the processing operation of this treatment system 1 is described.
At first, with the wafer W conveyance in the figure in the unillustrated oxidation unit.Oxidation unit is implemented oxidation processes and form SiO2 layer 5 in the surf zone of wafer W.
Then, will form wafer W conveyance behind the SiO2 layer 5 in the figure in the unillustrated resist applying device.The resist applying device applies resist on SiO2 layer 5.Then, with the wafer W conveyance that applied resist in the figure in the unillustrated exposure device.Exposure device is implemented exposure-processed to being coated in the lip-deep resist of wafer W.Then, with the wafer W conveyance of having implemented exposure-processed in the figure in the unillustrated developing apparatus.Developing apparatus is implemented development treatment to being coated in the lip-deep resist of wafer W, forms the resist pattern that is used to form contact hole shown in Figure 10 (a).After this, take out of the wafer W that has formed the resist pattern from the teeth outwards from developing apparatus.
System control device 40 will be collected in the load port 50 the wafer W conveyance to aligned units 70 by control transport mechanism 60, and the position that aligned units 70 is carried out wafer W overlaps.Secondly, the open sluice valve 114 of taking out of mouth 113 of moving into, system control device 40 will be moved in the chamber 11 of decompression processing device 10 from the wafer W that developing apparatus is taken out of, and the wafer W of moving into is positioned on the supporter 12.After this, system control device 40 withdraws from transport mechanism 60 in chamber 11, close sluice valve 114.Decompression processing device 10 makes the supporter 12 of mounting wafer W support that with supporter platform 121 rises to the precalculated position by driving elevating mechanism 123.Decompression processing device 10 usefulness exhaust apparatus 112 arrive predetermined reduced atmosphere with vacuum exhaust in the chamber 11, for example the following pressure of 0.01Pa.Decompression processing device 10 is added to direct voltage on the electrostatic chuck that is arranged on the supporter 12, with the wafer W Electrostatic Absorption on supporter 12.
The valve 135 of decompression processing device 10 open gas introduction tubes 134 after by diffusion unit 132a the etching gas of supplying with from gas supply source 137 of being made up of C4F8, argon and oxygen being spread, imports this etching gas in the chamber 11 from pore 131a.Decompression processing device 10 by add the high frequency voltage of 0.1~13MHz on supporter 12, adds the high frequency voltage of 13~150MHz on upper electrode 13, generate highdensity plasma gas between supporter 12 and upper electrode 13.The plasma gas that decompression processing device 10 usefulness generate selectively carries out etching (step S101) to the surface of wafer W with the resist pattern as mask.By this etch processes, on the SiO2 of wafer W layer 5, form the contact hole 7 shown in Figure 10 (b), and on the surface of resist layer 6 and contact hole 7 polymer attached 8.
Decompression processing device 10 when finishing etch processes, stops high frequency voltage being added to supporter 12 and upper electrode 13, and closes the valve 135 of gas introduction tube 134.Have, decompression processing device 10 stops direct voltage being added to supporter 12, and makes the atmosphere of getting back to normal pressure in the chamber 11 again.Further, decompression processing device 10 makes the supporter 12 of mounting wafer W support that with supporter platform 121 drops to the precalculated position by driving elevating mechanism 123.System control device 40 open sluice valves 114 are taken out of the wafer W of having adhered to polymer 8 from decompression processing device 10, after taking out of, close sluice valve 114.System control device 40 will be moved into the chamber 21 of liquid handling device 20 from the wafer W that decompression processing device 10 is taken out of, and the wafer W of moving into is positioned on the rotating suction disc 22.
Liquid handling device 20 on rotating suction disc 22, makes the wafer W rotation of vacuum suction with the wafer W vacuum suction of mounting by motor 23.Liquid handling device 20 will be supplied with from the 1st soup feed unit 24, and for example the polymer formed of hydrofluoric acid (HF), sulfuric acid (H2SO4) is removed liquid and resist layer and removed liquid and be sprayed onto on the surface of the wafer W that is rotated by motor 23 from the 1st medical liquid spraying nozzle 211.Liquid handling device 20, by utilizing the centrifugal force that produces by rotation, do not need multipass to remove liquid and resist layer and remove liquid and blazon on the surface of wafer W, shown in Figure 10 (c), remove the polymer 8 and the resist layer 6 (step S102) on wafer W surface with regard to the polymer that makes ejection.
Pure water or IPA liquid that liquid handling device 20 will be supplied with from the 2nd soup feed unit 25 are sprayed onto from the 2nd medical liquid spraying nozzle 212 on the surface of the wafer W that is rotated by motor 23.Liquid handling device 20 by utilizing the centrifugal force that is produced by rotation, does not need multipass that the pure water of ejection or IPA liquid are blazoned on the surface of wafer W, and the surface of the wafer W of having removed polymer 8 and resist layer 6 is cleaned in flushing.Liquid handling device 20 is by rotating wafer W more at high speed by motor 23, the wafer W after Rotary drying is cleaned through flushing.System control device 40 will have been removed the wafer W of polymer 8 and resist layer 6 and move into structure discriminating gear 30, the wafer W of moving into will be positioned on the mounting table 311 of structure discriminating gear 30.
To wafer W emission white light, polarizer 313 is transformed into rectilinearly polarized light with the white light of emission to optical unit 31 from photophore 312, and the rectilinearly polarized light that conversion is obtained is radiated at (step S103) on the wafer W.Optical unit 31 is received in the polarised light that sees through photodetector 314 of wafer W reflection in light-receiving device 315, the polarised light of accepting is transformed into the signal of telecommunication, and the signal of telecommunication that conversion is obtained is supplied to structure judgement unit 32.
Structure judgement unit 32 is resolved the reverberation of the phase place Wp in catoptrical each wavelength X, reverberation and the reverberation of intensity I p, the reverberation of Is of Ws according to the signal of telecommunication of supplying with.Have again, structure judgement unit 32 usefulness formula 1 and formula 2, the incident light of phase place Wp each wavelength X of light on being radiated at wafer W, the incident light of Ws and the incident light of intensity I p, the incident light of Is, calculate the displacement Ψ of phase difference and amplitude with the reverberation that passes through the phase place Wp in catoptrical each wavelength X of resolving, reverberation and the reverberation of intensity I p, the reverberation of Is of Ws, try to achieve the Displacements Distribution (step S104) of phase difference distribution and amplitude.
32 pairs of judgement units of the structure phase difference of trying to achieve distributes and the Displacements Distribution of amplitude distributes with login each phase difference in storage vault and the Displacements Distribution of amplitude is carried out pattern match, the phase difference distribution that is similar to from the Displacements Distribution of storage vault retrieval and this phase difference of calculating distribution and amplitude and the Displacements Distribution (step S105) of amplitude.
Structure judgement unit 32, from 4 of storage vault retrievals distribute with this phase difference of trying to achieve and the Displacements Distribution of amplitude is similar to the phase difference distribution and the Displacements Distribution of amplitude, approximate ratio according to the Displacements Distribution of the phase difference of trying to achieve distributes and the Displacements Distribution of amplitude and retrieval obtain phase difference distribution and amplitude, 4 phase differences synthetic and that this retrieval obtains distribute and the corresponding constructing variable of Displacements Distribution of amplitude, infer the surface of wafer W and construct (step S106) from synthesizing the constructing variable that obtains.
According to above-mentioned processing operation, because removed shape and formed not clear polymer 8, so treatment system 1 can be determined optical constant n value (refractive index) and k value (absorption coefficient) in the surface of wafer W, with the non-surface structure that destroys ground correct decision wafer W of ellipsometry.
(the 2nd execution mode)
Below we with reference to the description of drawings treatment system 2 relevant with the 2nd execution mode of the present invention.In addition, omit explanation about the identical formation of the treatment system relevant 1 with above-mentioned the 1st execution mode.
Treatment system 2, as shown in figure 11, constitute by decompression processing device 10, method memory cell 14, structure discriminating gear 80, system control device 40, load port 50, transport mechanism 60 and aligned units 70, to implementing etch processes, differentiate the surface of the wafer W after this etch processes with albedometry (Reflectmetry) and construct as the wafer W of handling matrix.
Decompression processing device 10 under the atmosphere of decompression, selectively carries out etch processes to wafer W with the resist pattern as mask.Have, decompression processing device 10 usefulness ashing treatment are on the spot removed in etch processes not position such as the affected layer that forms in the metamorphic layer that forms on the resist layer and/or hardened layer (rotten hardened layer) and the base surface area at contact hole again.In addition, decompression processing device 10 usefulness CF4 are as etching gas, and O2 is as podzolic gas.
Here, will be owing to the heat of the plasma in the etch processes and the projectile energy of ion etc., make shape and form rotten resist layer and be called metamorphic layer, to be called hardened layer owing to the resist layer that the heat of the plasma in the etch processes and the projectile energy of ion etc. harden, to make shape and form rotten wafer W surface to be called affected layer owing to the heat of the plasma in the etch processes and the projectile energy of ion etc.Have, in ashing treatment on the spot, do not remove whole resist layers, only remove the rotten hardened layer that is formed by etch processes on resist layer, residual resist layer is according to following reason.That is, in recent years, because be accompanied by the highly integrated of semiconductor integrated circuit, require offset printing (lithography) technology on poor, the high semiconductor chip that reflects of high staggered floor, need the resist layer of 2 layers of uses or 3 plies, carry out the processing of fine pattern, so residual resist layer.In addition, for example in Japanese kokai publication hei 5-160014 patent gazette etc., put down in writing correlation technique.
Volume control device 136 is made of CPU, ROM etc., and that inside has is identical with unillustrated memory among the figure that is made of RAM etc., unillustrated clock circuit among the figure.Volume control device 136, according to constructing by the surface of the wafer W of unillustrated input unit indication among the figure, select best method from method memory cell 14, the etching condition (for example Gr) of login in the method for the best of selecting is stored in the memory, (initial stage) sets etching condition.Have, volume control device 136 will be represented to supply with system control device 40 described later by the etching condition (Gr) that the constructing variable of the surface structure of the wafer W of input unit indication and (initial stage) are set again.
Volume control device 136 by adjust the open hour of valve 135 with clock circuit, is supplied with the etching gas of setting flow in chamber 11.Have, volume control device 136 when supplying with etching condition (for example, Gr+ Δ G) from system control device 40, is rewritten into (Gr+ Δ G) with the etching condition that is stored in the memory from (Gr), set and the change etching condition again.
Method memory cell 14 is by hard disk drive etc., and the medium that can rewrite constitutes, and stores the method for the etching condition that a plurality of logins are made of the gas flow G that supply with in the chamber 11.
Structure discriminating gear 80, as shown in figure 12, by with rayed on wafer W and accept to constitute from the catoptrical optical unit 81 of wafer W with from the structure judgement unit 82 that reverberation is differentiated the surface structure of wafer W, differentiate the surface structure of wafer W with albedometry.
So-called albedometry be exactly with rayed on wafer W, from being radiated at the luminous intensity on the wafer W and differentiating the method for discrimination of the surface structure of wafer W from the ratio (reflectivity) of the luminous intensity of wafer W reflection.
Optical unit 81 is made of mounting table 811, photophore 812, speculum 813, lens 814 and light-receiving device 815, and rayed on wafer W, and is accepted reverberation from wafer W.
Mounting table 811 have can the mounting wafer W formation, and have the formation that can move along the XY direction by unillustrated driving mechanism among the figure.
The white light of irradiate wafer W is flatly sent on 812 pairs of ground of photophore.Speculum 813 is made of glass etc., the white light that reflection is flatly sent from 812 pairs of ground of photophore, the white light of reflection is radiated at be in vertical lower to wafer W on.Lens 814 are made of glass etc., will concentrate on the surface that is positioned in wafer W on the mounting table 811 from the white light of speculum 813 incidents.
The reverberation that light-receiving device 815 is accepted from wafer W is transformed into the signal of telecommunication with the reverberation of accepting, and the signal of telecommunication that conversion is obtained is supplied to structure judgement unit 82.
Structure judgement unit 82 is made of CPU, RAM, ROM etc.From being radiated at the luminous intensity on the wafer W and inferring the surface structure of wafer W from the ratio (reflectivity) of the luminous intensity of wafer W reflection.Have, the predetermined multiple regression formula that structure judgement unit 82 is calculated constructing variable as parameter by multiple regression analysis with the enough reflectivity of energy is stored among the ROM again.
Structure judgement unit 82 is resolved the reverberation of the intensity I catoptrical each wavelength X according to the signal of telecommunication of supplying with from optical unit 81.Have, the reverberation of the intensity I in catoptrical each wavelength X that the reverberation of the intensity I each wavelength X of the light of structure judgement unit 82 on being radiated at wafer W and process are resolved is calculated reflectivity (incident light of reverberation/I of=I) again.
Reflectivity (incident light of reverberation/I of=I) that structure judgement unit 82 usefulness are calculated and the multiple regression formula of being scheduled among the ROM of being stored in are carried out multiple regression analysis and are calculated constructing variable, infer the surface of wafer W from the constructing variable of calculating and construct.Structure judgement unit 82 is with the constructing variable feed system control device 40 of calculating.
Be supplied to system control device 40 with being illustrated in the decompression processing device 10 etching condition that the constructing variable of surface structure of wafer W of indication and (initial stage) set, constructing variable and the etching condition of being supplied with is stored in the memory.
The constructing variable that system control device 40 relatively is stored in the constructing variable in the memory and supplies with from structure judgement unit 82 according to this comparative result, is proofreaied and correct the etching condition that is stored in the memory.System control device 40 by being supplied to decompression processing device 10 through the etching condition of overcorrect, feeds back to decompression processing device 10 with etching condition.
For example, when on wafer W, forming a plurality of contact hole, system control device 40, by the constructing variable that relatively is stored in the constructing variable in the memory and supplies with from structure judgement unit 82, the degree of depth of the contact hole that the degree of depth of the contact hole of indication etc. and reality form in decompression processing device 10 etc. relatively.
When system control device 40, the depth as shallow of the contact hole of the depth ratio indication of the contact hole that forms when reality, increase supply to the gas flow of chamber 11, the etching condition Gr that will set at (initial stage) proofreaies and correct and is Gr+ Δ G.System control device 40 will be supplied to decompression processing device 10 through the etching condition (Gr+ Δ G) of overcorrect.
Secondly, the sectional view of the wafer W in the flow diagram that our reference is shown in Figure 13 and each step shown in Figure 14 to form a plurality of contact holes on wafer W, is differentiated the surface structure of the wafer W that forms contact hole, the situation of feedback etching condition is an example, and the processing operation of this treatment system 2 is described.
At first, with the wafer W conveyance in the figure in the unillustrated oxidation unit.Oxidation unit is implemented to form SiO2 layer 5 after the oxidation processes in the surf zone of wafer W.
Then, the wafer W conveyance that will be formed with SiO2 layer 5 is in the figure in the unillustrated resist applying device.The resist applying device applies resist on SiO2 layer 5.Then, with the wafer W conveyance that applied resist in the figure in the unillustrated exposure device.Exposure device is implemented exposure-processed to being coated in the lip-deep resist of wafer W.Then, with the wafer W conveyance of having implemented exposure-processed in the figure in the unillustrated developing apparatus.Developing apparatus is implemented development treatment to being coated in the lip-deep resist of wafer W, forms the resist pattern that is used to form contact hole shown in Figure 14 (a).After this, take out of the wafer W that has formed the resist pattern from the teeth outwards from developing apparatus.
Aligned units 70 is arrived in system control device 40 control transport mechanisms 60 and the wafer W conveyance that will be collected in the load port 50, and the position that aligned units 70 is carried out wafer W overlaps.Secondly, the open sluice valve 114 of taking out of mouth 113 of moving into, system control device 40 will be moved in the chamber 11 of decompression processing device 10 from the wafer W that developing apparatus is taken out of, and the wafer W of moving into is positioned on the supporter 12.After this, system control device 40 withdraws from transport mechanism 60 in chamber 11, close sluice valve 114.Decompression processing device 10 makes the supporter 12 of mounting wafer W support that with supporter platform 121 rises to the precalculated position by driving elevating mechanism 123.Decompression processing device 10 usefulness exhaust apparatus 112 arrive predetermined reduced atmosphere with vacuum exhaust in the chamber 11, for example the following pressure of 0.01Pa.Decompression processing device 10 is added to direct voltage on the electrostatic chuck that is arranged on the supporter 12, with the wafer W Electrostatic Absorption on supporter 12.
Decompression processing device 10, select best method according to having the surface structure of wafer W that is used to form the resist pattern of contact hole from input unit indication a plurality of from method memory cell 14, the etching condition (Gr) of login in the method for the best of selecting is stored in the memory, and (initial stage) sets etching condition (step S201).
Decompression processing device 10 is supplied to system control device 40 with expression from the constructing variable of the surface structure of the wafer W of input unit indication and the etching condition (Gr) of setting.System control device 40 is stored in the constructing variable of supply and the etching condition of (initial stage) setting in the memory.
Decompression processing device 10, under the etching condition of setting (Gr), the valve 135 of open gas introduction tube 134 after by diffusion unit 132a the etching gas of supplying with from gas supply source 137 of being made up of CF4 being spread, imports this etching gas in the chamber 11 from pore 131a.Decompression processing device 10 by add high frequency voltage on supporter 12 and upper electrode 13, generates highdensity plasma gas between supporter 12 and upper electrode 13.The plasma gas that decompression processing device 10 usefulness generate selectively carries out etching (step S202) to the surface of wafer W with the resist pattern as mask.By this etch processes, on the SiO2 of wafer W layer 5, form the contact hole 7 shown in Figure 14 (b), and in resist layer 6, form rotten hardened layer 9, in the base surface area of contact hole 7, form affected layer A.
Decompression processing device 10 stops high frequency voltage being added to supporter 12 and upper electrode 13 when finishing etch processes, and closes the valve 135 of gas introduction tube 134.Have, decompression processing device 10 makes becomes ashing atmosphere on the spot in the chamber 11 again.
The valve 135 of decompression processing device 10 open gas introduction tubes 134 after by diffusion unit 132a the podzolic gas of supplying with from gas supply source 137 of being made up of O2 being spread, imports this podzolic gas in the chamber 11 from pore 131a.Decompression processing device 10 by add high frequency voltage on supporter 12 and upper electrode 13, generates highdensity plasma gas between supporter 12 and upper electrode 13.The plasma gas that decompression processing device 10 usefulness generate is implemented ashing treatment on the spot to wafer W, shown in Figure 14 (c), removes the rotten hardened layer 9 that forms and form affected layer A (step S203) in resist layer 6 in the base surface area of contact hole 7.
Liquid handling device 10 when finishing on the spot ashing treatment, stops high frequency voltage being added to supporter 12 and upper electrode 13, and closes the valve 135 of gas introduction tube 134.Have, decompression processing device 10 stops direct voltage being added to supporter 12 again, and makes the atmosphere of getting back to normal pressure in the chamber 11.Further, decompression processing device 10 makes the supporter 12 of mounting wafer W support that with supporter platform 121 drops to the precalculated position by driving elevating mechanism 123.System control device 40 open sluice valves 114 are taken out of the wafer W of removing rotten hardened layer 9 and affected layer A from decompression processing device 10, after taking out of, close sluice valve 114.System control device 40 will be moved into structure discriminating gear 80 from the wafer W that decompression processing device 10 is taken out of, and the wafer W of moving into is positioned on the mounting table 811.
Optical unit 81 flatly sends white light from 812 pairs of ground of photophore, on speculum 813, white light reflexed to vertical lower to, make the white light of reflection, be radiated at (step S204) on the wafer W through lens 814.The reverberation that optical unit 81 is accepted from wafer W is transformed into the signal of telecommunication with the reverberation of accepting, and the signal of telecommunication that conversion obtains is supplied with structure judgement unit 82.
Structure judgement unit 82 is resolved the reverberation of the intensity I catoptrical each wavelength X according to the signal of telecommunication of supplying with from optical unit 81.Have, the reverberation of the intensity I in catoptrical each wavelength X that the reverberation of the intensity I each wavelength X of the light of structure judgement unit 82 on being radiated at wafer W and process are resolved is calculated reflectivity (incident light of reverberation/I of=I) (step S205) again.
Reflectivity (incident light of reverberation/I of=I) that structure judgement unit 82 usefulness are calculated and the multiple regression formula of being scheduled among the ROM of being stored in are carried out multiple regression analysis and are calculated constructing variable (step S206).
Structure judgement unit 82 is inferred the surface structure (step S207) of the wafer W that is formed with a plurality of contact holes 7 from the constructing variable of calculating.Structure judgement unit 82 is supplied to system control device 40 with the constructing variable of calculating.
System control device 40, by the constructing variable that relatively is stored in the constructing variable in the memory and supplies with from structure judgement unit 82, (the step S208) such as the degree of depth of the contact hole that the degree of depth of the contact hole of indication etc. and reality form in decompression processing device 10 relatively.
When system control device 40, the depth as shallow of the contact hole of the depth ratio indication of the contact hole 7 that forms when reality, the gas flow of the chamber 11 of increasing supply, the etching condition Gr that will set at (initial stage) proofreaies and correct and is Gr+ Δ G (step S209).System control device 40 will be supplied to decompression processing device 10 through the etching condition (Gr+ Δ G) of overcorrect, and etching condition is fed back to decompression processing device 10.
Decompression processing device 10 when from system control device 40 supply etching conditions (Gr+ Δ G), is rewritten into (Gr+ Δ G) with the etching condition that is stored in the memory from (Gr), set also change etching condition (step S210).
According to above-mentioned processing operation, because removed shape and formed not clear rotten hardened layer 9 and affected layer A, so treatment system 2 can be determined optical constant n value (refractive index) and k value (absorption coefficient) in the surface of wafer W, with the non-surface structure that destroys ground correct decision wafer W of albedometry.
Have, treatment system 2 from the surface structure with the wafer W of albedometry correct decision, is proofreaied and correct the etching condition that is supplied to decompression processing device 10 again, and the feedback etching condition thus, can be implemented correct and uniform etch processes to wafer W.
(the 3rd execution mode)
Below we with reference to the description of drawings treatment system 3 relevant with the 3rd execution mode of the present invention.In addition, omit explanation about the identical formation of the treatment system relevant 1 with the above-mentioned the 1st and the 2nd execution mode.
Treatment system 3, identical with treatment system 1 shown in Figure 1, constitute by decompression processing device 10, liquid handling device 20, structure discriminating gear 30, system control device 40, load port 50, transport mechanism 60 and aligned units 70, to implementing etch processes, differentiate the surface structure of the wafer W after this etch processes with ellipsometry as the wafer W of handling matrix.
Decompression processing device 10 under the atmosphere of decompression, selectively carries out etch processes to wafer W with the resist pattern as mask.Have, decompression processing device 10 usefulness ashing treatment are on the spot removed not position attached to polymer on the surface of wafer W etc. again.In addition, the mist that decompression processing device 10 usefulness are made up of C4F8, argon and oxygen is as etching gas, and O2 is as podzolic gas.
Liquid handling device 20 removes because the not position that processing against corrosion makes shape, the resist layer etc. of marked change form to take place, and flushing is cleaned the wafer W of having removed resist layer 6, the wafer W that Rotary drying is cleaned through flushing.
Structure discriminating gear 30 is made of optical unit 31 and structure judgement unit 32, differentiates the surface structure of wafer W with ellipsometry.Optical unit 31 by mounting table 311, photophore 312, polarizer 313, detect optical element 314 and light-receiving device 315 constitutes, polarizing light irradiation on wafer W, and is accepted from the polarised light of wafer W reflection.
Structure judgement unit 32 is made of repository stores unit 321, resolution unit 322, from being radiated at the polarised light on this wafer W and inferring the surface structure of wafer W from the displacement Ψ of the phase difference of the polarised light of wafer W reflection and amplitude.
321 storages of repository stores unit are carried out etching with the resist pattern as mask with expression, after removing polymer 8, remove the constructing variable of the surface structure of that form and wafer W prediction before the resist layer 6 and about the phase difference that this surface structure is calculated in advance distributes and the Displacements Distribution of amplitude is logined accordingly the 1st storage vault.
Have again, repository stores unit 321 storage is carried out etching with the resist pattern as mask with expression, at the constructing variable of removing polymer 8 and the resist layer 6 backs surface structure with wafer W prediction that form with about the phase difference that this surface structure is calculated in advance distributes and the Displacements Distribution of amplitude is logined accordingly the 2nd storage vault.
Resolution unit 322 according to the indication from system control device 40, is switched the storage vault that uses.Resolution unit 322 is resolved the reverberation of the phase place Wp catoptrical each wavelength X, reverberation and the reverberation of intensity I p, the reverberation of Is of Ws according to the signal of telecommunication of supplying with from optical unit 31.Have again, resolution unit 322 usefulness formula 1 and formula 2, the incident light of phase place Wp each wavelength X of light on being radiated at wafer W, the incident light of Ws and the incident light of intensity I p, the incident light of Is, calculate the displacement Ψ of phase difference and amplitude with the reverberation that passes through the phase place Wp in catoptrical each wavelength X of resolving, reverberation and the reverberation of intensity I p, the reverberation of Is of Ws, try to achieve the Displacements Distribution of phase difference distribution and amplitude.
Resolution unit 322, to this phase difference of trying to achieve distribute and the Displacements Distribution of amplitude and login in the 1st and the 2nd storage vault each phase difference distribution and the Displacements Distribution of amplitude carry out pattern match, try to achieve from the 1st storage vault retrieval and this that phase difference distributes and the Displacements Distribution of amplitude is approximate phase difference distributes and the Displacements Distribution of amplitude, whether differentiation can estimate the surface of wafer W is constructed.
Resolution unit 322, distribute and the approximate phase difference of Displacements Distribution of amplitude distributes and the Displacements Distribution of amplitude from the 1st storage vault retrieval and the phase difference of trying to achieve, and construct from the surface that the constructing variable corresponding with the Displacements Distribution of the phase difference distribution of this retrieval and amplitude inferred wafer W.
On the other hand, resolution unit 322, when for example marked change taking place owing to processing against corrosion makes the shape of resist layer 6, composition, when the phase difference distribution that can not be similar to and the Displacements Distribution of amplitude from the Displacements Distribution of the retrieval of the 1st storage vault and the phase difference of trying to achieve distribution and amplitude, differentiation can not be estimated the surface structure (being evaluated as not good) of wafer W, and with this advisory system control device 40.
System control device 40 is implemented etch processes and ashing treatment on the spot to wafer W in decompression processing device 10, take out of wafer W by control transport mechanism 60 from decompression processing device 10, to structure discriminating gear 30, and the storage vault that will construct discriminating gear 30 uses is set at the 1st storage vault with this wafer W conveyance of taking out of.
When system control device 40 during, interrupt follow-up processing to wafer W from notice that resolution unit 322 obtains estimating.System control device 40 is taken out of wafer W by control transport mechanism 60 from structure discriminating gear 30, and liquid handling device 20 is arrived in this wafer W conveyance of taking out of.System control device 40, when in liquid handling device 20, removing resist layer 6, take out of wafer W from liquid handling device 20, the wafer W that this is taken out of is moved into structure discriminating gear 30 once more, and the storage vault that will construct discriminating gear 30 uses is set at the 2nd storage vault.
Secondly, the sectional view of the wafer W in the flow diagram that reference is shown in Figure 15 and each step shown in Figure 16, to form a plurality of contact holes 7 on wafer W, the situation of differentiating the surface structure of the wafer W that forms contact hole 7 is an example, and the processing operation of this treatment system 3 is described.
At first, with the wafer W conveyance in the figure in the unillustrated oxidation unit.Oxidation unit is implemented to form SiO2 layer 5 after the oxidation processes in the surf zone of wafer W.
Then, with the wafer W conveyance that forms SiO2 layer 5 in the figure in the unillustrated resist applying device.The resist applying device applies resist on SiO2 layer 5.Then, with the wafer W conveyance that applied resist in the figure in the unillustrated exposure device.Exposure device is implemented exposure-processed to being coated in the lip-deep resist of wafer W.Then, with the wafer W conveyance of having implemented exposure-processed in the figure in the unillustrated developing apparatus.Developing apparatus is implemented development treatment to being coated in the lip-deep resist of wafer W, forms the resist pattern that is used to form contact hole shown in Figure 16 (a).After this, take out of the wafer W that has formed the resist pattern from the teeth outwards from developing apparatus.
System control device 40 will be collected in the load port 50 the wafer W conveyance to aligned units 70 by control transport mechanism 60, and the position that aligned units 70 is carried out wafer W overlaps.Secondly, the open sluice valve 114 of taking out of mouth 113 of moving into, system control device 40 will be moved in the chamber 11 of decompression processing device 10 from the wafer W that developing apparatus is taken out of, and the wafer W of moving into is positioned on the supporter 12.After this, system control device 40 withdraws from transport mechanism 60 in chamber 11, close sluice valve 114.Decompression processing device 10 makes mounting have the supporter 12 of wafer W to support that with supporter platform 121 rises to the precalculated position by driving elevating mechanism 123.Decompression processing device 10 usefulness exhaust apparatus 112 arrive predetermined reduced atmosphere with vacuum exhaust in the chamber 11, for example till the pressure below the 0.01Pa.Decompression processing device 10 is added to direct voltage on the electrostatic chuck that is arranged on the supporter 12, with the wafer W Electrostatic Absorption on supporter 12.
The valve 135 of decompression processing device 10 open gas introduction tubes 134 after by diffusion unit 132a the etching gas of supplying with from gas supply source 137 of being made up of C4F8, argon and oxygen being spread, imports this etching gas in the chamber 11 from pore 131a.Decompression processing device 10 by add the high frequency voltage of 0.1~13MHz on supporter 12, adds the high frequency voltage of 13~150MHz on upper electrode 13, generate highdensity plasma gas between supporter 12 and upper electrode 13.The plasma gas that decompression processing device 10 usefulness generate selectively carries out etching (step S301) to the surface of wafer W with the resist pattern as mask.By this etch processes, on the SiO2 of wafer W layer 5, form the contact hole 7 shown in Figure 16 (b), and on the surface of resist layer 6 and contact hole 7 polymer attached.
Decompression processing device 10 when finishing etch processes, stops high frequency voltage being added to supporter 12 and upper electrode 13, and closes the valve 135 of gas introduction tube 134.Have, decompression processing device 10 makes the atmosphere that becomes ashing on the spot in the chamber 11 again.
The valve 135 of decompression processing device 10 open gas introduction tubes 134 after by diffusion unit 132a the podzolic gas of supplying with from gas supply source 137 of being made up of O2 being spread, imports this podzolic gas in the chamber 11 from pore 131a.Decompression processing device 10 by adding high frequency voltage on supporter 12 and upper electrode 13, thereby generates highdensity plasma gas between supporter 12 and upper electrode 13.The plasma gas that decompression processing device 10 usefulness generate is implemented ashing treatment on the spot to wafer W, shown in Figure 16 (c), removes the lip-deep polymer 8 (step S302) attached to resist layer 6 and contact hole 7.
When decompression processing device 10 finishes on the spot ashing treatment, stop high frequency voltage being added to supporter 12 and upper electrode 13, and close the valve 135 of gas introduction tube 134.Have, decompression processing device 10 stops direct voltage being added to supporter 12 again, and makes the atmosphere of getting back to normal pressure in the chamber 11.Further, decompression processing device 10 makes the supporter 12 of mounting wafer W support that with supporter platform 121 drops to the precalculated position by driving elevating mechanism 123.System control device 40 open sluice valves 114 are taken out of the wafer W that polymer 8 adheres to from decompression processing device 10, after taking out of, close sluice valve 114.System control device 40 will be removed polymer 8 back conveyances to structure judgement unit 30 from the wafer W that decompression processing device 10 is taken out of, the wafer W of conveyance is positioned on the mounting table 311 of structure judgement unit 30, and the storage vault that will construct judgement unit 30 uses is set at first storage vault (step S303).
To wafer W emission white light, the white light with emission in polarizer 313 is transformed into rectilinearly polarized light to optical unit 31 from photophore 312, and the rectilinearly polarized light that conversion is obtained is radiated at (step S304) on the wafer W.Optical unit 31 is received in the polarised light that reflects on the wafer W, see through photodetector 314 in light-receiving device 315, the polarised light of accepting is transformed into the signal of telecommunication, and the signal of telecommunication that conversion obtains is supplied with structure judgement unit 32.
Structure judgement unit 32 is resolved the reverberation of the phase place Wp in catoptrical each wavelength X, reverberation and the reverberation of intensity I p, the reverberation of Is of Ws according to the signal of telecommunication of supplying with.Have again, structure judgement unit 32 usefulness formula 1 and formula 2, the incident light of phase place Wp each wavelength X of light on being radiated at wafer W, the incident light of Ws and the incident light of intensity I p, the incident light of Is, and calculate the displacement Ψ of phase difference and amplitude through the reverberation of the phase place Wp in catoptrical each wavelength X of resolving, reverberation and the reverberation of intensity I p, the reverberation of Is of Ws, try to achieve that phase difference distributes and the Displacements Distribution (step S305) of amplitude.
The phase difference distribution that 32 pairs of judgement units of structure are tried to achieve and the Displacements Distribution of amplitude distribute with login each phase difference in the 1st storage vault and the Displacements Distribution of amplitude is carried out pattern match, distribute and the approximate phase difference of Displacements Distribution of amplitude distributes and the Displacements Distribution of amplitude from the 1st storage vault retrieval and this phase difference of calculating, whether differentiation can be estimated the surface of wafer W and construct (step S306).
Structure judgement unit 32, distribute and the approximate phase difference of Displacements Distribution of amplitude distributes and the Displacements Distribution ("Yes" the step S306) of amplitude from the 1st storage vault retrieval and this phase difference of trying to achieve, infer the surface of wafer W from the constructing variable corresponding and construct (step S307) with the Displacements Distribution of this detected phase difference distribution and amplitude.
On the other hand, when structure judgement unit 32 for example owing to processing against corrosion makes the shape of resist layer 6, composition marked change takes place, when the phase difference distribution that can not be similar to and the Displacements Distribution of amplitude ("No" the step S306) from the Displacements Distribution of the retrieval of the 1st storage vault and the phase difference of trying to achieve distribution and amplitude, differentiation can not be estimated the surface structure of wafer W, and with this advisory system control device 40.When system control device 40 during from notice that structure judgement unit 32 obtains estimating, take out of wafer W by control transport mechanism 60 from structure discriminating gear 30, this wafer W of taking out of is moved into liquid handling device 20, this wafer W of moving into is positioned on the rotating suction disc 22.
Liquid handling device 20 on rotating suction disc 22, makes the wafer W rotation of vacuum suction with the wafer W vacuum suction of mounting by motor 23.Liquid handling device 20 will be removed liquid from the 1st soup feed unit 24 resist layers that supply with, that for example be made up of hydrofluoric acid (HF), sulfuric acid (H2SO4) and be sprayed onto on the surface of the wafer W that is rotated by motor 23 from the 1st medical liquid spraying nozzle 211.Liquid handling device 20 by utilizing the centrifugal force that is produced by rotation, does not need multipass to remove liquid with regard to the resist layer that makes ejection and blazons on the surface of wafer W, shown in Figure 16 (d), removes the resist layer 6 (step S308) on wafer W surface.
Pure water or IPA liquid that liquid handling device 20 will be supplied with from the 2nd soup feed unit 25 are sprayed onto from the 2nd medical liquid spraying nozzle 212 on the surface of the wafer W that is rotated by motor 23.Liquid handling device 20 by utilizing the centrifugal force that is produced by rotation, does not need multipass that the pure water of ejection or IPA liquid are blazoned on the surface of wafer W, and the surface of the wafer W of having removed resist layer 6 is cleaned in flushing.Liquid handling device 20 is by rotating wafer W more at high speed by motor 23, the wafer W after Rotary drying is cleaned through flushing.System control device 40 will have been removed the wafer W of resist layer 6 and move into structure discriminating gear 30, the wafer W of moving into is positioned on the mounting table 311 of structure discriminating gear 30, and the storage vault that will construct discriminating gear 30 uses is set at the 2nd storage vault (step S309).
To wafer W emission white light, the white light with emission in polarizer 313 is transformed into rectilinearly polarized light to optical unit 31 from photophore 312, and the rectilinearly polarized light that conversion is obtained is radiated at (step S310) on the wafer W.Optical unit 31 is received in the polarised light that reflects on the wafer W, see through photodetector 314 in light-receiving device 315, the polarised light of accepting is transformed into the signal of telecommunication, and the signal of telecommunication that conversion is obtained supplies to structure judgement unit 32.
Structure judgement unit 32 is resolved the reverberation of the phase place Wp in catoptrical each wavelength X, reverberation and the reverberation of intensity I p, the reverberation of Is of Ws according to the signal of telecommunication of supplying with.Have again, structure judgement unit 32 usefulness formula 1 and formula 2, the incident light of phase place Wp each wavelength X of light on being radiated at wafer W, the incident light of Ws and the incident light of intensity I p, the incident light of Is, and calculate the displacement Ψ of phase difference and amplitude through the reverberation of the phase place Wp in catoptrical each wavelength X of resolving, reverberation and the reverberation of intensity I p, the reverberation of Is of Ws, try to achieve that phase difference distributes and the Displacements Distribution (step S311) of amplitude.
32 pairs of judgement units of the structure phase difference of trying to achieve distributes and the Displacements Distribution of amplitude distributes with login each phase difference in the 1st storage vault and the Displacements Distribution of amplitude is carried out pattern match, the phase difference distribution that is similar to from the Displacements Distribution of the retrieval of the 2nd storage vault and this phase difference of calculating distribution and amplitude and the Displacements Distribution (step S312) of amplitude.
Have, structure judgement unit 32 distributes and the corresponding constructing variable of Displacements Distribution of amplitude from the phase difference that obtains with this retrieval, infers the surface of wafer W and constructs (step S307) again.
According to above-mentioned processing operation, because removed shape and formed not clear polymer 8, so treatment system 3 can be determined optical constant n value (refractive index) and k value (absorption coefficient) in the surface of wafer W, with the non-surface structure that destroys ground correct decision wafer W of ellipsometry.
Have again, treatment system 3, do not remove the surface structure that wafer W is differentiated in resist layer 6 backs because be not at every turn, during being changed significantly of the shape of the resist layer 6 on being coated in wafer W, composition etc., just think in the state of coating resist layer 6, can not determine surface when structure of wafer W, remove the surface structure that wafer W is differentiated in resist layer 6 backs, so can reach the purpose of the productivity ratio that improves entire process.
(the 4th execution mode)
The treatment system 4 relevant with the 4th execution mode of the present invention is described with reference to the accompanying drawings.In addition, omit explanation about the identical formation of the treatment system relevant 1,2 and 3 with above-mentioned the 1st, the 2nd and the 3rd execution mode.
Treatment system 4, as shown in figure 17, constitute by decompression processing device 10, method memory cell 14, liquid handling device 20, structure discriminating gear 80, system control device 40, load port 50, transport mechanism 60 and aligned units 70, to implementing etch processes, differentiate the surface structure of the wafer W after this etch processes with albedometry as the wafer W of handling matrix.
Decompression processing device 10 under the atmosphere of decompression, selectively carries out etch processes to wafer W with the resist pattern as mask.Have again, decompression processing device 10 usefulness by the mist of C4F8, argon and oxygen as etching gas.
Liquid handling device 20 is removed attached to lip-deep polymer 8 grades of wafer W and is not wanted the position, and flushing is cleaned the wafer W of having removed polymer 8, the wafer W that Rotary drying is cleaned through flushing.
Structure discriminating gear 80 is made of optical unit 81 and structure judgement unit 82, differentiates the surface structure of wafer W with albedometry.Optical unit 81 is made of mounting table 811, photophore 812, polarizer 813, lens 814 and light-receiving device 815, and rayed on wafer W, and is accepted reverberation from wafer W.
Structure judgement unit 82 with corresponding from the indication of system control device 40, switches the multiple regression formula of using.Structure judgement unit 82 is from being radiated at the light intensity on the wafer W and inferring the surface structure of wafer W from the ratio (reflectivity) of wafer W intensity of light reflected.Have again, structure judgement unit 82, the predetermined multiple regression formula that the enough reflectivity of energy are calculated constructing variable as parameter by multiple regression analysis is stored among the ROM.
In this multiple regression formula, exist after calculating etch processes the 1st multiple regression formula of the constructing variable of the wafer W (wafer W of polymer attached on the surface) before the processing of wafers and calculate the 2nd multiple regression formula of the constructing variable of the wafer W (having removed the wafer W of polymer) after etch processes and processing of wafers.
Structure judgement unit 82 is resolved the reverberation of the intensity I catoptrical each wavelength X according to the signal of telecommunication of supplying with from optical unit 81.Have, the reverberation of the intensity I each wavelength X of the light of structure judgement unit 82 on being radiated at wafer W, and the reverberation of the intensity I in catoptrical each wavelength X of process parsing are calculated reflectivity (incident light of reverberation/I of=I) again.
Reflectivity (incident light of reverberation/I of=I) that structure judgement unit 82 usefulness are calculated and the 1st multiple regression formula that is stored among the ROM are carried out multiple regression analysis.Structure judgement unit 82 in the time can calculating constructing variable in the scheduled period by multiple regression analysis, is inferred the surface structure of wafer W from this constructing variable of calculating.Structure judgement unit 82 is supplied to system control device 40 with the constructing variable of calculating.
On the other hand, when structure judgement unit 82 because for example by etch processes and attached to the lip-deep polymer of wafer W, in the time of can not in the scheduled period, calculating constructing variable by multiple regression analysis, differentiation can not be estimated the surface structure (being evaluated as not good) of wafer W, and with this advisory system control device 40.
System control device 40 is implemented etch processes to wafer W in decompression processing device 10, take out of wafer W by control transport mechanism 60 from decompression processing device 10, to structure discriminating gear 80, and the multiple regression formula that will construct discriminating gear 80 uses is set at the 1st multiple regression formula with this wafer W conveyance of taking out of.
System control device 40 interrupts the follow-up processing to wafer W when the notice that obtains estimating from structure judgement unit 82.System control device 40 is taken out of wafer W by control transport mechanism 60 from structure discriminating gear 80, and liquid handling device 20 is arrived in this wafer W conveyance of taking out of.System control device 40, when in liquid handling device 20, removing resist layer 6, take out of wafer W from liquid handling device 20, the wafer W that this is taken out of is moved into structure discriminating gear 80 once more, and the multiple regression formula that will construct discriminating gear 80 uses is set at the 2nd multiple regression formula.
The etching condition of decompression processing device 10 is set and changed to system control device 40 according to the surface structure of estimating, in structure discriminating gear 80, begin the follow-up processing to wafer W once more.
Secondly, sectional view with reference to the wafer W in Figure 18 and flow diagram shown in Figure 19 and each step shown in Figure 20, on wafer W, to form a plurality of contact holes 7, differentiate the surface structure of the wafer W that is formed with contact hole 7, the situation of feedback etching condition is an example, and the processing operation of this treatment system 4 is described.
At first, with the wafer W conveyance in the figure in the unillustrated oxidation unit.Oxidation unit is implemented to form SiO2 layer 5 after the oxidation processes in the surf zone of wafer W.
Then, with the wafer W conveyance that is formed with SiO2 layer 5 in the surf zone in the figure in the unillustrated resist applying device.The resist applying device applies resist on SiO2 layer 5.Then, with the wafer W conveyance that applied resist in the figure in the unillustrated exposure device.Exposure device is implemented exposure-processed to being coated in the lip-deep resist of wafer W.Then, with the wafer W conveyance of having implemented exposure-processed in the figure in the unillustrated developing apparatus.Developing apparatus is implemented development treatment to being coated in the lip-deep resist of wafer W, forms the resist pattern that is used to form contact hole shown in Figure 20 (a).After this, take out of the wafer W that has formed the resist pattern from the teeth outwards from developing apparatus.
System control device 40 will be collected in the load port 50 the wafer W conveyance to aligned units 70 by control transport mechanism 60, and the position that aligned units 70 is carried out wafer W overlaps.Secondly, the open sluice valve 114 of taking out of mouth 113 of moving into, system control device 40 will be moved in the chamber 11 of decompression processing device 10 from the wafer W that developing apparatus is taken out of, and the wafer W of moving into is positioned on the supporter 12.After this, system control device 40 withdraws from transport mechanism 60 in chamber 11, close sluice valve 114.Decompression processing device 10 makes the supporter 12 of mounting wafer W support that with supporter platform 121 rises to the precalculated position by driving elevating mechanism 123.Decompression processing device 10 usefulness exhaust apparatus 112 arrive predetermined reduced atmosphere with vacuum exhaust in the chamber 11, for example the following pressure of 0.01Pa.Decompression processing device 10 is added to direct voltage on the electrostatic chuck that is arranged on the supporter 12, with the wafer W Electrostatic Absorption on supporter 12.
Decompression processing device 10, surface structure according to the wafer W that has a plurality of contact holes of indicating by input unit, select best method from method memory cell 14, the etching condition (Gr) of login in the method for the best of selecting is stored in the memory, and (initial stage) sets etching condition (step S401).
Decompression processing device 10 will be represented to be supplied to system control device 40 by the constructing variable of the surface structure of the wafer W of input unit indication and the etching condition (Gr) of setting.The etching condition that system control device 40 is set the constructing variable supplied with and (initial stage) is stored in the memory.
Decompression processing device 10, under the etching condition of setting (Gr), the valve 135 of open gas introduction tube 134, after the mist of supplying with from gas supply source 137 of being made up of C4F8, argon and oxygen being spread, this mist is imported in the chamber 11 from pore 131a by diffusion unit 132a.Decompression processing device 10 by add high frequency voltage on supporter 12 and upper electrode 13, generates highdensity plasma gas between supporter 12 and upper electrode 13.The plasma gas that decompression processing device 10 usefulness generate selectively carries out etching (step S402) to the surface of wafer W with the resist pattern as mask.By this etch processes, on the SiO2 of wafer W layer 5, form the contact hole 7 shown in Figure 20 (b), and on the surface of resist layer 6 contact holes 7 polymer attached 8.
Decompression processing device 10 stops high frequency voltage being added to supporter 12 and upper electrode 13 when finishing etch processes, and closes the valve 135 of gas introduction tube 134.Have, decompression processing device 10 stops direct voltage being added on the supporter 12 again, and makes chamber 11 get back to the atmosphere of normal pressure.Further, decompression processing device 10 makes the supporter 12 of mounting wafer W support that with supporter platform 121 drops to the precalculated position by driving elevating mechanism 123.The open sluice valves 114 of system control device 40 are taken out of the wafer W of polymer attached 8 from decompression processing device 10, after taking out of, close sluice valve 114.System control device 40, to move into structure discriminating gear 80 from the wafer W that decompression processing device 10 is taken out of, the wafer W of moving into is positioned on the mounting table 811, and will constructs the multiple regression formula that discriminating gear 80 uses and be set at the 1st multiple regression formula (step S403).
Optical unit 81 flatly sends white light from 812 pairs of ground of photophore, on speculum 813, white light reflexed to vertical lower to, make the white light of reflection, be radiated at (step S404) on the wafer W through lens 814.The reverberation that optical unit 81 is accepted from wafer W is transformed into the signal of telecommunication with the reverberation of accepting, and the signal of telecommunication that conversion obtains is supplied with structure judgement unit 82.
Structure judgement unit 82 is resolved the reverberation of the intensity I catoptrical each wavelength X according to the signal of telecommunication of supplying with from optical unit 81.Have, the reverberation of the intensity I in catoptrical each wavelength X that the reverberation of the intensity I each wavelength X of the light of structure judgement unit 82 on being radiated at wafer W, process are resolved is calculated reflectivity (incident light of reverberation/I of=I) (step S405) again.
The reflectivity (incident light of reverberation/I of=I) that structure judgement unit 82 usefulness are calculated and carry out multiple regression analysis (step S406) based on the 1st multiple regression formula of the indicative of settings of system control device 40.
Structure judgement unit 82 in the time can calculating constructing variable in the scheduled period by multiple regression analysis ("Yes" among the step S407), is inferred the surface structure (step S501) of wafer W from this constructing variable of calculating.Structure judgement unit 82 is supplied to system control device 40 with the constructing variable of calculating.
System control device 40, by the constructing variable that relatively is stored in the constructing variable in the memory and supplies with from structure judgement unit 82, (the step S502) such as the degree of depth of the contact hole that the degree of depth of the contact hole of indication etc. and reality form in decompression processing device 10 relatively.
During the depth as shallow of the contact hole that the depth ratio of the contact hole 7 that forms when reality is indicated, the increase supply gas flow of chamber 11 of system control device 40, the etching condition Gr that will set at (initial stage) proofreaies and correct and is Gr+ Δ G (step S503).System control device 40 will be supplied with decompression processing device 10 through the etching condition (Gr+ Δ G) of overcorrect, and etching condition is fed back to decompression processing device 10.
When from system control device 40 supply etching conditions (Gr+ Δ G), the etching condition that decompression processing device 10 will be stored in the memory is rewritten as (Gr+ Δ G) from (Gr), sets also change etching condition (step S504).
On the other hand, structure judgement unit 82, when for example marked change taking place owing to processing against corrosion makes the shape of resist layer, composition, in the time of can not in the scheduled period, calculating constructing variable with multiple regression analysis when ("No" among the step S407), differentiation can not be estimated the surface structure of wafer W, and with this advisory system control device 40.System control device 40 interrupts the processing to follow-up wafer W when the notice that obtains estimating from structure judgement unit 82.In addition, system control device 40 is taken out of wafer W by control transport mechanism 60 from structure discriminating gear 80, and this wafer W of taking out of is moved into liquid handling device 20, and this wafer W of moving into is positioned on the rotating suction disc 22.
Liquid handling device 20 on rotating suction disc 22, makes the wafer W rotation of vacuum suction with the wafer W vacuum suction of mounting by motor 23.Liquid handling device 20 will be removed liquid from the 1st soup feed unit 24 polymer that supply with, that for example be made up of hydrofluoric acid (HF), sulfuric acid (H2SO4) and be sprayed onto on the surface of the wafer W that is rotated by motor 23 from the 1st medical liquid spraying nozzle 211.Liquid handling device 20 by utilizing the centrifugal force that is produced by rotation, does not need multipass to remove liquid with regard to the polymer that makes ejection and blazons on the surface of wafer W, shown in Figure 20 (c), removes attached to the lip-deep polymer 8 of wafer W (step S408).
Pure water or IPA liquid that liquid handling device 20 will be supplied with from the 2nd soup feed unit 25 are sprayed onto from the 2nd medical liquid spraying nozzle 212 on the surface of the wafer W that is rotated by motor 23.Liquid handling device 20 by utilizing the centrifugal force that is produced by rotation, does not need multipass that the pure water of ejection or IPA liquid are blazoned on the surface of wafer W, and the surface of the wafer W of having removed polymer 8 is cleaned in flushing.Liquid handling device 20 is by rotating wafer W more at high speed by motor 23, the wafer W after Rotary drying is cleaned through flushing.System control device 40 will have been removed the wafer W of polymer 8 and move into structure discriminating gear 80, the wafer W of moving into is positioned on the mounting table 81 of structure discriminating gear 80, and the multiple regression formula that will construct discriminating gear 80 uses is set at the 2nd multiple regression formula (step S409).
Optical unit 81 flatly sends white light from 812 pairs of ground of photophore, on speculum 813, white light reflexed to vertical lower to, make the white light of reflection, be radiated at (step S410) on the wafer W through lens 814.The reverberation that optical unit 81 is accepted from wafer W is transformed into the signal of telecommunication with the reverberation of accepting, and the signal of telecommunication that conversion obtains is supplied with structure judgement unit 82.
Structure judgement unit 82 is resolved the reverberation of the intensity I catoptrical each wavelength X according to the signal of telecommunication of supplying with from optical unit 81.Have, the reverberation of the intensity I in catoptrical each wavelength X that the reverberation of the intensity I each wavelength X of the light of structure judgement unit 82 on being radiated at wafer W, process are resolved is calculated reflectivity (incident light of reverberation/I of=I) (step S411) again.
The reflectivity (incident light of reverberation/I of=I) that structure judgement unit 82 usefulness are calculated and carry out multiple regression analysis according to the 2nd multiple regression formula of the indicative of settings of system control device 40 is calculated constructing variable (step S412).
Structure judgement unit 82 is inferred the surface structure (step S501) of the wafer W that is formed with a plurality of contact holes 7 from the constructing variable of calculating.Structure judgement unit 82 is with the constructing variable feed system control device 40 of calculating.
System control device 40, by the constructing variable that relatively is stored in the constructing variable in the memory and supplies with from structure judgement unit 82, (the step S502) such as the degree of depth of the contact hole 7 that the degree of depth of the contact hole of indication etc. and reality form in decompression processing device 10 relatively.
When system control device 40, the depth as shallow of the contact hole that the depth ratio of the contact hole 7 that forms when reality is indicated, the gas flow of the chamber 11 of increasing supply, the etching condition Gr that will set at (initial stage) proofreaies and correct and is Gr+ Δ G ' (step S503).System control device 40 will be supplied with decompression processing device 10 through the etching condition (Gr+ Δ G ') of overcorrect, and etching condition is fed back to decompression processing device 10.
Decompression processing device 10 when from system control device 40 supply etching conditions (Gr+ Δ G '), is rewritten into (Gr+ Δ G ') with the etching condition that is stored in the memory from (Gr), set also change etching condition (step S504).Have, system control device 40 is set and the change etching condition, begins the follow-up processing to wafer W once more again.
According to above-mentioned processing operation, because removed shape and formed not clear polymer 8, so treatment system 4 can be determined optical constant n value (refractive index) and k value (absorption coefficient) in the surface of wafer W, with the non-surface structure that destroys ground correct decision wafer W of albedometry.
Have, treatment system 4 is proofreaied and correct the etching condition of supplying with decompression processing device 10 from the surface structure with the wafer W of albedometry correct decision again, and the feedback etching condition thus, can be implemented correct and uniform etch processes to wafer W.
Further, treatment system 4 is not removed the surface structure that wafer W is differentiated in polymer 8 backs because be not at every turn, but when just thinking in the state of polymer attached 8, can not to determine the surface structure of wafer W, remove the surface structure that wafer W is differentiated in polymer 8 backs, so can reach the purpose of the productivity ratio that improves entire process.
Have, treatment system 4 when construct on the surface that can not determine wafer W in the state that is being attached with polymer 8, by interrupting the follow-up processing to wafer W, can be made wafer W with high rate of finished products again.
In addition, the invention is not restricted to above-mentioned execution mode, can carry out all distortion and application.Below, our explanation can be applied to the mode of texturing of above-mentioned execution mode of the present invention.
In above-mentioned the 1st, the 2nd, the 3rd and the 4th execution mode, treatment system 1,2,3 and 4 is estimated the surface structure (shape) of the wafer W after the etch processes.But the present invention is not limited to this, and treatment system 1,2,3 and 4 also can be estimated the surface structure (ion concentration) of the wafer W after ion injects.
Have, in above-mentioned the 1st, the 2nd, the 3rd and the 4th execution mode, structure judgement unit 30 and 80 is differentiated the surface structure of the wafer W that has formed a plurality of contact holes again.But the present invention is not limited to this, the surface structure that structure judgement unit 30 and 80 also can be estimated the wafer W that has STI (ShallowTrench Isolation (shallow isolating trough)) and pass through the etched wafer W of grid.
Further, in above-mentioned the 1st, the 2nd, the 3rd and the 4th execution mode, in photophore 312 and 812, use xenon lamp.But the present invention is not limited to this, if the lamp of deuterium lamp etc., emission white light then can be arbitrarily.
Have, the scatterometry in the above-mentioned the 1st and the 3rd execution mode is an ellipsometry again, and the scatterometry in the above-mentioned the 2nd and the 4th execution mode is an albedometry.But the present invention is not limited to this, if scatterometry can be differentiated the surface structure of wafer W from the catoptrical phase place by rayed is obtained in wafer W, intensity etc., then can be arbitrarily.
Further, in the above-mentioned the 1st and the 3rd execution mode, resolution unit 322 distributes and the Displacements Distribution of amplitude is carried out pattern match to the phase difference of trying to achieve, correction is from the phase difference distribution of storage vault retrieval and the Displacements Distribution of amplitude, from infer the surface structure of wafer W through the constructing variable of overcorrect.But, the present invention is not limited to this, resolution unit 322 also can to the phase difference of trying to achieve distribute and the Displacements Distribution of amplitude and login in storage vault the phase difference distribution and the Displacements Distribution of amplitude carry out pattern match, from storage vault retrieval and this phase difference of trying to achieve distribute and the Displacements Distribution of amplitude is similar to the phase difference distribution and the Displacements Distribution of amplitude, when the constructing variable corresponding with the Displacements Distribution of phase difference distribution that retrieves and amplitude is in predetermined convergence range (GOF), can infer the constructing variable of wafer W from this constructing variable.Have, resolution unit 322 also can be carried out multiple regression analysis to the displacement Ψ of the phase difference calculated and amplitude and be calculated constructing variable again, infers the surface structure of wafer W from the constructing variable of calculating.
Have, in the above-mentioned the 2nd and the 4th execution mode, structure judgement unit 82 carries out multiple regression analysis to the reflectivity of calculating and calculates constructing variable again, infers the surface structure of wafer W from the constructing variable of calculating.But, the present invention is not limited to this, structure judgement unit 82 also can to the phase difference of trying to achieve distribute and the Displacements Distribution of amplitude and login in storage vault the phase difference distribution and the Displacements Distribution of amplitude carry out pattern match, from storage vault retrieval and this phase difference of trying to achieve distribute and the Displacements Distribution of amplitude is similar to the phase difference distribution and the Displacements Distribution of amplitude, when the constructing variable corresponding with the Displacements Distribution of phase difference distribution that retrieves and amplitude is in predetermined convergence range (GOF), infer the constructing variable of wafer W from this constructing variable.Have, structure judgement unit 82 also can be tried to achieve reflectivity from the reflectivity of calculating and be distributed, and reflectivity is distributed carry out pattern match again, proofreaies and correct from the reflectivity of storage vault retrieval to distribute, from infer the surface structure of wafer W through the constructing variable of overcorrect.
Further, in the above-mentioned the 2nd and the 4th execution mode, the parameter of etching condition is the flow of etching gas.But the present invention is not limited to this, as the parameter of etching condition, also can use the pressure in the chamber 11, power and frequency, gaseous species, the temperature of supporter 12 and the interval of supporter 12 and upper electrode 13 etc. of the 1st and the 2nd high frequency electric source.
Have, in above-mentioned the 1st execution mode, 1 of treatment system is differentiated the surface structure of wafer W again.But the present invention is not limited to this, and treatment system 1 also can be proofreaied and correct the etching condition of the pressure in the chamber 11, the flow of etching gas etc. according to the surface structure of the wafer W of being differentiated, and this etching condition through overcorrect is fed back to decompression processing device 10.
Further, in above-mentioned the 1st execution mode, liquid handling device 20 is removed lip-deep polymer 8 of wafer W and resist layer 6.But the present invention is not limited to this, and liquid handling device 20 also can only be removed attached to the polymer on the wafer W 8.
Have, in above-mentioned the 2nd execution mode, 10 of decompression processing devices are removed rotten hardened layer 9 that forms and the affected layer A that forms in the base surface area of contact hole 7 in the surf zone of resist layer 6 again.But the present invention is not limited to this, and decompression processing device 10 also can be removed resist layer 6 with rotten hardened layer 9 and affected layer A.
Further, in above-mentioned the 2nd execution mode, decompression processing device 10 is removed at rotten hardened layer 9 that forms on the resist layer 6 and the affected layer A that forms in the base surface area of contact hole 7 by ashing treatment.But the present invention is not limited to this, also can implement light etch processes to rotten hardened layer 9 and affected layer A with the mist of CF4 and O2, removes rotten hardened layer 9 and affected layer A.
Have again, in above-mentioned the 2nd, the 3rd and the 4th execution mode, implement etch processes and ashing treatment with 10 pairs of wafer W of same decompression processing device.But the present invention is not limited to this, also can be in decompression processing device 10 wafer W be implemented etch processes, in other decompression processing device different with decompression processing device 10 wafer W is implemented ashing treatment.
(the 5th execution mode)
Below we with reference to the description of drawings treatment system 5 relevant with the 5th execution mode of the present invention.
Treatment system 5 for example has the formation identical with above-mentioned treatment system 2, for example as shown in figure 21, have the decompression processing device 10 as the etch processes device, structure discriminating gear 80, system control device 40, load port 50, transport mechanism 60 and the aligned units 70 of conduct surface structure determinator (structure determinator) as control device.5 pairs of treatment systems are implemented etch processes as the wafers of handled object, are determined at the size of the pattern structure (the surface structure of wafer W) that forms on the wafer W surface after this etch processes with albedometry.
Decompression processing device 10 under the atmosphere of decompression, selectively carries out etch processes to wafer W with the resist pattern as mask.In addition, decompression processing device 10 usefulness by the mist of C4F8, argon and oxygen as etching gas.
Structure discriminating gear 80 has determination unit 350 and computing unit 351, for example can enough albedometries be determined at the size of the pattern structure that forms on the wafer W surface.Determination unit 350 for example has the formation identical with above-mentioned optical unit 81, for example has mounting table 811, photophore 812, speculum 813, lens 814 and light-receiving device 815 etc., can be on wafer W with rayed, and accept reverberation from wafer W.
Computing unit 351 for example has CPU, HD, memory etc., for example according to the reflected light information from determination unit 350, calculates the size of the pattern structure of wafer surface, for example the degree of depth of the ditch of the reality of pattern and live width etc.
System control device 40 has method memory cell 352, device parameter control unit 353, computing unit 354, memory cell 355 etc.In memory cell 355, for example for each method of processing of wafers, the permissible value of the size of the pattern structure of the wafer surface after the storage etch processes.For example in the memory cell 355 of system control device 40, the permissible value of the preliminary dimension of the depth direction of the pattern structure of the wafer surface after the storage etch processes and the preliminary dimension of horizontal direction.
In method memory cell 352, store the necessary device parameter of a plurality of etch processes, for example handle the method for the gas flow of gas, the performance number of high frequency electric source etc.
The device parameter that device parameter control unit 353 will be stored in the method memory cell 352 sends to volume control device 136 and high frequency electric source 124,139 etc., the setting that can change each device parameter.
The size of the pattern structure of the wafer surface that the computing unit 354 of system control device 40 is relatively calculated by structure discriminating gear 80 and be stored in the memory cell 355 permissible value, can continue or interrupt etch processes in the decompression processing device 10 according to this comparative result decision.Error message when continuation message when system control device 40 for example can demonstrate continuation in the unillustrated display unit in the figure in being arranged on treatment system 5 and interruption.
In addition, omit explanation about the identical formation of the treatment system relevant 2 with above-mentioned the 2nd execution mode.
Secondly, we illustrate the processing of carrying out in this treatment system 5.Figure 22 is the flow diagram of this processing.At first, for example, shown in Figure 23 (a), the test wafer T that will only form the etched film 900 and the simple structure of the resist film 901 that is patterned to wire on wafer surface is collected in the load port 50.Then, by transport mechanism 60 with test wafer T conveyance to aligned units 70, carry out after the position overlaps, conveyance is to decompression processing device 10.Under the predetermined etch process conditions identical, the test wafer T that moves in the decompression processing device 10 is carried out etch processes (S601 among Figure 22) with above-mentioned execution mode.Shown in Figure 23 (b), eliminate etched film 900 and resist film 901 by this etch processes.
The test wafer T conveyance that will be finished etch processes by transport mechanism 60 in decompression processing device 10 is to structure discriminating gear 80, measure the size of the pattern structure of the test wafer T after the etch processes by determination unit 350 and computing unit 351, the size (S602 among Figure 22) of the depth dimensions H of the depth direction of for example etched film 900 and etched film 900 and the two-dimensional directional of the live width D of resist film 901.
In the memory cell 355 of system control device 40, set the permissible value H of the depth dimensions H of for example etched film 900 in advance MPermissible value D with the live width D of etched film 900 MFrom structure discriminating gear 80 measurement result of depth dimensions H and live width D is outputed to system control device 40, in the computing unit 354 of system control device 40, relatively Shu Chu depth dimensions H and live width D and each permissible value H M, D M(S603 among Figure 22).In the computing unit 354 of system control device 40, for example when among depth dimensions H or the live width D any one surpassed permissible value, the etch processes in the decompression processing device 10 was interrupted in decision, demonstrates error message.On the other hand, in the computing unit 354 of system control device 40, for example when among depth dimensions H or the live width D any one all surpassed permissible value, decision continued the etch processes in the decompression processing device 10, demonstrates this continuation information (S604 among Figure 22).
To be recovered to load port 50 at the test wafer T of size that structure measured the pattern structure of wafer surface in the discriminating gear 80 by transport mechanism 60.
According to the 5th execution mode, can enough albedometries measure the size of depth direction of pattern structure of wafer surface and the size of horizontal direction simultaneously.Therefore, with the prior art comparison of the size of sequentially measuring 2 directions, can promptly measure pattern structure.Have again, can not destroy the size that the pattern structure of wafer surface is measured on wafer W ground.
; if grasp the pattern structure of wafer surface as prior art by the photo of the wafer W seen from the plane with scanning electron microscope; the live width of then for example working as etched film broadens along with moving down; when the live width of the upper end of etched film is wideer than other parts; because this part that has broadened is grasped as live width, so can not measure the live width of the position of wanting of etched film.If according to the present invention,,, always can measure the live width of the position of wanting of etched film so have nothing to do with the shape change of etched film then because use albedometry.Thereby, can correctly measure the size of the pattern structure of wafer surface.
Have again, because more above-mentioned size and the predefined permissible value of correctly measuring the pattern structure of wafer surface, continue or the interruption etch processes according to this comparative result decision, so can judge automatically whether the etch processes in the decompression processing device 10 is suitable, automatically manages decompression processing device 10.Therefore, the artificial mistake that takes place in the time of can preventing to manage by the people.
Have again, if according to this execution mode, then in the dimension measurement of the pattern structure of the wafer surface after etch processes, because with test wafer T, so the enough more cheap wafers of energy are measured the size of the pattern structure of wafer surface with die/wafer configuration more simple than common goods wafer.
In this 5th execution mode, measure the size of the pattern structure of wafer surface with albedometry, but also can be with other scatterometry, for example size of the pattern structure of ellipsometry mensuration wafer surface.Have again, in the above-described embodiment, form the linear pattern on the surface of test wafer T, but also can form other pattern structure of contact hole etc., measure the size of this pattern structure.In the above-described embodiment, the size of the case depth direction of determination test wafer T and the two-dimensional directional of horizontal direction, but also can measure the size of three-dimensional.For example, when on the surface of test wafer T, forming contact hole, also can measure the degree of depth of contact hole and the length of diameter in length and breadth.
In above-mentioned the 5th execution mode, it is the size of the pattern structure of determination test wafer T, decision continues or the interruption etch processes, but when test wafer T the size of pattern structure outside permissible value the time, according to this dimension measurement value, for example the etch process conditions of the pressure in the chamber 11, etching period, etchant gas flow etc. is proofreaied and correct, also can will should feed back to decompression processing device 10 through the etch process conditions of overcorrect.
(the 6th execution mode)
Below we with reference to the description of drawings treatment system 6 relevant with the 6th execution mode of the present invention.
Treatment system 6 for example has the formation identical with treatment system shown in Figure 21 5, has decompression processing device 10 as the etch processes device, as structure discriminating gear 80, the system control device 40 as control device, load port 50, transport mechanism 60 and the aligned units 70 of surface structure determinator (structure determinator).Treatment system 6 for example can be enough the measuring reflectance method measure carry out the size of the pattern structure (surface structure) before the etch processes as the surface of the wafer W of handled object.
Decompression processing device 10 can be for example under the atmosphere of decompression, and the setting-up time predetermined selectively carries out etch processes to wafer W with the resist pattern as mask.For example can will supply with in the chamber 11 of decompression processing device 10 as the oxygen of etching gas with predetermined amount of flow through volume control device 136 grades.
Structure discriminating gear 80 has determination unit 350 and computing unit 351, for example can enough albedometries be determined at the size of the pattern structure on wafer W surface.Determination unit 350 for example has the formation identical with above-mentioned optical unit 81, for example has mounting table 811, photophore 812, speculum 813, lens 814 and light-receiving device 815 etc., can be on wafer W with rayed, and accept reverberation from wafer W.
In method memory cell 352, store the necessary device parameter of a plurality of etch processes (treatment conditions), for example handle the method for the gas flow of gas, the performance number of high frequency electric source etc.
The device parameter that device parameter control unit 353 will be stored in the method memory cell 352 sends to volume control device 136 and high frequency electric source 124,139 etc., can set the parameter of each device.
Computing unit 351 for example has CPU, HD, memory etc., for example according to the reflected light information from determination unit 350, calculates the size of the pattern structure on wafer W surface, for example the degree of depth of the ditch of the reality of wafer surface and live width etc.
System control device 40 for example has method memory cell 352, device parameter control unit 353, computing unit 354, memory cell 355 etc.For example the relevant parameter of the amount of eliminating of the pattern structure on the wafer W surface that will produce with a plurality of etch process conditions with by etch processes is stored in the memory cell 355 of system control device 40.For example the data that the amount of eliminating of the depth direction that will produce with the disposing time shown in Figure 24 (a) with by etch processes is relevant and the storage of being correlated with the supply flow rate of the disposing time shown in Figure 24 (b), etching gas with by the live width amount of eliminating that etch processes produces are in the memory cell 355 of system control device 40.
The computing unit 354 of system control device 40, can be according to for example in the depth dimensions and the live width of the pattern structure on the wafer W surface that structure is measured before etch processes in the discriminating gear 80 and be stored in above-mentioned related data in the memory cell 355, make depth dimensions and live width after the etch processes become desired size, and the decision etch process conditions.The computing unit 354 of system control device 40 for example can be set the etch process conditions that is set in advance in the initial stage disposing time in the method memory cell 352 and the supply flow rate of etching gas etc. and change to the treatment conditions of above-mentioned decision.
In addition, omit explanation about the identical formation of the treatment system relevant 2 with above-mentioned the 2nd execution mode.
Secondly, we illustrate the processing of carrying out in this treatment system 6.Figure 25 is the flow diagram of this processing.At first, finish the development treatment of resist pattern, for example, will be shown in Figure 26 (a), form etched film 900 and be collected in the load port 50 through the wafer W of the resist film 901 of patterning.Then, by transport mechanism 60 with the wafer W conveyance to aligned units 70, carry out after the position overlaps, conveyance is to structure discriminating gear 80.Structure discriminating gear 80 is arrived in the wafer W conveyance, by the pattern structure on determination unit 350 and computing unit 351 mensuration wafer W surfaces, for example size of the live width of resist film 901 and depth direction (S701 among Figure 25).
To output to system control device 40 by the measurement result that structure discriminating gear 80 is measured.In the computing unit 354 of system control device 40, measurement result and the related data that is stored in the memory cell 355 according to this output determine etching condition (S702 among Figure 25) so that the pattern structure on the surface of the wafer W after the etch processes becomes the mode of desired size.For example, when being 100nm for measurement result when the live width of resist film 901, the target amount of eliminating of the depth direction of etched film 900 realizes 200nm, from the etching period of Figure 24 (a) and the related data of the degree of depth amount of eliminating, the decision disposing time is 40sec.Further, be 40nm (the target live width amount of eliminating=mensuration live width (100nm)-target live width (60nm)) in order to realize the target live width amount of eliminating, from the etching period of Figure 24 (b) and the related data of gas flow, the decision etching gas supply flow rate be 38cm 3/ min.If the supply flow rate of decision disposing time and etching gas, the setting (S703 among Figure 25) of then changing the etch process conditions in system control device 40.
To measure the wafer W of the size of surperficial pattern structure at structure in the discriminating gear 80, for example by transport mechanism 60 conveyances to decompression processing device 10.Under the etch process conditions of new settings, conveyance is implemented etch processes (S704 among Figure 25) to the wafer W of decompression processing device 10.By this etch processes, shown in Figure 26 (b), etched film 900 and resist film 901 are eliminated desired size.
Be recovered to load port 50 by will in decompression processing device 10, the be through with wafer W of etch processes of transport mechanism 60.
According to the 6th execution mode, before etch processes, measure the size of the pattern structure of wafer W, according to the measurement result of this size and the related data of trying to achieve in advance, setting is used to become the etch process conditions of the best of target size.So,, also can when etch processes, revise this error even if supposition exists scale error in the stage before etch processes in the resist pattern.
Have again, because measure the size of the pattern structure of wafer surface, so can not destroy the size of the pattern structure of this wafer surface of wafer W ground rapid test with albedometry.
Because in system control device 40, can set also change etch process conditions, so can automatically carry out the optimization of etch process conditions according to the measurement result and the best etch process conditions of related data decision of the pattern structure on wafer W surface.As a result, can carry out the high-precision processed of wafer W with high production capacity.
In the 6th execution mode, measure the size of the pattern structure on wafer W surface with albedometry, but also can be with other scatterometry, for example size of the pattern structure of ellipsometry mensuration wafer surface.Have again, in this embodiment, according to the measurement result change disposing time of the pattern structure of wafer surface and the supply flow rate of etching gas, but for example also can change other etch process conditions at the interval etc. of the temperature of the power of pressure in the chamber 11, the 1st and the 2nd high frequency electric source and frequency, gaseous species, supporter 12 and supporter 12 and upper electrode 13.
Have, above-mentioned the 6th execution mode is the etch processes after forming about the resist pattern again.But the present invention can be applicable to other etch processes of for example carrying out in the manufacturing step of semiconductor device, for example the etch processes of the hard mask of the lower floor of resist film, peel off the etch processes when removing hard mask, the etch processes when removing polysilicon film etc.
In addition, the 5th and the 6th above execution mode is also represented an example of the present invention, the invention is not restricted to these examples, can take all different sample attitudes.For example above-mentioned execution mode is a treatment system of handling wafer W, but the present invention also can be applicable to the handled object beyond the wafer, for example FPD (flat panel display), handle the treatment system of other substrate of mask reticule that photomask uses etc.

Claims (16)

1. a treatment system is characterized in that: have
Handled object is implemented the processing unit of predetermined process;
Remove at the not position at the not position of having implemented to generate on the handled object surface of described predetermined process and remove device;
Evaluation is removed step by described not position and has been removed the not surface structure evaluating apparatus of the surface structure of the handled object at position;
Described handled object is moved into the carrying device of taking out of described each device; With
Control described processing unit, described not position and remove the control device of device, described surface structure evaluating apparatus and described carrying device,
Described control device, monitor the evaluation operation in the structure evaluating apparatus of described surface, when judging that this evaluation is operating as when good, move into and remove not position after device is removed at described not position being judged as not good handled object, and move into described surface once more and construct evaluating apparatus evaluation table surface construction, thereby control
The processing unit of implementing described predetermined process is a plasma-etching apparatus,
It is wet treater that device is removed at the not position of removing described not position.
2. treatment system according to claim 1 is characterized in that:
Described control device is according at least 1 in the parameter of the treatment conditions of the described predetermined process of surface structure control of the handled object of described surface structure evaluating apparatus evaluation.
3. treatment system according to claim 1 is characterized in that:
When the evaluation in the structure evaluating apparatus of described surface was not derived optimum solution in the scheduled period, described control device judged that it is not good estimating operation.
4. treatment system according to claim 1 is characterized in that:
When the evaluation in the structure evaluating apparatus of described surface was not derived optimum solution from storage vault, described control device judged that it is not good estimating operation.
5. treatment system is characterized in that: has,
Handled object is implemented predetermined process, and remove because the not processing unit at position that this predetermined process generates on this handled object surface;
Evaluation is removed the not surface structure evaluating apparatus of the surface structure of the handled object at position by described processing unit;
Described handled object is moved into the carrying device of taking out of described each device; With
Control the control device of described processing unit, described surface structure evaluating apparatus and described carrying device,
Described control device, monitor the evaluation operation in the structure evaluating apparatus of described surface, when judging that this evaluation is operating as when good, move into and remove not position after device is removed at described not position being judged as not good handled object, and move into described surface once more and construct evaluating apparatus evaluation table surface construction, thereby control
Described predetermined process is a plasma etch process,
Removing the described not processing at position is ashing treatment.
6. treatment system according to claim 1 or 5 is characterized in that:
Estimating the surface structure evaluating apparatus of the surface structure of described handled object estimates with scatterometry.
7. processing method is characterized in that: has,
Handled object is implemented the etching step of etch processes;
With the surface structure determination step of scatterometry mensuration through the size of the surface structure of the handled object of described etching step processing; With
To compare by surperficial construction size and the predefined permissible value that described surface structure determination step is measured, according to this comparative result decision continuation or interrupt the step of described etch processes,
At least the depth direction of the surface structure of described surface structure determination step mensuration handled object and the size of horizontal direction.
8. processing method according to claim 7 is characterized in that:
Described etching step and described surface structure determination step are to carry out with handled object with the test with structure more simple than the handled object that becomes goods.
9. treatment system is characterized in that: has,
Handled object is implemented the etch processes device of etch processes;
With the surface structure determinator of scatterometry mensuration through the surperficial construction size of the handled object of etch processes; With
With the size up of described surface structure and predefined permissible value relatively, according to this comparative result decision continuation or interrupt the control device of the etch processes in the described etch processes device,
At least the depth direction of the surface structure of described surface structure determinator mensuration handled object and the size of horizontal direction.
10. treatment system according to claim 9 is characterized in that:
Described surface structure determinator is measured with the test handled object with structure more simple than the handled object that becomes goods, measures the size of described surface structure.
11. a processing method is characterized in that: have,
Measure the surface structure determination step of the surperficial construction size of the handled object before the etch processes with scatterometry;
According to the measurement result of described surperficial construction size, the treatment conditions when setting etch processes, thus the treatment conditions that make the surface of the handled object after the etch processes be configured to desired size are set step; With
After this, the etching step of etch processes handled object under the treatment conditions of described setting,
A plurality of treatment conditions when described treatment conditions are set step and set described etch processes,
Described treatment conditions are set the measurement result of step according to described surperficial construction size, and the depth direction of setting in the surface structure after disposing time makes etch processes is of a size of desired size;
According to the disposing time of described setting, the supply flow rate of setting etching gas makes the horizontal direction in the surface structure after the etch processes be of a size of desired size.
12. processing method according to claim 11 is characterized in that:
Treatment conditions when trying to achieve in advance and based on the related data of the amount of pruning of the surface of etch processes handled object structure with etch processes;
Described treatment conditions are set measurement result and the described related data of step according to described surperficial construction size, set described treatment conditions.
13. processing method according to claim 11 is characterized in that:
Described treatment conditions are set step, set described treatment conditions and make the two-dimensional directional at least of the surface structure after the described etch processes be of a size of desired size.
14. a treatment system is characterized in that: have,
Handled object is implemented the etch processes device of etch processes;
Measure the surface structure determinator of the surperficial construction size of the handled object before the etch processes with scatterometry; With
According to the measurement result of described surperficial construction size, the treatment conditions when setting etch processes make the surface of the handled object after the etch processes be configured to the control device of desired size,
A plurality of treatment conditions when described control device is set described etch processes,
Described control device is according to the measurement result of described surperficial construction size, and the depth direction of setting in the surface structure after disposing time makes etch processes is of a size of desired size;
According to the disposing time of described setting, the supply flow rate of setting etching gas makes the horizontal direction in the surface structure after the etch processes be of a size of desired size.
15. treatment system according to claim 14 is characterized in that:
Treatment conditions in the time of will be with described etch processes and be stored in the described control device based on the related data of the amount of pruning of the surface structure of the handled object of etch processes;
Described control device is set described treatment conditions according to the measurement result and the described related data of described surperficial construction size.
16. treatment system according to claim 14 is characterized in that:
Described control device is set described treatment conditions makes the two-dimensional directional at least of the surface structure after the described etch processes be of a size of desired size.
CN2008100878557A 2003-06-20 2004-06-21 Processing method and processing system Active CN101256945B (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2003177237 2003-06-20
JP2003177237 2003-06-20
JP2003-177237 2003-06-20
JP2004168649A JP4694150B2 (en) 2003-06-20 2004-06-07 Processing method and processing system
JP2004-168649 2004-06-07
JP2004168649 2004-06-07

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100598967A Division CN100409413C (en) 2003-06-20 2004-06-21 Processing method and processing system

Publications (2)

Publication Number Publication Date
CN101256945A CN101256945A (en) 2008-09-03
CN101256945B true CN101256945B (en) 2011-08-03

Family

ID=39891611

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008100878557A Active CN101256945B (en) 2003-06-20 2004-06-21 Processing method and processing system

Country Status (2)

Country Link
JP (1) JP5199406B2 (en)
CN (1) CN101256945B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7291515B2 (en) * 2019-03-27 2023-06-15 東京エレクトロン株式会社 SUBSTRATE PROCESSING SYSTEM, SUBSTRATE PROCESSING METHOD, STORAGE MEDIUM, AND CONTROL DEVICE FOR SUBSTRATE PROCESSING SYSTEM
US11876022B2 (en) 2019-12-17 2024-01-16 Tokyo Electron Limited Substrate treatment method and substrate treatment system
JP2021097218A (en) 2019-12-17 2021-06-24 東京エレクトロン株式会社 Board processing method and board processing system
JP2023132258A (en) * 2022-03-10 2023-09-22 東京エレクトロン株式会社 Embedding method and substrate processing device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002065511A2 (en) * 2001-02-14 2002-08-22 Advanced Micro Devices, Inc. Method and apparatus for controlling etch selectivity

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3679195B2 (en) * 1996-06-04 2005-08-03 松下電器産業株式会社 Etching method
JP2002260994A (en) * 2001-03-05 2002-09-13 Tokyo Electron Ltd Substrate treating device
JP3708031B2 (en) * 2001-06-29 2005-10-19 株式会社日立製作所 Plasma processing apparatus and processing method
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
JP3599330B2 (en) * 2002-01-15 2004-12-08 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
US7265382B2 (en) * 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002065511A2 (en) * 2001-02-14 2002-08-22 Advanced Micro Devices, Inc. Method and apparatus for controlling etch selectivity

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2002-260994A 2002.09.13

Also Published As

Publication number Publication date
CN101256945A (en) 2008-09-03
JP2011086965A (en) 2011-04-28
JP5199406B2 (en) 2013-05-15

Similar Documents

Publication Publication Date Title
CN100409413C (en) Processing method and processing system
US9601396B2 (en) 3D NAND staircase CD control by using interferometric endpoint detection
US8257546B2 (en) Method and system for monitoring an etch process
US6081334A (en) Endpoint detection for semiconductor processes
JP5577532B2 (en) DC / RF hybrid processing system
CN102804933B (en) Switchable neutral beam source
CN100382233C (en) Process stability monitoring using an integrated metrology tool
JPH11507298A (en) Material removal by polarized radiation and backside irradiation
US8961804B2 (en) Etch rate detection for photomask etching
US8956809B2 (en) Apparatus and methods for etching quartz substrate in photomask manufacturing applications
CN102842518B (en) Method for supervising after polycrystalline silicon dummy gate removes
CN101256945B (en) Processing method and processing system
US9059038B2 (en) System for in-situ film stack measurement during etching and etch control method
US8492174B2 (en) Etch tool process indicator method and apparatus
KR101759745B1 (en) Etch tool process indicator method and apparatus
TW202200834A (en) System and method for monitoring semiconductor processes
CN108227390B (en) Image quality detection method of photoetching machine
CN109841540A (en) New-type gas ejector, plasma-based processing system and plasma-based processing method
WO2024024919A1 (en) Substrate processing method and substrate processing system
Shabushnig et al. Applications of optical emission spectroscopy to semiconductor processing
Savage et al. Applications of Optical Emission Spectroscopy to Semiconductor Processing
Park Real-time feedback control and fault detection in deep-submicron plasma etch
JPS6151924A (en) Dry etching process

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant