CN101233221A - Copper passivating post-chemical mechanical polishing cleaning composition and method of use - Google Patents

Copper passivating post-chemical mechanical polishing cleaning composition and method of use Download PDF

Info

Publication number
CN101233221A
CN101233221A CNA2006800272936A CN200680027293A CN101233221A CN 101233221 A CN101233221 A CN 101233221A CN A2006800272936 A CNA2006800272936 A CN A2006800272936A CN 200680027293 A CN200680027293 A CN 200680027293A CN 101233221 A CN101233221 A CN 101233221A
Authority
CN
China
Prior art keywords
water
preparation
xitix
mea
tmah
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006800272936A
Other languages
Chinese (zh)
Inventor
杰弗里·巴尔内斯
伊丽莎白·瓦尔克
达里尔·W·彼得斯
凯尔·巴尔托什
埃瓦·奥尔达克
凯文·P·严代尔斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN101233221A publication Critical patent/CN101233221A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

Alkaline aqueous cleaning compositions and processes for cleaning post-chemical mechanical polishing (CMP) residue, post-etch residue and/or contaminants from a microelectronic device having said residue and contaminants thereon. The alkaline aqueous cleaning compositions include amine, passivating agent, and water. The composition achieves highly efficacious cleaning of the residue and contaminant material from the microelectronic device while simultaneously passivating the metal interconnect material.

Description

Cleaning combination and using method after the chemically machinery polished of copper passivation
Technical field
The present invention relates to be used for clean the alkaline waterborne compositions of (CMP) residue after the chemically machinery polished, post-etch residue and/or pollutent from microelectronic device, wherein said aqueous clean combination can be removed residue behind the CMP, post-etch residue and passive metal interconnection material effectively, and does not destroy the low-k dielectric material on described microelectronic device.
Background technology
Since the geometrical dimension of semiconducter device continue to be contracted to less than 0.18 μ m, more multiple point has been put into the improvement interconnection structure to minimize capacitance-resistance (RC) sluggishness.Make the sluggish minimized scheme of interconnection comprise specific conductivity that improves interconnecting metal and specific inductivity (k) value that reduces dielectric layer.For example, in high-grade device, copper has begun to replace traditional aluminium as interconnecting metal.Copper has been than the electroconductibility of aluminium better (thereby having reduced the capacitance-resistance time delay), and compares with traditional aluminum metallization and also less to experience electromigration.
In the semi-conductive manufacturing of deep-submicron, copper enchasing technology is used for forming the conduction copper cash on the low-k dielectric layer.An important step of described inlay is copper CMP (CMP), and it is used to remove at the lip-deep excessive copper of dielectric layer.
CMP technology relates to, and under controlled pressure and temperature, in the presence of the CMP slurry, the Boping substrate of semiconducter device is located and rotation near wetting polishing pad.Described slurry comprises abrasive material and the chemical additive that is suitable for concrete CMP technology and condition.After CMP technology, on wafer surface, can stay by the particle that comes from polishing slurries, join the pollutent that the byproduct of reaction of chemicals in the slurry and polishing slurries is formed.In addition, the polishing at the copper/advanced low-k materials on the silicon wafer tends to produce the rich carbon particles that deposits on the wafer surface.Before carrying out any further step of microelectronic device manufacturing process, must remove all pollutents, with the reliability of avoiding being incorporated into defective in the device and reducing device.Usually, the particle of these pollutents is less than 0.3 μ m.
The traditional fluid flow of Wet technique utilization on wafer surface removes pollutent, and thus, and the thickness that their efficient is subjected to the frictional belt of being caused by described fluid flow is limited.Less than the frictional belt for example the particle below the 0.3 μ m avoided described fluidic physical drag force, therefore and still stay on the described wafer surface.Because the other sticking power that chemical bond and hydrogen bond cause makes the cleansing power of wet-cleaned technology further complicated, and reduced the efficient that these technologies are removed the small size pollutents significantly.
Generally, what described Wet technique used is aqueous cleaning solutions, for example based on the alkaline solution of ammonium hydroxide, with the scrubbing of certain form, injection or ultrasonic combination.Aqueous cleaning solutions by impact described wafer surface or react with pollutent after remove this pollutent that gets loose from wafer again mode remove described pollutent.Disadvantageously, some pollutent may be chemically inert to the chemical ingredients in the aqueous solution.For example, utilize the chemical ingredients in described aqueous cleaning solutions may be not easy to remove attached to rich carbon particles on the described wafer or chemical reaction by product.
Mega sonic wave can be united use with these traditional Wet techniques, thereby reduces boundary layer thickness significantly.Yet still be not enough on described wafer surface, remove effectively the particle of the following size of 0.3 μ m.
Give to clean behind the CMP that wherein only uses water base chemical substance such as the low-k dielectric film of carbon doped oxide or the application of organic membrane in dual damascene is integrated and increased further problem.These films and for example the CMP stop layer of silicon carbide, silicon nitride and silicon oxynitride (stop layer) be very hydrophobic, therefore and be difficult to clean with water base cleaning solution.In addition, because opposite on the current potential of the neutrality of the most of types carbon atom to the acid slurry and described copper surface, so rich carbon particles produces other surface imperfection probably attached on the described copper surface.
Another common in microelectronic device manufacturing technology that can produce residue relates to the gas phase plasma etching, to the layer of its bottom, the layer of described bottom can be by hard mask layer, interlayer dielectric layer (ILD) and etch stop layer formation in order to the design transfer of the photo-resist coating that will develop for it.Residue behind the gas phase plasma etching can comprise and is present on the described substrate and the chemical element in plasma gas, this residue is deposited on the structure of last part technology flow process (BEOL) usually, if and do not removed, may disturb the silication or the contact of carrying out subsequently to form.Conventional cleaning chemicals can destroy ILD usually, absorb in the hole of ILD, thereby can increase specific inductivity and/or corroding metal structure.
United States Patent (USP) 6,558,879 under the names such as Peters relates to and removes and cleaning combination, and it is used in the manufacturing of semi-conductor and microcircuit from metal or the dielectric surface removal of residue that gets on.Described composition comprises water, organic cosolvent and corrosion inhibitor.Disadvantageously, its preferred solvent, N, the acceptable degree of N '-N,N-DIMETHYLACETAMIDE (DMAC) in semiconductor industry is relatively poor, because it extracts carbon from ultralow-k dielectric materials, has increased the specific inductivity of described dielectric materials.
In the manufacturing of microelectronic device, another more common problem is to be retained in the on-chip film sample of described semiconducter device residue after CMP handles.This residue can comprise for example passivator compound of benzotriazole (BTA).If do not remove, these residues may cause damage to copper cash, perhaps cause the serious roughening of copper metallization wiring, and cause the tack of removing the layer that applies after the technology behind CMP not good.The serious roughening of copper metallization wiring is debatable especially, because excessively the copper of roughening may cause the electrical property of semiconducter device product not good.
Improved waterborne compositions significantly advantageously is provided in the art, is used for behind the CMP of microelectronic device and/or cleans after the etching, be used for removing CMP residue, post-etch residue and/or pollutent but do not produce defective and scratch from described device surface.Preferably, described waterborne compositions can be realized fully removing residue and pollutent from the described device surface, and the passivation interconnection material of the exposure of copper for example, but does not destroy the low-k dielectric material.
Summary of the invention
The present invention relates generally to alkaline waterborne compositions and method, be used for having from it on the microelectronic device of residue behind the CMP, post-etch residue and/or pollutent and clean described residue and/or pollutent, passivation simultaneously is in the lip-deep interconnect materials of described microelectronic device.
Therefore, in a preferred embodiment, preparation of the present invention comprises the water of at least a amine and at least a passivator and surplus.In an optional embodiment, preparation of the present invention comprises the water of at least a amine, at least a passivator, at least a reductive agent and surplus.In another optional embodiment, preparation of the present invention comprises at least a amine, at least a passivator, at least a tensio-active agent, optional at least a reductive agent and the water of surplus.In another optional embodiment, preparation of the present invention comprises at least a amine, at least a passivator, at least a quaternary base, optional at least a reductive agent and the water of surplus.In further alternative embodiment, preparation of the present invention comprises at least a amine, at least a passivator, at least a quaternary base, at least a reductive agent, optional at least a tensio-active agent and the water of surplus.In an optional embodiment again, preparation of the present invention comprises at least a amine, at least a passivator, at least a quaternary base, optional at least a complexing agent and the water of surplus.In another embodiment, preparation of the present invention comprises at least a amine, at least a passivator, optional at least a tensio-active agent, optional at least a quaternary base, optional at least a complexing agent, optional at least a reductive agent and the water of surplus.
In an especially preferred embodiment: amine is (2 to 25) with respect to the proportional range of passivator: (0.05 to 15); Amine is (2 to 25) with respect to the proportional range of complexing agent (when existing): (0.001 to 25); Amine is (2 to 25) with respect to the proportional range of reductive agent (when existing): (0.001 to 25); Amine is (2 to 25) with respect to the proportional range of quaternary base (when existing): (0.001 to 10); Amine is (2 to 25) with respect to the proportional range of tensio-active agent (when existing): (0.001 to 1); With surplus be water.
One aspect of the present invention relates to a kind of alkaline aqueous clean combination, it comprises at least a amine, at least a passivator, optional at least a quaternary base, optional at least a reductive agent, He Shui, and wherein said alkaline aqueous clean combination is suitable for having from it described residue of cleaning and pollutent on residue and the pollutent microelectronic device.Randomly, described alkaline aqueous clean combination can further comprise at least a tensio-active agent.Preferably, described alkaline aqueous clean combination comprises at least a reductive agent, and described reductive agent comprises xitix.
In another aspect, the present invention relates to a kind of test kit, it comprises one or more reagent that are used to form alkaline aqueous clean combination in one or more containers, described one or more reagent are selected from least a amine, at least a passivator, optional at least a quaternary base, optional at least a reductive agent and optional water, and wherein said test kit is suitable for forming alkaline aqueous clean combination, this alkalescence aqueous clean combination is suitable for cleaning material from the microelectronic device, and wherein said material is selected from residue behind the CMP, residue and pollutent thereof behind the gas phase plasma etching.Randomly, described alkaline aqueous clean combination can further comprise at least a tensio-active agent.Preferably, described alkaline aqueous clean combination comprises at least a reductive agent, and described reductive agent comprises xitix.
In another aspect, the present invention relates to have the method for cleaning described residue and pollutent on the microelectronic device of residue and pollutent from it, described method comprises makes described microelectronic device contact time enough with alkaline aqueous clean combination, thereby clean described residue and pollutent from described microelectronic device at least in part, wherein said alkaline aqueous clean combination comprises at least a amine, at least a passivator, optional at least a quaternary base, optional at least a reductive agent, He Shui.Randomly, described alkaline aqueous clean combination can further comprise at least a tensio-active agent.Preferably, described alkaline aqueous clean combination comprises at least a reductive agent and described reductive agent comprises xitix.
In another aspect, the present invention relates to the manufacture method of microelectronic device, described method comprises makes described microelectronic device contact time enough with alkaline aqueous clean combination, clean described residue and pollutent on the microelectronic device of residue and pollutent thereby have from it at least in part, wherein said alkaline aqueous clean combination comprises at least a amine, at least a passivator, optional at least a reductive agent, optional at least a tensio-active agent, optional at least a quaternary base, He Shui.Preferably, described alkaline aqueous clean combination comprises at least a reductive agent and described reductive agent comprises xitix.
The product that relates in one aspect to improved microelectronic device again and introduced this device of the present invention, this microelectronic device and product are to adopt to comprise the inventive method, employing method and/or the composition described herein that cleans described residue and pollutent on the microelectronic device that has residue and pollutent from it, and randomly, described microelectronic device is incorporated in the product and makes.
From subsequently disclosure and appended claim with more abundant understanding others of the present invention, feature and advantage.
Description of drawings
Figure 1 shows that proposed remove cutting the end and removing mechanism of residue and pollutent behind the CMP from the microelectronic device surface.
Figure 2 shows that the thickness of the copper wafer that in preparation AA-AF, covers after the submergence and the funtcional relationship of time.
Fig. 3 A is contrast wafer electron photomicrograph before the submergence in preparation AC.
Fig. 3 B is contrast wafer electron photomicrograph after the submergence in preparation AC of Fig. 3 A.
Fig. 4 A is wafer among preparation ACs electron photomicrograph submergence before of through hole restraining mass after penetrating.
Fig. 4 B is that (cleaved) through hole restraining mass that splits penetrates back wafer electron photomicrograph before the submergence in preparation AC.
Fig. 5 A is the wafer of through hole restraining mass after penetrating electron photomicrograph after the submergence in preparation AC.
Fig. 5 B is the wafer of through hole restraining mass after penetrating electron photomicrograph after the submergence in preparation AC.
Figure 6 shows that DI water is at various lip-deep surface energies of treated and undressed copper and contact angle.
Figure 7 shows that the copper electrode quality of the QCM (Quartz Crystal Microbalance) (QCM) in the DI water that is immersed in nature pH value and the funtcional relationship of time.
Figure 8 shows that and be immersed in copper electrode quality that the pH value is the QCM in 5.8 the 0.058wt.%TAZ solution and the funtcional relationship of time.
Figure 9 shows that for being immersed in the pH value be the open circuit potential (OCP) of the copper electrode in 5.8 the 0.058wt.%TAZ solution and the funtcional relationship of time.
Figure 10 shows that being immersed in the pH value transfers to the copper electrode quality of the QCM in 11.5 the DI water and the funtcional relationship of time.
Figure 11 shows that and be immersed in OCP that pH is the copper electrode in 11.5 the 0.058wt.%TAZ solution and the funtcional relationship of time.
Figure 12 shows that for being immersed in OCP that pH is the copper electrode handled through BTA in 11.5 the 0.1wt.%TAZ solution and the funtcional relationship of time.
Figure 13 shows that being immersed in pH for the copper electrode of handling through BTA is for 11.5 the 0.1wt.%TAZ solution, the copper electrode quality of QCM and the funtcional relationship of time.
Figure 14 shows that according to the number of defects on copper, TEOS, coral and carbonate after cleaning behind the CMP of two kinds of different purging methods.
Figure 15 shows that for the number of defects of copper wafer after following process and the funtcional relationship of time that cover, described process is the rinsing-polishing during the CMP of 10: 1 diluents (using DI water) that use preparation BF polishing (buff) step, then be to clean behind the CMP of 30: 1 diluents in brush box with concentrate composition, described concentrate composition comprises the water of 4.7%TMAH, 20.6%TEA, 3.3% gallic acid, 11.2% xitix and surplus.
Figure 16 A is the Photomicrograph of the Sematech 854 contrast wafers that pollute at the CMP at bond pad (bond pad) edge, magnification is 30,000 *.
Figure 16 B is the Photomicrograph of the Sematech 854 contrast wafers that pollute at the CMP at the bond pad place of random choose, magnification is 6,000 *.
Figure 17 A is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP in the bond pad center pollutes, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BH carried out 60 seconds under 22 ℃.
Figure 17 B is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of bond pad edge, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BH carried out 60 seconds under 22 ℃.
Figure 17 C is at the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP on the bond pad of random choose pollutes, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BH carried out 60 seconds under 22 ℃.
Figure 17 D is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of 0.18 μ m line pattern location, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BH carried out 60 seconds under 22 ℃.
Figure 18 A is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP in the bond pad center pollutes, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BI carried out 60 seconds under 22 ℃.
Figure 18 B is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of bond pad edge, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BI carried out 60 seconds under 22 ℃.
Figure 18 C is at the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP on the bond pad of random choose pollutes, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BI carried out 60 seconds under 22 ℃.
Figure 18 D is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of 0.18 μ m line pattern location, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BI carried out 60 seconds under 22 ℃.
Figure 19 A is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP in the bond pad center pollutes, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BJ carried out 60 seconds under 22 ℃.
Figure 19 B is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of bond pad edge, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BJ carried out 60 seconds under 22 ℃.
Figure 19 C is at the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP on the bond pad of random choose pollutes, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BJ carried out 60 seconds under 22 ℃.
Figure 19 D is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of 0.18 μ m line pattern location, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BJ carried out 60 seconds under 22 ℃.
Figure 20 A is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP in the bond pad center pollutes, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BK carried out 60 seconds under 22 ℃.
Figure 20 B is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of bond pad edge, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BK carried out 60 seconds under 22 ℃.
Figure 20 C is at the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP on the bond pad of random choose pollutes, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BK carried out 60 seconds under 22 ℃.
Figure 20 D is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of 0.18 μ m line pattern location, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BK carried out 60 seconds under 22 ℃.
Figure 21 A is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP in the bond pad center pollutes, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BL carried out 60 seconds under 22 ℃.
Figure 21 B is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of bond pad edge, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BL carried out 60 seconds under 22 ℃.
Figure 21 C is at the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP on the bond pad of random choose pollutes, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BL carried out 60 seconds under 22 ℃.
Figure 21 D is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of 0.18 μ m line pattern location, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BL carried out 60 seconds under 22 ℃.
Figure 22 A is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP in the bond pad center pollutes, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BM carried out 60 seconds under 22 ℃.
Figure 22 B is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of bond pad edge, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BM carried out 60 seconds under 22 ℃.
Figure 22 C is at the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP on the bond pad of random choose pollutes, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BM carried out 60 seconds under 22 ℃.
Figure 22 D is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of 0.18 μ m line pattern location, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BM carried out 60 seconds under 22 ℃.
Figure 23 A is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP in the bond pad center pollutes, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BF carried out 60 seconds under 22 ℃.
Figure 23 B is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of bond pad edge, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BF carried out 60 seconds under 22 ℃.
Figure 23 C is at the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP on the bond pad of random choose pollutes, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BF carried out 60 seconds under 22 ℃.
Figure 23 D is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of 0.18 μ m line pattern location, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BF carried out 60 seconds under 22 ℃.
Figure 24 A is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP in the bond pad center pollutes, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BN carried out 60 seconds under 22 ℃.
Figure 24 B is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of bond pad edge, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BN carried out 60 seconds under 22 ℃.
Figure 24 C is at the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP on the bond pad of random choose pollutes, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BN carried out 60 seconds under 22 ℃.
Figure 24 D is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of 0.18 μ m line pattern location, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BN carried out 60 seconds under 22 ℃.
Figure 25 A is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP in the bond pad center pollutes, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BO carried out 60 seconds under 22 ℃.
Figure 25 B is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of bond pad edge, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BO carried out 60 seconds under 22 ℃.
Figure 25 C is at the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP on the bond pad of random choose pollutes, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BO carried out 60 seconds under 22 ℃.
Figure 25 D is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of 0.18 μ m line pattern location, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BO carried out 60 seconds under 22 ℃.
Figure 26 A is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP in the bond pad center pollutes, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BP carried out 60 seconds under 22 ℃.
Figure 26 B is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of bond pad edge, magnification is 30,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BP carried out 60 seconds under 22 ℃.
Figure 26 C is at the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that the CMP on the bond pad of random choose pollutes, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BP carried out 60 seconds under 22 ℃.
Figure 26 D is the Photomicrograph of Sematech 854 contrast wafers after rotation/spray is handled that pollutes at the CMP of 0.18 μ m line pattern location, magnification is 6,000 *, described processing is that 60: 1 diluents (using DI water) with preparation BP carried out 60 seconds under 22 ℃.
Figure 27 A is the optical image of the contact angle of preparation BR on dielectric surface of dilution.
Figure 27 B is the optical image of the contact angle of preparation BS on dielectric surface of dilution.
Figure 28 is Sematech 854 wafers before with preparation EC clean wafers of the present invention and atomic power micro-(AFM) image afterwards.
Figure 29 is Sematech 854 wafers before with preparation EF clean wafers of the present invention and afm image afterwards.
Shown in Figure 30 for using preparation of the present invention, nitrogen is to the ratio of copper and the relative thickness of gained copper passive film thus.
Detailed Description Of The Invention and embodiment
The present invention relates to be used for to have from it the alkaline waterborne compositions that cleans these materials on the microelectronic device of residue behind the CMP, post-etch residue and/or pollutent, described composition has high selectivity to described residues/contaminants, passivation simultaneously on described microelectronic device for example copper and the interconnect materials of cobalt.
For ease of reference, " microelectronic device " is corresponding to semiconductor chip, flat-panel monitor and the MEMS (micro electro mechanical system) (MEMS) made for use in microelectronics, unicircuit or computer chip are used.It should be understood that term " microelectronic device " does not have the limited significance of any way, and comprise any substrate that becomes microelectronic device or micromodule the most at last.
As used in this article, " residue behind the CMP " is corresponding to from the building material particle of the particle of polishing slurries, rich carbon particles, polishing pad particle, brush blanking particle (brush deloading particles), equipment, copper, copper oxide and as any other material of CMP process byproducts.
As used in this article, " pollutent " corresponding to the chemical substance that exists in the CMP slurry, the byproduct of reaction of polishing slurries, and as any other material of CMP process byproducts.
As defined herein, " low-k dielectric material " corresponding to any material that is used as dielectric materials in the stratiform microelectronic device, wherein said material has the specific inductivity less than about 3.5.Preferably, described low-k dielectric material comprises low polar material, for example siliceous organic polymer, siliceous mixing organic, organic silicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon-dioxide and carbon doped oxide (CDO) glass.It should be understood that described low-k dielectric material can have different density and different porositys.
As defined herein, " post-etch residue " is corresponding to residual material after the gas phase plasma etch process of for example BEOL dual damascene process.Described post-etch residue can be organic, organometallic, organosilyl or inorganic in itself, for example is material, carbon back organic materials and include but not limited to oxygen and the etching gas residue of fluorine.
As used in this article, " pact " mean corresponding to described value ± 5%.
As used in this article, have from it clean described residue and pollutent on the microelectronic device of residue and pollutent " suitability " corresponding to from described microelectronic device, removing described residues/contaminants at least in part.Preferably, adopt composition of the present invention to remove at least 85% of described residues/contaminants from described microelectronic device, more preferably at least 90% even more preferably at least 95% and most preferably remove at least 99% of described residues/contaminants.
As defined herein, " complexing agent " comprises that those skilled in the art is interpreted as those compounds of complexing agent, sequestrant and/or sequestering agent (sequestering agent).Adopt composition of the present invention, complexing agent will retrain them with atoms metal to be removed and/or metal ion generation Chemical bond or in the mode of physics.
Importantly, waterborne compositions of the present invention must have the good metal consistency, for example, and the low etch-rate on interconnecting metal and/or interconnection line metal silicide materials.Relevant metal includes but not limited to copper, tungsten, cobalt, aluminium, tantalum, titanium and ruthenium.
As hereinafter in greater detail, composition of the present invention can be embodied in multiple concrete preparation.
For this all based compositions, it wherein is the concrete component that said composition is discussed according to the weight percentage ranges that comprises subzero limit, will be appreciated that, in the multiple specific embodiments of described composition, this component can exist or not exist, and under the situation that this component exists, based on the gross weight of the composition that has wherein used this component, they can exist under the concentration that is low to moderate 0.001 weight percent.
Post-etch residue is removed composition
In one aspect, the present invention relates to can be used for removing from microelectronic device the water-based post-etch residue removal composition of post-etch residue, described microelectronic device has the metal and the metal alloy compositions of exposure, for example copper interconnecting line.Composition according to an embodiment comprises based on described composition total weight meter by at least a amine of following scope existence and the water of at least a passivator and surplus.
Component Weight %
Amine About 0.001% to about 25%
Passivator About 0.001% to about 5%
Water Surplus
In another embodiment, described water-based post-etch residue is removed composition and is comprised based at least a amine that exists by following scope of described composition total weight meter, at least a passivator, at least a quaternary base, optional at least a complexing agent and the water of surplus.
Component Weight %
Quaternary base About 0.001% to about 20%
Amine About 0.001% to about 25%
Passivator About 0.001% to about 5%
Complexing agent
0 to about 10%
Water Surplus
When described composition comprises complexing agent, the scope of complexing dosage be based on the composition total weight meter about 0.001% to 10wt.%.
In broad practice of the present invention, described water-based post-etch residue is removed composition can comprise amine, passivator, optional quaternary base, optional complexing agent, He Shui, perhaps can be made up of above-mentioned substance, or be made up of above-mentioned substance basically.Perhaps, in broad practice of the present invention, described water-based post-etch residue is removed composition can comprise quaternary base, amine, passivator, optional complexing agent, and water, perhaps can be made up of above-mentioned substance, or be made up of above-mentioned substance basically.Described water is preferably deionized.
Preferably, based on the composition total weight meter, described component is removed at spissated water-based post-etch residue and is existed scope as follows in the composition: about 0.01 to about 10% quaternary base (when existing), about 1% to about 15% amine, about 0.001% to about 1% passivator, about 0.01% to about 5% complexing agent (when existing) and the DI water of surplus.
Effective application of composition of the present invention can include but not limited to clean after the removal, surface treatment, plating of post-etch residue and CMP after the removal of residue.
Importantly, the dielectric materials on described microelectronic device comprises for example low-k dielectric material and for example exposing metal and the metal alloy compositions of copper, cobalt, tungsten, aluminium etc. of OSG, can not be subjected to the destruction that the water-based post-etch residue is removed composition.Preferably, the etch-rate scope of copper product is about 0.5  min -1To about 10  min -1, more preferably about 0.5  min -1To about 5  min -1
Water-based post-etch residue of the present invention is removed composition and is not preferably contained for example oxygen scavenger of gallic acid and xitix.As time goes on oxidation can take place in described oxygen scavenger in the environment that is subject to the redox reaction influence, if it is got rid of outside the removal composition, then described preparation can have the working life of prolongation, and it is lower to remove changes of properties.The passivator institute passivation in the composition is removed on described copper surface at the water-based post-etch residue, thereby the roughening of copper is minimized, and has reduced the etch-rate of copper and reduced the corrosion of copper subsequently.Second advantage that water-based post-etch residue of the present invention is removed composition has been to eliminate the operation of using BTA after the independent removal, and the copper passivation layer that is easier to remove than BTA layer, thereby reduced owner's cost.
In broad practice of the present invention, the pH scope that the water-based post-etch residue is removed composition is greater than about 11, and preferred scope is about 11 to about 15.
Described amine compound can be primary amine or secondary amine, and is selected from monoethanolamine (MEA), N-Mono Methyl Ethanol Amine (NMEA), N-aminoethyl ethanolamine, N-methylamino ethanol, amino ethoxy ethanol, diethanolamine, 1-amino-2-propyl alcohol, monoisopropanolamine, isobutyl hydramine, C 2-C 8Alkanolamine, triethylenediamine and combination thereof.Preferably, described amine compound comprises monoethanolamine.
As used in this article, term " passivator " means and can react with passivation with the copper film of fresh copper surface and/or oxidation or protect any material of described copper containing layer.Preferably, the passivator of removing in the composition at water-based post-etch residue of the present invention can comprise following component, and it for example comprises: triazole, and for example 1,2,4-triazole (TAZ), or with for example C 1-C 8Alkyl, amino, mercaptan, sulfydryl, imino-, the triazole that the substituting group of carboxyl and nitro replaces, benzotriazole for example, tolyl-triazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5-sulfydryl-1,2, the 4-triazole, 1-amino-1,2, the 4-triazole, hydroxybenzotriazole, 2-(5-amino-amyl group)-benzotriazole, 1-amino-1,2, the 3-triazole, 1-amino-5-methyl isophthalic acid, 2, the 3-triazole, 3-amino-1,2, the 4-triazole, 3-sulfydryl-1,2, the 4-triazole, 3-sec.-propyl-1,2, the 4-triazole, 5-phenyl thioether-benzotriazole, halo benzotriazole (halogen=F, Cl, Br or I), aphthotriazoles etc., and thiazole, tetrazolium, imidazoles, phosphoric acid ester, mercaptan and azine, 2-mercaptobenzimidazole (MBI) for example, 2-mercaptobenzothiazole, 4-methyl-2-phenylimidazole, 2-mercaptothiazoline, the 5-amino tetrazole, 5-amino-1,3,4-thiadiazoles-2-mercaptan, 2,4-diamino-6-methyl isophthalic acid, 3, the 5-triazine, thiazole, triazine, the methyl tetrazolium, 1,3-dimethyl-2-imidazolone, 1, the 5-pentylenetetrazole, 1-phenyl-5-mercapto-tetrazole, the diaminomethyl triazine, imidazolidinethione, mercaptobenzimidazole, 4-methyl-4H-1,2,4-triazole-3-mercaptan, 5-amino-1,3,4-thiadiazoles-2-mercaptan, benzothiazole, Tritolyl Phosphate, imidazoles, benzisoxa diazole (indiazole) etc.Remove composition for described water-based post-etch residue, further the passivator that can consider comprises for example benzoic carboxylic acid and ammonium benzoate.Preferably, described passivator comprises TAZ, MBI and combination thereof.
The optional quaternary base that can consider herein includes but not limited to (NR 1R 2R 3R 4) OH, wherein R 1, R 2, R 3And R 4Each other can be identical or different, and be selected from hydrogen, straight or branched C independently of one another 1-C 10Alkyl and replacement and unsubstituted aryl.The quaternary base that can consider comprises choline, TBAH, tetraethyl ammonium hydroxide, Tetramethylammonium hydroxide, TPAOH and combination thereof.Preferably, described quaternary base comprises Tetramethylammonium hydroxide (TMAH).
The complexing agent that can consider must combine with metal and/or metal ion under high pH value of solution value herein, thereby helps described material is removed from the dielectric surface that exposes.The complexing agent that can consider comprises acetic acid herein, acetoxime, L-Ala, arginine, l-asparagine, aspartic acid, phenylformic acid, trimethyl-glycine, dimethylglyoxime, fumaric acid, L-glutamic acid, glutamine, pentanedioic acid, glycerine, glycine, oxyacetic acid, oxoethanoic acid, histidine, iminodiethanoic acid, m-phthalic acid, methylene-succinic acid, lactic acid, leucine, Methionin, toxilic acid, oxysuccinic acid, propanedioic acid, oxalic acid, 2, the 4-diacetylmethane, toluylic acid, phenylalanine, phthalic acid, proline(Pro), pyromellitic acid, quinic acid, Serine, Sorbitol Powder, succsinic acid, terephthalic acid, trimellitic acid, trimesic acid, tyrosine, Xie Ansuan, Xylitol, aforementioned amino acid whose derivative and their combination, condition are that described complexing agent does not comprise citric acid.Other complexing agent that can consider herein comprises polyvinyl ether (PEGs), and glycol ethers is diethylene glycol monomethyl ether (methyl carbitol) for example, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, Triethylene glycol ethyl ether, ethylene glycol ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (diethylene glycol monobutyl ether), triethylene glycol butyl ether, ethylene glycol ether, the Diethylene Glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol monomethyl ether, dipropylene glycol methyl ether, tripropylene glycol methyl ether (TPGME), the propylene glycol positive propyl ether, dipropylene glycol positive propyl ether (DPGPE), the tripropylene glycol positive propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether (DPGBE), the tripropylene glycol n-butyl ether, propylene glycol phenylate (phenoxy group-2-propyl alcohol) and their combination.Preferably, described complexing agent comprises lactic acid.
Remove composition after the water-based etching of the present invention and in its preparation, do not need tensio-active agent, yet, use therein in the middle of the useful application-specific of this reagent possibility, and be not precluded within removal of the present invention with using tensio-active agent in the solution.For example, tridecyl Phenylsulfonic acid (TSA-99) can be joined after the water-based etching of the present invention and remove in the composition.
In addition, cleaning combination can also comprise oxygenant, cosolvent, etching reagent, defoamer, other pH regulator agent etc. behind the described water-based CMP.Can add cosolvent to help the removal of organic resist.
In multiple embodiments, described water-based post-etch residue is removed composition be mixed with following preparation AA-AP, some of them are preferred, have some then to be relatively purpose preparation, wherein all per-cent all by weight, based on the gross weight of described preparation:
Preparation AAAmmonium benzoate 0.10%, lactic acid (85% solution) 2%, MEA 9%, TMAH5%, DI water 83.90%
Preparation ABBTA 0.10%, lactic acid (85% solution) 2%, MEA 9%, TMAH 5%, DI water 83.90%
Preparation ACMBI 0.10%, lactic acid (85% solution) 2%, MEA 9%, TMAH 5%, DI water 83.90%
Preparation ADTAZ 0.10%, lactic acid (85% solution) 2%, MEA 9%, TMAH 5%, DI water 68.90%
Preparation AEAmmonium benzoate 0.10%, TAZ 0.10%, lactic acid (85% solution) 2%, MEA9%, TMAH 5%, DI water 83.80%
Preparation AFAmmonium benzoate 0.10%, MBI 0.10%,, lactic acid (85% solution) 2%, MEA 9%, TMAH 5%, DI water 83.80%
Preparation AGTAZ 0.5%, MEA 20%, TMAH 5%, diethylene glycol monobutyl ether 10%, DI water 64.50%
Preparation AHTAZ 0.5%, MEA 10%, TMAH 5%, methyl carbitol 20%, DI water 64.50%
Preparation AI Ammonium benzoate 1%, MEA 9%, TMAH 5%, lactic acid (85% solution) 2%, DI water 83%
Preparation AJMBI 0.1%, MEA 20%, TMAH 5%, lactic acid (85% solution) 2%, DI water 72.9%
Preparation AKMBI 0.1%, MEA 20%, DMSO 20%, TMAH 5%, lactic acid (85% solution) 2%, DI water 52.9%
Preparation ALMBI 0.1%, MEA 20%, TMAH 5%, TSA-99 0.5%, lactic acid (85% solution) 2%, DI water 72.4%
Preparation AMMBI 0.1%, MEA 20%, TMAH 5%, Diethylene Glycol hexyl ether 0.5%, lactic acid (85% solution) 2%, DI water 72.4%
Preparation ANMBI 0.1%, MEA 20%, DMSO 20%, TMAH 5%, TSA-990.5%, lactic acid (85% solution) 2%, DI water 52.4%
Preparation AOMBI 0.1%, MEA 20%, TMAH 5%, Diethylene Glycol hexyl ether 0.5%, lactic acid (85% solution) 2%, DMSO 20%, DI water 52.4%
Preparation APMBI 0.10%, lactic acid 1.86%, MEA 9%, TMAH 5%, DI water 84.04%
In preferred embodiments, water-based post-etch residue removal composition of the present invention comprises monoethanolamine, TMAH, lactic acid and MBI.
In another embodiment, described water-based post-etch residue is removed composition and is comprised at least a amine, at least a passivator, post-etch residue, optional at least a quaternary base, optional at least a complexing agent, optional at least a tensio-active agent and the water of surplus.Importantly, described post-etch residue can be dissolved and/or be suspended in the removal composition of the present invention.
Importantly, water-based post-etch residue of the present invention is removed composition and is had significantly low surface tension with respect to deionized water.This has strengthened waterborne compositions of the present invention cleans described post-etch residue and pollutent from described device surface ability.
In one embodiment of the invention, provide a kind of and can dilutedly remove composition to be used as the spissated water-based post-etch residue of removing solution.Concentrate composition or " enriched material " advantageously can allow the user in use this enriched material to be diluted to required intensity and basicity.In addition, the enriched material of described product has long preservation period, and is easy to transport and deposit.The dilution range of described concentrated cleaning combination can wherein be diluted described cleaning combination or just dilute before the apparatus of packing into for example solvent of deionized water from about 1: 1 to about 200: 1 in apparatus.Preferably, the dilution range of described dense cleaning combination is about 5: 1 to about 50: 1.
The removing residues composition key character is behind the water-based CMP of the present invention, and the amount of described non-aqueous composition (composition beyond dewatering) in said composition seldom preferably is lower than about 30 weight %.This has economic advantages, because can prepare effective removal composition more economically, this point outbalance is very big because post-etch residue is removed the usage quantity of composition.In addition, because described removal composition is based on water, therefore removal composition of the present invention is easier to handle.
By adding each composition simply and being mixed to uniform state, can prepare water-based post-etch residue of the present invention at an easy rate and remove composition.In addition, can be at an easy rate with described water-based post-etch residue remove composition be formulated as single packaged preparation or be formulated as in using or before carry out blended many parts preparation, for example, can be in the storage tank of apparatus or apparatus upstream the independent each several part of described many parts preparation be mixed.In broad practice of the present invention, the concentration of described each composition can have very big variation, remove the specific factor of composition for described water-based post-etch residue, promptly rarer or denseer, and it should be understood that, water-based post-etch residue of the present invention is removed composition can be under different situations and optionally comprise arbitrary combination with consistent composition disclosed herein, perhaps by above-mentioned constituting, or basically by above-mentioned constituting.
Therefore, another aspect of the present invention relates to test kit, and it comprises two or more components that are suitable for forming the present composition in one or more containers.Preferably, this test kit comprises at least a amine and at least a passivator in one or more containers, is used for when processing or use and the water combination.In an optional embodiment, described test kit comprises at least a amine, at least a passivator, optional at least a complexing agent and optional at least a quaternary base in one or more containers, is used in processing or makes up with water when using.It will be understood by those of skill in the art that, can consider other combination herein.
Except the aqueous solution, it is also conceivable that herein and described water-based post-etch residue removed composition is mixed with foam, mist agent, (that is, wherein said solvent is CO for subcritical or supercutical fluid 2Deng, rather than water).
As be applied in the operation that microelectronics makes like that, water-based post-etch residue of the present invention is removed composition and be can be used for cleaning post-etch residue from described microelectronic device surface, while passive metal interconnection material.Importantly, removal composition of the present invention can not destroy the low-k dielectric material on described device surface, and is preferably removing at least 85%, more preferably at least 90% even more preferably at least 95% and most preferably at least 99% of the residue that exists before the removing residues on described device.
After etching, remove in the application, in any suitable manner described removal composition is applied on the device to be cleaned, for example, by waiting to be cleaned this removal composition of spray on the surface of device, by (in the described removal composition of certain volume) dipping device to be cleaned, by making device to be cleaned and for example filling up with the saturated another kind of material of described removal composition or fiber adsorbing substance applicator element contacts, perhaps any other proper method that contacts that makes described removal composition and device to be cleaned be intended to remove by employing, mode or technology.In addition, can consider to adopt batch or single processing of wafers herein.
When on composition of the present invention being used for have from it the microelectronic device of post-etch residue, cleaning described post-etch residue, preferably make to about 50 ℃ temperature range described water-based post-etch residue remove about 30 seconds of composition and described device contacts to about 20 minutes time, preferably about 2 minutes at about 20 ℃.Such duration of contact and temperature are exemplary, and in broad practice of the present invention, and can adopt for clean described post-etch residue/pollutent at least in part from described device for is effectively any other suitable time and temperature condition." at least in part clean " is corresponding to can remove at least 85%, more preferably at least 90% even more preferably at least 95% and most preferably at least 99% of the residue that exists before the removing residues on described device.
As being applied in the microelectronics manufacturing operation, water-based post-etch residue of the present invention is removed composition and be can be used for cleaning residue behind the CMP, the described interconnect materials of passivation simultaneously from described microelectronic device upper surface.Importantly, cleaning combination of the present invention can not destroy the low-k dielectric material on described device surface, and preferably can remove at least 85%, more preferably at least 90% even more preferably at least 95% and most preferably at least 99% of the residue that exists before the removing residues on described device.
During the cleaning of residue and pollutent is used behind optional CMP, can plant conventional implements and use described water-based post-etch residue to remove composition with many, described implement comprises the Verteq monocrystalline million (single wafer megasonic) Goldfinger, OnTraksystems DDS (two-sided washer), Laurell churning apparatus, SEZ monocrystalline spray rinsing (singlewafer spray rinse), Applied Materials Mirra-Mesa TM/ Reflexion TM/ Reflexion LK TMWash platform system (Megasonic batch wet benchsystems) with million batches of formulas.
When after composition of the present invention is used for having CMP from it, cleaning behind the CMP residue and pollutent on the microelectronic device of residue and pollutent, usually make to about 50 ℃ temperature range described water-based post-etch residue remove about 5 seconds of composition and this device contacts to about 10 minutes time, preferably about 15 seconds to 5 minutes at about 20 ℃.Such duration of contact and temperature are exemplary, and in broad practice of the present invention, and can adopt for residues/contaminants clean CMP at least in part from described device after is effectively any other suitable time and temperature condition.
After reaching required cleaning performance, can easily described water-based post-etch residue be removed composition and get rid of, as may being desired and effective on the given end-use of using at composition of the present invention from its previous device that applies.Preferably, described rinsing solution comprises deionized water.After rinsing is handled, can adopt nitrogen or Rotary drying method with this device drying.
Another aspect of the present invention relates to improved microelectronic device made according to the method for the present invention and relates to the product that comprises this microelectronic device.
A further aspect of the present invention relates to the manufacture method of the goods that comprise microelectronic device, described method comprises that making described microelectronic device and water-based post-etch residue remove composition contacts time enough, to clean post-etch residue on the microelectronic device that has described residue and pollutent from it, and described microelectronic device is incorporated among the described goods, wherein said water-based post-etch residue is removed composition and is comprised at least a amine, at least a passivator, optional at least a quaternary base, optional at least a complexing agent, and water.
A further aspect of the present invention relates to the manufacture method of the goods that comprise microelectronic device, described method comprises that making described microelectronic device and water-based post-etch residue remove composition contacts time enough, thereby have from it on the microelectronic device of described residue and clean post-etch residue, and described microelectronic device is incorporated among the described goods, wherein said water-based post-etch residue is removed composition and is comprised at least a amine, at least a passivator, optional at least a complexing agent, optional at least a quaternary base, and water.
Cleaning combination behind the CMP
On the other hand, the present invention relates to be used for to have from it cleaning combination behind the water-based CMP that cleans described residue and pollutent on the microelectronic device of residue and pollutent behind the CMP, described composition comprises the water of at least a amine that exists with following ranges based on described composition total weight meter, at least a passivator and surplus.
Component Weight %
Amine About 0.001% to about 25%
Passivator About 0.001% to about 5%
Water Surplus
In another optional embodiment, preparation of the present invention comprises at least a amine that exists with following ranges based on described composition total weight meter, at least a passivator, at least a tensio-active agent, optional at least a reductive agent and the water of surplus.
Component Weight %
Amine About 0.001% to about 25%
Passivator About 0.001% to about 5%
Tensio-active agent About 0.001% to about 5%
Reductive agent 0 to about 20%
Water Surplus
In another optional embodiment, preparation of the present invention comprises at least a amine that exists with following ranges based on described composition total weight meter, at least a passivator, at least a quaternary base, optional at least a reductive agent and the water of surplus.
Component Weight %
Amine About 0.001% to about 25%
Passivator About 0.001% to about 5%
Quaternary base About 0.001% to about 25%
Reductive agent 0 to about 20%
Water Surplus
In further alternative embodiment, preparation of the present invention comprises at least a amine that exists with following ranges based on described composition total weight meter, at least a passivator, at least a reductive agent, optional at least a tensio-active agent, optional at least a quaternary base and the water of surplus.
Component % weight
Amine About 0.001% to about 25%
Passivator About 0.001% to about 5%
Reductive agent About 0.001% to about 20%
Tensio-active agent 0 to about 5%
Quaternary base 0 to about 25%
Water Surplus
In further alternative embodiment, preparation of the present invention comprises at least a amine that exists with following ranges based on described composition total weight meter, at least a passivator, at least a quaternary base, at least a reductive agent, optional at least a tensio-active agent and the water of surplus.
Component Weight %
Amine About 0.001% to about 25%
Passivator About 0.001% to about 5%
Quaternary base About 0.001% to about 25%
Reductive agent About 0.001% to about 20%
Tensio-active agent 0 to about 5%
Water Surplus
As indicated above, in broad practice of the present invention, cleaning combination can comprise amine, passivator, optional reductive agent, optional quaternary base, optional tensio-active agent, He Shui behind the described water-based CMP, perhaps is made of above-mentioned substance, or is made of above-mentioned substance basically.Described water is preferably deionized water.
In broad practice of the present invention, the pH scope of cleaning combination is that most preferred scope is about 10 to about 12 greater than about 9 behind the described water-based CMP.
Described amine compound can be primary amine or secondary amine, and is selected from monoethanolamine (MEA), N-Mono Methyl Ethanol Amine (NMEA), N-aminoethyl ethanolamine, N-methylamino ethanol, amino ethoxy ethanol, diethanolamine, 1-amino-2-propyl alcohol, monoisopropanolamine, isobutyl hydramine, C 2-C 8Alkanolamine, triethylenediamine and their combination.Preferably, described amine compound comprises monoethanolamine or monoisopropanolamine.What also can consider herein is, when a certain amount of uncle and/or secondary amine exist, can add tertiary amine that about 0.01wt.% measures to about 20wt.% in the cleaning combination as low friction compound behind water-based CMP.The tertiary amine that can consider includes but not limited to trolamine, methyldiethanolamine, triethylamine, N, N-dimethyl glycol amine, N, N-dimethyl diglycolamine and pentamethyl-Diethylenetriaminee (DETA).
As used in this article, term " passivator " thus mean any material that copper film with fresh copper surface and/or oxidation reacts passivation or protects this copper containing layer.Preferably, the passivator in the cleaning combination can comprise the component of above enumerating behind water-based CMP of the present invention.More preferably, this passivator is 1,2, the 4-triazole.
Cleaning combination can be chosen wantonly and comprise reductive agent behind the described water-based CMP, and this reductive agent is selected from xitix, L (+)-xitix, saccharosonic acid, ascorbic acid derivates, gallic acid, oxalic dialdehyde and combination.
Can choose wantonly in the cleaning combination behind the described water-based CMP and comprise quaternary base, described quaternary base includes but not limited to (NR 1R 2R 3R 4) OH, wherein R 1, R 2, R 3And R 4Each other can be identical or different, and be selected from the C of hydrogen, straight or branched independently of one another 1-C 10Alkyl and replacement or unsubstituted aryl.Preferably, cleaning combination comprises at least a quaternary base behind the described water-based CMP, and described quaternary base preferably includes Tetramethylammonium hydroxide (TMAH).
Cleaning combination can be chosen wantonly and comprise tensio-active agent behind the described water-based CMP.Described tensio-active agent is preferably the surface-active agents of assisting dispersion/suspension, and it reduces the surface tension of liquid, and reduces the surface tension between solid and the liquid.In addition, by inference, described tensio-active agent also plays the effect of surface-modifying agent, and it increases the viscosity of described preparation, therefore reduces to be applied to the shear-stress on the described wafer.The tensio-active agent that can consider comprises but is not limited to herein: nonionogenic tenside, fluoroalkyl surfactants for example, polyoxyethylene glycol, polypropylene glycol, polyglycol ether or polypropylene glycol ether, carboxylate salt, Witco 1298 Soft Acid (DDBSA) or its salt, polyacrylate polymers, dinonyl phenyl polyoxyethylene, siloxanes or modified siloxane polymkeric substance, acetylenic glycol or modification acetylenic glycol, with alkylammonium salt or modification alkylammonium salt, Natvosol (500-35,000MW), hydroxypropylcellulose (500-35,000MW), methylhydroxypropylcellulose (500-35,000MW), NATROSOL  250 Natvosols, KLUCEL  EF hydroxypropylcellulose and the combination that comprises at least a aforementioned surfactants.Perhaps, described tensio-active agent can be the mixture of negatively charged ion and nonionic surface active agent.In preferred embodiments, described tensio-active agent is a Witco 1298 Soft Acid, NATROSOL  and/or KLUCEL .
In addition, cleaning combination can also comprise sequestrant, etching reagent, defoamer, pH value conditioning agent, thickening material behind the described water-based CMP, and for example water-soluble polymer quantizes compound, etc.
Importantly, described water-based post-etch residue is removed composition can not damage dielectric materials on described microelectronic device, comprises the metal of the low-k value dielectric materials of OSG for example and exposure and metal alloy compositions such as copper, cobalt, tungsten, aluminium etc.Preferably, the etch-rate scope of copper product is about 0.5  min -1To about 10  min -1, more preferably about 0.5  min -1To about 5  min -1
Preferably, based on described composition total weight meter, the component in described dense cleaning combination exist scope as follows: about 0.01% to about 62% amine, about 0.005% to about 25% reductive agent, about 0.005% to about 14% passivator, about 0.001 to about 25% quaternary base and the water of surplus.
In preferred embodiments, cleaning combination comprises monoethanolamine, xitix and TAZ behind the water-based CMP of the present invention.
In particularly preferred embodiments, cleaning combination comprises monoethanolamine, xitix, gallic acid, TMAH and TAZ behind the water-based CMP of the present invention.
In another particularly preferred embodiment, cleaning combination comprises monoethanolamine, gallic acid, TMAH and TAZ behind the water-based CMP of the present invention.
In multiple embodiments, cleaning combination behind the described water-based CMP is mixed with following preparation BA-CM, and some in these preparations are preferred, and some prepare for purpose relatively, wherein all per-cent all by weight, based on described total formulation weight amount:
Preparation BAMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, EDTA 0.01%, DI water 84.4%
Preparation BBMEA 7.2%, TMAH 4%, xitix 1.6%, TAZ 1%, gallic acid 2.8%, EDTA 0.01%, DI water 83.4%
Preparation BCMEA 7.2%, TMAH 4%, xitix 1.6%, TAZ 3%, gallic acid 2.8%, EDTA 0.01%, DI water 81.4%
Preparation BDMEA 7.2%, TMAH 4%,, xitix 1.6%, TAZ 5%, gallic acid 2.8%, EDTA 0.01%, DI water 74.4%, pH 10.3
Preparation BEMEA 8.8%, TMAH 4.9%, xitix 3.43%, TAZ 1.96%, DI water 80.91%
Preparation BFMEA 4.5%, TMAH 2.5%, xitix 1.75%, TAZ 1%, DI water 90.25%, pH11.4
Preparation BGMEA 4.5%, TMAH 2.5%, xitix 1%, gallic acid 1.75%, TAZ 1%, DI water 89.25%, pH10.8
Preparation BHMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, BTA 1.6%, EDTA 0.01%, DI water 82.8%
Preparation BIMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, BTA 8.5%, EDTA 0.01%, DI water 75.9%
Preparation BJMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, carboxyl BTA 2.2%, EDTA 0.01%, DI water 82.8%
Preparation BKMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, carboxyl BTA 11.8%, EDTA 0.01%, DI water 72.6%
Preparation BLMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, ATA 1.2%, EDTA 0.01%, DI water 83.2%
Preparation BMMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, ATA 6.1%, EDTA 0.01%, DI water 78.3%
Preparation BNMEA 9%, TMAH 2.5%, xitix 3.5%,, TAZ 1.0%, DI water 84.0%
Preparation BOMEA 4.5%, TMAH 2.5%, xitix 1.75%, TAZ 5.0%, DI water 86.25%
Preparation BOMEA 9%, TMAH 2.5%, xitix 3.5%, TAZ 5.0%, DI water 80.0%
Preparation BQMEA 9%, TMAH 5%, xitix 3.5%, TAZ 2.0%, DI water 81.5%, pH11.6
Preparation BRMEA 9%, TMAH 5%, xitix 2%, gallic acid 3.5%, TAZ 2%, DDBSA 0.1 1%, DI water 78.39%
Preparation BSMEA 9%, TMAH 5%, xitix 2%, gallic acid 3.5%, TAZ 2%, NATROSOL  250 0.1%, DI water 78.4%
Preparation BTMEA 9%, TMAH 5%, xitix 3.5%, TAZ 2%, NATROSOL  250 0.1%, DI water 80.4%
Preparation BUMEA 9%, TMAH 5%, xitix 3.5%, TAZ 2%, KLUCEL  EF 0.1%, DI water 80.4%
Preparation BVMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ1.96%, NATROSOL  250 1.00%, DI water 79.89%
Preparation BWMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ1.96%, NATROSOL  250 0.50%, DI water 80.39%
Preparation BXMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ1.96%, KLUCEL  EF 1.00%, DI water 79.89%
Preparation BYMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ1.96%, KLUCEL  EF 0.50%, DI water 80.39%
Preparation BZMEA 10.29%, TMAH 5.71%, xitix 4.00%, TAZ2.29%, KLUCEL  EF 1.14%,, DI water 76.57%
Formulation C AMEA 9%, xitix 3.5%, TAZ 2%, Witco 1298 Soft Acid 0.11%, DI water 85.39%
Formulation C BMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ 1.96%, Witco 1298 Soft Acid 0.11%, DI water 80.78%, pH12.0
Formulation C C9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% xitix, 82.5wt.%H 2O
Formulation C D9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 82.5wt.%H 2O
Formulation C E9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2%1,2,4-triazole, 80.5wt.%H 2O
Formulation C F11wt.%1-amino-2-propyl alcohol, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2wt.% xitix, 78.5wt.%H 2O
Formulation C G1-amino-2-propyl alcohol of 11wt.%, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2wt.% xitix, 2%1,2,4-triazole, 76.5wt.%H 2O
Formulation C H7.2wt.% monoethanolamine, 4wt.% Tetramethylammonium hydroxide, 2.8wt.% gallic acid, 1.6wt.% xitix, 84.4wt.%H 2O
Formulation C I7.2wt.% monoethanolamine, 4wt.% Tetramethylammonium hydroxide, 2.8wt.% gallic acid, 1.6wt.% xitix, 2%1,2,4-triazole, 82.4wt.%H 2O
Formulation C J9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% xitix, 1% benzotriazole, 81.5wt.%H 2O
Formulation C K9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 1% benzotriazole, 81.5wt.%H 2O
Formulation C L11wt.%1-amino-2-propyl alcohol, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2wt.% xitix, 1% benzotriazole, 77.5wt.%H 2O
Formulation C M9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2wt.% xitix, 1% benzotriazole, 79.5wt.%H 2O
The present inventor has been found that the particle removal efficiency of the alkaline waterborne compositions that comprises passivator and organic defective removal ability are higher than the correspondent composition that does not contain passivator significantly.For example think in this article, the passivator that exists in the cleaning combination behind described CMP helps the removal of BTA, and BTA typically is the component of CMP slurry, and it tends to form thick non-homogeneous film in during before the CMP technology on exposed copper surface.
For example, as discussing in background of the present invention, BTA is the component of many CMP slurries, and after CMP technology is finished, can stay the non-homogeneous film of BTA on the steel structure of described exposure.After employing contained the CMP of BTA slurry, the exposed copper surfaces of described microelectronic device was subjected to BTA-Cu +Film and from the pollution (referring to Fig. 1 left side) of the particle of described slurry.Comprise in introducing behind the CMP of passivator and to suppose BTA-Cu behind the cleaning combination +Layer by undercutting and peel off, stays passivator-Cu under the situation that does not have the described substrate of etching +Layer (referring to Fig. 1 right side).Resulting surface is hydrophilic, and is very easy to wetting.
Importantly, cleaning combination has significantly lower surface tension with respect to deionized water behind the water-based CMP of the present invention.This has promoted waterborne compositions of the present invention cleans residue and pollutent behind the CMP from described device surface ability.
In another embodiment, the removing residues composition comprises residue behind at least a amine, at least a passivator, the CMP, optional at least a quaternary base, optional at least a reductive agent, optional at least a complexing agent and the water of surplus behind the described water-based CMP.Importantly, residue can dissolve and/or be suspended in the removal composition of the present invention behind the described CMP.
In another embodiment, remove composition behind the described water-based CMP and comprise at least a amine, xitix, at least a passivator, optional at least a quaternary base, optional at least a other reductive agent, He Shui, wherein said alkaline aqueous clean combination is suitable for having from it on the microelectronic device of residue and pollutent and cleans described residue and pollutent.Preferably, remove in the composition not fluoride source, oxygenant and/or abrasive material behind the described cleaning water-based CMP.
In one embodiment of the invention, provide a kind of can be diluted with as cleaning combination behind the spissated water-based CMP of cleaning solution.Concentrate composition or " enriched material " advantageously, for example can allowing, CMP process engineer's user is diluted to required intensity and basicity with this enriched material in use.In addition, the enriched material of described product has long preservation period, and is easy to transport and deposit.The dilution range of described spissated cleaning combination can wherein be diluted described cleaning combination or just dilute before the apparatus of packing into for example solvent of deionized water from about 1: 1 to about 200: 1 in apparatus.Preferably, the dilution range of described dense cleaning combination is about 5: 1 to about 50: 1.
Cleaning combination key character is behind the water-based CMP of the present invention, and the amount of described non-aqueous composition (composition beyond dewatering) in said composition seldom is usually less than about 30 weight %.This has economic advantages, because can prepare effective cleaning combination more economically, this point outbalance is because the usage quantity of cleaning combination is very big behind the CMP.In addition, because described cleaning combination is based on water, therefore cleaning combination of the present invention is easier to handle.
By adding each composition simply and being mixed to uniform state, can prepare cleaning combination behind the water-based CMP of the present invention at an easy rate.In addition, can at an easy rate cleaning combination behind the described water-based CMP be formulated as single packaged preparation or be formulated as in using or before carry out blended many parts preparation, for example, can be in the storage tank of apparatus or apparatus upstream the independent each several part of described many parts preparation be mixed.In broad practice of the present invention, the concentration of described each composition can have very big variation, specific factor for cleaning combination behind the described water-based CMP, promptly rarer or denseer, and will be appreciated that, cleaning combination can be under different situations and optionally comprise and the arbitrary combination that discloses consistent composition herein behind the water-based CMP of the present invention, perhaps by above-mentioned constituting, or basically by above-mentioned constituting.
Therefore, another aspect of the present invention relates to test kit, and it comprises two or more components that are suitable for forming the present composition in one or more containers.Preferably, this test kit comprises at least a amine, at least a passivator, optional at least a quaternary base, optional at least a tensio-active agent, optional at least a reductive agent in one or more containers, be used for when processing or use and the water combination.It will be understood by those of skill in the art that, can consider other combination herein.
Except the aqueous solution, it is also conceivable that herein with cleaning combination behind the described water-based CMP be mixed with foam, mist agent, (that is, wherein said solvent is CO for subcritical or supercutical fluid 2Deng, rather than water).
In another embodiment, cleaning combination can be used for the others of microelectronic device manufacturing process behind the water-based CMP of the present invention.For example, cleaning combination can be used for the cleaning behind the cobalt plating behind the described water-based CMP, contains cobalt particle to remove from the dielectric regime, but can not remove required cobalt coating and not destroy described dielectric materials.In addition, cleaning combination can be used to remove after the etching and the residue after the ashing behind the water-based CMP of the present invention, and the bruting process that is used for CMP polishing apparatus.
During residue behind CMP and pollutent clean and use, can plant conventional implements and use cleaning combination behind the described water-based CMP with many, described apparatus comprises the Verteq monocrystalline million (single wafer megasonic) Goldfinger, OnTrak systems DDS (two-sided washer), Laurell churning apparatus, SEZ monocrystalline spray rinsing (single wafer spray rinse), Applied Materials Mirra-Mesa TM/ Reflexion TM/ Reflexion LK TMWash platform system (Megasonic batch wet bench systems) with million batches of formulas.
As be applied in the operation that microelectronics makes like that, cleaning combination can be used for cleaning from described microelectronic device surface residue and pollutent behind the CMP, while passive metal interconnection material behind the water-based CMP of the present invention.Importantly, cleaning combination of the present invention can not destroy the low-k dielectric material on described device surface, and is preferably removing at least 85%, more preferably at least 90% even more preferably at least 95% and most preferably at least 99% of the residue that exists before the removing residues on described device.
When after composition of the present invention is used for having CMP from it, cleaning behind the described CMP residue and pollutent on the microelectronic device of residue and pollutent, cleaning combination and this device contacts about 5 seconds to about 10 minutes, preferred about 15 seconds to 5 minutes time after about 20 ℃ make described water-based CMP to about 50 ℃ temperature range usually.Such duration of contact and temperature are exemplary, and in broad practice of the present invention, and can adopt for the residues/contaminants clean described CMP at least in part from device after is effectively any other suitable time and temperature condition." at least in part clean " and " removal basically " all is equivalent to remove at least 85%, more preferably at least 90% even more preferably at least 95% and most preferably at least 99% of the residue that is present on the described device before the removing residues.
In another embodiment, before the technique for grinding or during, promptly the cylinder overdraft (platen downforce) that reduces before or during, cleaning combination behind the described water-based CMP is incorporated on the Step II cylinder, and cleaning combination is replaced Step II CMP slurry and is assisted the slurry from described microelectronic device surface removal StepII CMP at Step II CMP technology back segment behind the described thus water-based CMP.
After reaching required cleaning performance, can easily cleaning combination behind the described water-based CMP be got rid of from the device that it had before applied, may be desired and effective as using in the given end-use of composition of the present invention.Preferably, described rinsing solution comprises deionized water.
Of the present inventionly relate in one aspect to improved microelectronic device made according to the method for the present invention again and relate to the product that comprises this microelectronic device.
The present invention more advances to relate in one aspect to comprise the manufacture method of the goods of microelectronic device, described method comprise make described microelectronic device and water-based CMP after cleaning combination contact time enough, to clean described residue and pollutent on the microelectronic device that has residue and pollutent behind the CMP from it, with described microelectronic device is incorporated in the described goods, cleaning combination comprises and is selected from following component behind the wherein said water-based CMP: (a) at least a amine, at least a passivator and water; (b) water of at least a amine, at least a passivator, at least a reductive agent and surplus; (c) at least a amine, at least a passivator, at least a tensio-active agent, optional at least a reductive agent and the water of surplus; (d) at least a amine, at least a passivator, at least a quaternary base, optional at least a reductive agent and the water of surplus; And (e) at least a amine, at least a passivator, at least a quaternary base, at least a reductive agent, optional at least a tensio-active agent and the water of surplus.
On the other hand, the present invention relates to as shifting cleaning combination behind the water-based CMP that solution is used to protect the copper on the described electron device wafer.For example, with described wafer transfer to burnishing barrel and/or the CMP during the removing residues technology, during promptly brush is cleaned, cleaned for million etc., cleaning combination behind the CMP disclosed herein can be sprayed on the described wafer.Preferably, before spraying on the described wafer water about 20: 1 to about 1000: 1 scope with described water-based CMP after cleaning combination dilute.
By following limiting examples feature of the present invention and advantage can be described more fully, wherein except that other spelt out, all umbers and per-cent all were by weight.
Embodiment 1
Estimate preparation AA-AF and be used to suppress the effect of copper corrosion (that is, the copper etch-rate being minimized).The copper wafer of described device for covering.Under 50 ℃,, then carry out rinsed with deionized water and dry the submergence 10 minutes in composition separately of the wafer in every kind of situation.Before submergence, adopt the four-point probe measuring technology that sample is measured, to determine the funtcional relationship of described substrate thickness and resistivity.Generate regression curve, and the funtcional relationship of definite copper thickness and resistivity, thereby the etch-rate of derivation copper in every kind of composition.The result as shown in Figure 2.
Embodiment 2
Submergence is being housed in the beaker of (250rpm) preparation AC of stirring 2 minutes at the patterned wafers that has residue under 40 ℃ on it.Described patterned wafers comprises the FSG bond pad on the copper surface.After cleaning, wafer is carried out rinsing, the drying line scanning electron microscopic of going forward side by side and test (SEM) with DI water.The etch-rate of copper is defined as 1.4  min -1
This contrast wafer before submergence and electron photomicrograph afterwards be shown in Fig. 3 A and 3B respectively.As can be seen, only after 2 minutes cleaning, just removed residue effectively.
Embodiment 3
The submergence 2 minutes in the beaker of (250rpm) preparation AC that stirs of wafer after at room temperature through hole etching restraining mass being penetrated.During via etch process, make this wafer stand 50% over etching, so that heavier lateral wall polymer residue to be provided.After cleaning, this wafer is carried out rinsing with DI water, drying, and carry out SEM.
The electron photomicrograph of contrast through hole wafer before submergence and the contrast through hole wafer that splits is shown in Fig. 4 A and 4B respectively.The electron photomicrograph of through hole wafer after submergence and the through hole wafer that splits is shown in Fig. 5 A and 5B respectively.Can be clear that, get on except the residue that keeps after through hole etching and the over etching process (referring to, Fig. 5 B for example) from the sidewall of described through hole basically.
Embodiment 4
Determine contact angle at the lip-deep DI water of treated and undressed copper.Described copper surface is the copper product of electrochemical deposition, and the contact angle of DI water is 72.5 ± 2.2 when unprocessed.With submergence in the multiple composition cited in the ECD copper table 1 hereinafter (1) minute, carry out rinsing with DI water, use the nitrogen drying, and the contact angle of definite DI water.The results are shown in Table 1.
Table 1: at the contact angle of the lip-deep DI water of treated and undressed copper
Contact angle (°)
Contrast 72.5±2.2
0.1wt.%BTA 49.8±1.5
0.01wt.%CBTA 33.4±3.2
0.2wt.%TAZ 12.5±1.7
0.1wt.%ATA 16.4±1.3
Preparation BQ (dilution in 10: 1) 12.5±1.0
In addition, ECD copper is handled with the cleaning combination that does not contain passivator, then handled with 0.1wt.%BTA, rinsing is also dry.This treated copper surface has about 50-60 ° contact angle.When the ECD copper surface that will handle further is immersed among the preparation BQ (10: 1 dilution), rinsing and when dry, contact angle has reduced by 12.5 ± 1.6.
As can be seen, the copper of crossing with the compositions-treated that comprises 0.1wt.%BTA has about 50 °-60 ° contact angle, shows that treated surface is hydrophobic relatively (that is non wettability).On the contrary, the copper of handling with TAZ has about 10 °-15 ° contact angle, shows that this treated surface is hydrophilic.What is interesting is that about 10 °-15 ° (that is, the contact angle on the surface that TAZ is handled) are reduced to for the contact angle of DI water in comprising the preparation BQ of TAZ after the submergence in the copper surface that described BTA is handled, this explanation TAZ or (i) be adsorbed to BTA-Cu +The surface perhaps (ii) from Cu surface replacement BTA, has stayed TAZ-Cu +
Embodiment 5
Determine that DI water is at lip-deep contact angle of treated and undressed copper and corresponding surface energy and be shown among Fig. 6.The surface of being investigated is summarized as follows.
The copper (contrast) of ECD Cu electrochemical deposition
Cu-BTA ECD Cu is immersed in the 0.1%BTA solution under the nature pH value
Cu-2MBI ECD Cu is immersed in the 0.01%MBI solution under the nature pH value
Cu-4302 ECD Cu is immersed in 0.1% the dedicated modified triazole composition
Cu 2O makes surface C uO be transformed into Cu with compositions-treated ECD Cu 2O
Cu-CBTA ECD Cu is immersed in the 0.01%CBTA solution under the nature pH value
Cu-TAZ ECD Cu is immersed in the 0.2%TAZ solution under the nature pH value
Cu-5ATA ECD Cu is immersed in the 0.2%ATA solution under the nature pH value
In a plurality of test solns, the amount that is dissolved in copper passivator is wherein determined by the solubleness of described compound under natural pH value, in the pH value scope that described natural pH value is tended at 4-6.
The result shows, needn't carry out actual cleaning and passivation experiment, just can dope suitable general copper passivator simply by measuring contact angle.For example, the result can demonstrate any copper passivator can provide best surface " wetting ", thereby increase the possibility in the structure that described aqueous cleaning solutions during cleaning can penetrate into high aspect ratio and be reduced in the ability that forms " water spot " on the exposed copper surface behind CMP.
Embodiment 6
Determine that in acid and alkaline medium TAZ is to the lip-deep absorption of copper.Will be in the electrode plated with copper on the quartz crystal microbalance (QCM), and approximately be submergence 360 seconds in 5 23 ℃ the DI water in the pH value.The results are shown among Fig. 7 of acid control experiment, it demonstrates because the viewed quality of oxidation and hydro-combination process increases, and is approximately 1.66 μ gcm in the time of 300 seconds -2
Then copper-plated QCM electrode is immersed in contain 0.058wt.%TAZ, the pH value is in the solution of 5.8 (the natural pH values of solution).Experimental result in acidic medium is shown in Fig. 8, and demonstrating observed quality increases, and is about 0.38 μ gcm in the time of 300 seconds -2, this is equivalent to the TAZ film thickness of about 26 .Figure 9 shows that in this experiment of acidic medium with respect to the corresponding open circuit voltage (OCP) of Ag/AgCl reference electrode.As can be seen, for having about 0.38 μ gcm 300 seconds the time -2QCM determine the absorption TAZ of thickness, its current potential is equivalent to about 0.18V.
With copper-plated QCM electrode be immersed into alkalescence the DI aqueous solution in repeat the experiment, the DI aqueous solution of described alkalescence transfers to pH11.5 with TMAH.The results are shown among Figure 10 of this alkalescence control experiment, demonstrating observed quality increases, and is about 0.44 μ gcm in the time of 300 seconds -2
Then copper-plated QCM electrode is immersed into and comprises 0.058wt.%TAZ and with TMAH pH is transferred in 11.5 the solution.Yet the QCM result of the experiment in alkaline medium is also also indecisive, Figure 11 shows that the corresponding OCP of this experiment in alkaline medium.As can be seen, the current potential for absorption TAZ in alkaline medium is equivalent to about 0.195V.Similarity in acid TAZ solution and alkaline TAZ solution between the OCP result shows, no matter the pH value of solution how, TAZ will be adsorbed on the exposed copper surface all the time.This is significant, because CMP needs the multiple different slurry with multiple pH value, and residual CMP slurry regular meeting pollutes cleaning combination behind the CMP, thereby changes the pH value of described cleaning combination.Preferably, no matter the pH value how, described passivator all is adsorbed onto on the copper of exposure equally, thereby enlarges the scope of application of described cleaning combination.
Embodiment 7
In alkaline medium, determine the lip-deep absorption of copper that TAZ handles to BTA.With the electrode plated with copper on the quartz crystal microbalance (QCM), and submergence 300 seconds in the 0.2wt.%BTA solution that has pH neutral under 23 ℃.After this, the copper that BTA is handled is submergence 300 seconds in 11.5 the 0.1wt.%TAZ solution in the pH value.OCP and QCM result of experiment are shown in respectively in Figure 12 and 13.Can see the minimizing of electrode quality, this shows heavier BTA molecule (MW 119.1gmole -1) by lighter TAZ molecule (69.07gmole -1) replace.This result of experiment shows, replaced by the TAZ molecule to the BTA of small part.
Embodiment 8
Figure 14 shows that and after two kinds of different purging methods, stay the lip-deep defective sum of copper, TEOS, coral and carbonate (BD).Using the Compass defect analysis tool that size is gone up on each surface counts greater than the defective number of 0.1 μ m.First kind of purging method is conventional use a kind of in this area, it is after CMP wafer to be immersed in the citric acid solution, and cleans with brush, does not contain passivator in the used cleaning combination, in this example, composition comprises MEA, TMAH, xitix and DI water.Second kind of purging method relates to after CMP wafer is immersed among the preparation BQ, then cleans with brush, and used is the above-mentioned cleaning combination that does not contain passivator.
With reference to Figure 14, as can be seen, the copper that cleans according to first method has the defective of a great deal of, and major part is organic defective, may be owing to exist from due to the BTA of the absorption of the CMP technology of front.When cleaning copper according to second method, wherein the surface is immersed into (preparation BQ) in the composition that comprises TAZ, the defective number sharply reduces.These results show that further during cleaning behind the described CMP, BTA is replaced by TAZ.Therefore, BTA is the minimizing of surface imperfection number by another advantage of TAZ metathetical, and therefore, the reliability of overall microelectronic device is improved.
Embodiment 9
Figure 15 shows that copper wafer overall defect, organic defective and funtcional relationship of particle defects and time after following process of covering, described process is carried out rinsing-polishing for 10: 1 diluents (using DI water) with preparation BF during CMP polishing step, then clean behind the CMP in brush box, used is 30: 1 diluents of concentrate composition, and described concentrate composition comprises the water of 4.7%TMAH, 20.6%TEA, 3.3% gallic acid, 11.2% xitix and surplus.As can be seen, along with the increase of the time of scrubbing, organic, particle and total number of defects reduce.These results show, are necessary in order to remove a large amount of defectives, to scrub, and preferably carry out at least 60 seconds.
Embodiment 10
Figure 16 A is the scanning electron photomicrograph at the Sematech 854 of bond pad edge contrast wafers, magnification is 30,000 * and, wherein wafer has thereon CMP residue and slurry contamination thing.Figure 16 B is the SEM of the Sematech 854 contrast wafers on the bond pad of a random choose, magnification is 6,000 *.
Adopt Laurell to revolve-spray apparatus Sematech 854 contrast wafers are cleaned, described cleaning is that 60: 1 diluents (using DI water) with cleaning agent of the present invention carried out 60 seconds under 22 ℃.
Use preparation BH-BM for corresponding respectively to, Figure 17 that BF and BN-BP clean-26, figure A is the SEM at the wafer of bond pad center after rotation/spray is handled, magnification is 30,000 *, figure B is the SEM at the wafer of bond pad edge after rotation/spray is handled, magnification is 30,000 *, figure C is the SEM at the wafer after rotation/spray is handled on the bond pad of random choose, magnification is 6,000 * and figure D be SEM at the wafer of 0.18 μ m line pattern location after the processing of rotation/spray, magnification is 6,000 *.
Figure 17 and 18 correspondences be the wafer that cleans with the preparation (being respectively preparation BH and BI) that comprises BTA, cleaning combination was not suitable for removing residue and pollutent after it showed the CMP that comprises BTA.
Figure 19 correspondence be the wafer that cleans with the preparation (preparation BJ) that comprises lower concentration CBTA, it has shown the abundant removal of residue and pollutent behind the CMP.Yet, Figure 20 correspondence be the wafer that cleans with the preparation (preparation BK) that comprises high density CBTA, demonstrate with denseer preparation and remove residue and pollutent is infeasible.Though do not wish to be subjected to theoretical constraint, can think that this evident difference is owing to the balance between passivator in preparation and other component causes.The passivator of high density may suppress the cleansing power of described preparation.
Figure 21 and 22 correspondences be the wafer that cleans with the preparation (being respectively preparation BL and BM) that comprises ATA, the cleaning behavior that shows is similar to CBTA (promptly, Figure 19 and 20), wherein the ATA composition of lower concentration can be removed described residue and pollutent fully, but denseer ATA composition then can not.Be pointed out that further the film on the described wafer surface has appearred being adsorbed onto in two compositions for comprising ATA, this may be because the passivator level is too high, causes the uneven particle thing deposition of passivator on copper.
Figure 23 correspondence be the wafer that cleans with the preparation (preparation BF) that comprises TAZ, demonstrate the abundant removal of residue and pollutent behind the CMP.
Figure 24 correspondence be the wafer that cleans with the preparation (preparation BN) that comprises TAZ, demonstrate the abundant removal of residue behind the CMP, yet, during cleaning, have film to be adsorbed onto on the described wafer surface.
Figure 25 correspondence be the wafer that cleans with the preparation (preparation BO) that comprises TAZ, demonstrate the removal of residuals behind the less CMP, yet said preparation can not be removed than the macroparticle material from described wafer surface.Noted herely be that described BO preparation really can be fully removed residuals and pollutent (explanation in this article) behind all CMP from exclusive wafer.This shows that the availability of all preparations depends on the attribute of the material on described wafer and the attribute of aforementioned CMP polishing.
Figure 26 correspondence be the wafer that cleans with the preparation (preparation BP) that comprises TAZ, demonstrate the abundant removal of residue and pollutent behind the CMP.
Embodiment 11
Determine the preparation BR and the contact angle of BS on dielectric materials of dilution.Preparation BR is diluted to form the solution of 2.561 BR:97.839 DI water.With the solution of preparation BS dilution with formation 2.56BS:97.84 DI water.The optical image of observed contact angle is shown among Figure 27 A and the 27B.
Embodiment 12
Estimate the effectiveness of cleaning residue and pollutent behind the CMP on the microelectronic device that preparation BQ, CC-CG and CJ-CM be used for having from it residue and pollutent behind the CMP.Described device is patterning Sematech 854 wafers, has residue behind the CMP of exsiccant Arch10K CMP slurry and other in its surface.Under 22 ℃, 100rpm the wafer in every kind of situation is used x on rotation/spray apparatus: the specific cleaning combination (1 part of cleaning combination is to x part deionized water) of 1 dilution cleaned 1 minute, then was 30 seconds rinsed with deionized water and drying.Before using Nanoscope IIIa atomic force microscope (AFM) to clean with after analysis.
Estimate cleaning effect by minimizing at described on-chip target compound.To at the particle on the described sample substrate " record " a series of pixels of 231-235 intensity.They on the typical A FM image corresponding to other white mass of place on dark background.Using Sigma Scan Pro histogram filters these pixels and counts number of particles.Utilize formula (1) to calculate cleaning effect.
Cleaning effect=(population before cleaning-cleaning back population)/(population before cleaning) * 100 (1)
Table 2 comprises the result of composition BQ, CC-CG mentioned above and CJ-CM cleaning effect behind the CMP that specifies under the extent of dilution.
Table 2: the cleaning effect of residue and pollutent behind the CMP of the present composition
Preparation Extent of dilution Cleaning effect/%
BQ
30∶1 99.0
CC 30∶1 70.0
CD 30∶1 97.4
CE 30∶1 99.7
CF 30∶1 98.0
CG 30∶1 99.0
CJ 30∶1 0
CK 30∶1 0
CL 30∶1 0
CM 30∶1 0
As can be seen, the cleaning effect that demonstrates of preparation BQ has improved 19% than the formulation C C that does not contain copper passivator TAZ.The cleaning effect that formulation C E and CG demonstrate has improved 2% and 1% than formulation C D that does not contain copper passivator TAZ and CF respectively.Comprising BTA is cleaning effect extreme difference, particularly CJ, CK, CL and the CM of the preparation of passivator, and this is owing to add the cause of particle during cleaning.
Embodiment 13
The copper roughening of the polish copper wafer that estimate to cover when preparation BQ and CC-CE exist.Under 22 ℃, 100rpm the wafer in every kind of situation is used x in rotation/spray apparatus: the specific cleaning combination (1 part of cleaning combination is to x part deionized water) of 1 dilution cleaned 1 minute, then was 30 seconds rinsed with deionized water and drying.Before using Nanoscope IIIa AFM to clean with after analysis.With the r.m.s. roughness that the AFM computed in software goes out roughening is estimated.The results are shown in the table 3 hereinafter.
Table 3: the copper roughening when using the present composition
Preparation Extent of dilution RMS roughness %
BQ
30∶1 1.01
CC 30∶1 1.42
CD 30∶1 1.14
CE 30∶1 1.17
Data presentation is added passivator and can be produced the copper roughening that is caused by described preparation sharply in cleaning combination of the present invention (that is, formulation C C and CE).
Embodiment 14
Estimate the effectiveness that formulation C C, CD, CF, CH and CI are used for cleaning from microelectronic device residue and pollutent behind the CMP.Described device is patterning Sematech 854 wafers, has exsiccant Arch10K CMP slurry in its surface.Wafer was further polluted 2 hours with 10ppm BTA by static buried mode.Under 22 ℃, 100rpm the wafer in every kind of situation is used x in rotation/spray apparatus: the specific cleaning combination (1 part of cleaning combination is to x part deionized water) of 1 dilution cleaned 1 minute, then was 30 seconds rinsed with deionized water and drying.Before using Nanoscope IIIa atomic force microscope (AFM) to clean with after analysis.Press the method for embodiment 12 and estimate cleaning effect, use formula (1) above to calculate.The results are shown in the table 4 hereinafter.
Table 4: the present composition to CMP after the cleaning effect of residue and pollutent
Preparation Extent of dilution Cleaning effect/%
CC
30∶1 92.6
CD 30∶1 46.1
CF 30∶1 86.4
CH 30∶1 86.4
CI 30∶1 98.6
As can be seen, compare with the preparation that does not have TAZ passivator, the formulation C I that comprises TAZ demonstrates the improvement that slurry and BTA pollutent are cleaned.
Embodiment 15
Estimate the effectiveness that preparation BQ and CC-CG are used for cleaning from the copper surface BTA residue.The kind wafer of described copper surface for covering, it was handled 2 hours by the BTA of static buried mode with 10ppm.Under 22 ℃, 100rpm the wafer in every kind of situation is used x in rotation/spray apparatus: the particular formulations (1 part of cleaning combination is to x part deionized water) of 1 dilution was cleaned 1 minute, then was 30 seconds rinsed with deionized water and drying.Make this wafer be exposed to H then 2 S gas 2 minutes.By being exposed to the level that variable color that gas causes shows BTA pollutent residual on the copper surface that BTA handles, wherein the removal of BTA from minimum at most corresponding to orange<redness<pink<purple<blueness.The results are shown in the table 5 hereinafter.
Table 5: handling with specific formulation and be exposed to H 2Residual BTA pollutant level after the S gas
Pollutent Preparation Be exposed to H 2Color after the S gas
Do not have Do not have Purple/blueness
10ppm BTA None Orange
10ppm BTA CC Pink
10ppm BTA BQ Blue
10ppm BTA CD Pink
10ppm BTA CE Blue
10ppm BTA CF Red
10ppm BTA CG Pink/purple
With the preparation of the present invention, particularly BQ, CE and the CG that comprise TAZ, it is more remarkable that the surface ratio of cleaning does not contain the variable color of preparation of TAZ passivator, shown that promptly significant BTA removes.XPS result confirms that the preparation that comprises TAZ has stayed the thin layer of the copper of TAZ passivation.These results have supported this theory, and the preparation of the present invention that promptly comprises TAZ has been replaced BTA from described wafer surface.
Embodiment 16
Prepare by various embodiments, wherein all per-cent all by weight, based on the total formulation weight amount.Some preparations are preferred, and some are then prepared for comparing purpose.
Preparation DA9wt.% monoethanolamine, 91wt.%H 2O
Preparation DB9wt.% monoethanolamine, 0.1wt%2-mercaptobenzimidazole, 90.9wt.%H 2O
Preparation DC11wt.%1-amino-2-propyl alcohol, 89wt.%H 2O
Preparation DD11wt.%1-amino-2-propyl alcohol, 0.1wt.% carboxyl benzotriazole, 88.9wt.%H 2O
Preparation DE11wt.%NMEA, 89wt.%H 2O
Preparation DF11wt.%NMEA, 0.1wt%5-amino tetrazole, 88.9wt.%H 2O
Preparation DG11wt.%NMEA, 0.1wt%5-amino tetrazole, 1wt% (40%) oxalic dialdehyde, 87.9wt.%H 2O
Embodiment 17
Estimate the copper etch-rate of preparation DA-DG.The copper wafer of described substrate for covering.Under 22 ℃, 450rpm,, then be 30 seconds rinsed with deionized water and nitrogen drying with the submergence 10 minutes in each composition of the wafer in every kind of situation.Before using Res Map four-point probe to clean with after analysis, in order to determine the thickness of copper.Utilize formula (2) to calculate the etch-rate (ER) of copper in the presence of preparation.Utilize formula (3) to calculate the variance of etch-rate.
CuER = A - B T - - - ( 2 )
Wherein A is the substrate thickness before the submergence of representing with dust, and B is the substrate thickness after the submergence of representing with dust, and T is with minute time of expression.
Figure S2006800272936D00462
The variance of the substrate thickness before the processing represented with dust of SA=wherein, SB is the variance of the substrate thickness after the submergence of representing with dust, t be the time minute to represent.
Etch-rate determines that result of experiment is summarised in following table 6 and 7, and wherein 20: 1 solution of DA and DB are represented DA and DB 20: 1 extent of dilution in DI water.
Table 6: copper thickness and etch-rate in preparation DA-DG after the submergence
Preparation DA (20∶1) DB (20∶1) DA DB DC DD DE DF DG
Thickness () before the submergence 764.3 785 769.1 737.1 790.2 797.5 779.1 750.7 779.8
The thickness () that submergence is later 636.7 783.4 506.7 724.3 478.3 685.9 630.6 627.9 693.3
ER(min -1) 12.8 0.2 26.2 1.3 31.2 11.2 14.9 12.3 8.7
Table 7: the variance of copper thickness and the variance of etch-rate
Preparation DA (20∶1) DB (20∶1) DA DB DC DD DE DF DG
Variance () before the submergence 2.6 1.7 1.6 4.7 1 0.9 1.5 3 1.4
The variance () that submergence is later 12.1 1.7 52.5 3.1 17.6 9.4 26.8 6.2 2.5
ER variance ( min -1) 1.2 0.2 1.3 0.6 1.8 0.9 2.7 0.7 0.3
As can be seen, compare, in preparation DB, DD and DF, add passivator and help to make etch-rate much lower, and make the variance of copper etch-rate of described sample lower with preparation DA, DC and DE (that is, not having the preparation of passivator).Compare with preparation DE and DF (that is, not containing the preparation of reductive agent), in preparation DG, add the variance that the reductive agent oxalic dialdehyde can further reduce etch-rate and copper etch-rate.
Embodiment 18
Preparation has the sample of the preparation EA-EP of following composition separately.
Preparation EA9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% xitix, 82.5wt.%H 2O
Preparation EB 1wt.% 1,2,4-triazole, 99wt.%H 2O
Preparation EC1.75wt.% xitix, 1wt.% 1,2,4-triazole, 97.25wt.%H 2O
Preparation ED2.5wt.% Tetramethylammonium hydroxide, 1.75wt.% xitix, 1wt.% 1,2,4-triazole, 94.75wt.%H 2O
Preparation EE4.5wt.% monoethanolamine, 1wt.% 1,2,4-triazole, 94.5wt.%H 2O
Preparation EF4.5wt.% monoethanolamine, 1.75wt.% xitix, 1wt.% 1,2,4-triazole, 92.75wt.%H 2O
Preparation EG2.5wt.% Tetramethylammonium hydroxide, 1wt.% 1,2,4-triazole, 96.5wt.%H 2O
Preparation EH9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2wt.% xitix, 2wt.% 1,2,4-triazole, 78.5wt.%H 2O
Preparation EI9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2wt.% xitix, 1wt.% benzotriazole, 79.5wt.%H 2O
Preparation EJ9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 10.9wt.% xitix, 71.6wt.%H 2O
Preparation EK9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 10.9wt.% xitix, 2wt.% 1,2,4-triazole, 69.6wt.%H 2O
Preparation EL5.5wt.% monoisopropanolamine, 1.75wt.% xitix, 1wt.% 1,2,4-triazole, 91.75wt.%H 2O
Preparation EM7.75wt.% amino ethoxy ethanol, 1.75wt.% xitix, 1wt.% 1,2,4-triazole, 89.5wt.%H 2O
Preparation EN5.5wt.% monoisopropanolamine, 1.75wt.% xitix, 92.75wt.%H 2O
Preparation EO4.5wt.% monoethanolamine, 1.75wt.% xitix, 93.75wt.%H 2O
Preparation EP9.0wt.% monoethanolamine, 3.5wt.% xitix, 2wt.% 1,2,4-triazole, 85.5wt.%H 2O
Embodiment 19
Estimate the effectiveness of cleaning residue and pollutent behind the described CMP on the microelectronic device that preparation BG, EA-EG, EL and EM be used for having from it residue and pollutent behind the CMP.Described device is patterning Sematech 854 wafers, has residue behind the CMP of exsiccant CMP slurry and other in its surface.Under 22 ℃, 100rpm the wafer in every kind of situation is used x in rotation/spray apparatus: the specific cleaning combination (1 part of cleaning combination is to x part deionized water) of 1 dilution cleaned 1 minute, then was 30 seconds rinsed with deionized water and drying.Before using Nanoscope IIIa atomic force microscope (AFM) to clean with after analysis.Cleaning effect is estimated in minimizing by on-chip target compound as indicated above, calculates by formula (1).
Table 8 comprises the result of composition BG, EA-EG, EL and EM mentioned above cleaning effect behind the CMP that specifies under the extent of dilution.
Table 8: the present composition to CMP after the cleaning effect of residue and pollutent
Preparation Extent of dilution Cleaning effect/%
EA
10∶1 79
BG 5∶1 100
EB 5∶1 -4
EC 5∶1 -2
ED 5∶1 -3
EE 5∶1 -42
EF 5∶1 99
EG 5∶1 -2
EL 5∶1 99
EM 5∶1 90
As can be seen, preparation EC (TAZ and xitix) and preparation EE (TAZ and monoethanolamine) can not be from residue and pollutents behind the described wafer surface cleaning CMP.Yet unexpected and surprisingly, when the component with preparation EC and EE was combined to form preparation EF (TAZ, xitix, monoethanolamine), cleaning effect almost was 100%.Figure 28 and 29 has further shown this wonderful effect, they represent respectively to use before the cleaning of preparation EC and EF with after afm image.Can be clear that preparation EC is to the not influence of the particle (being white point) that washes down from wafer surface number, and preparation EF clean wafers surface effectively.Similarly, the cleaning effect of preparation EL and EN almost is 100%.
In addition, preparation EA and BG are equal to afterwards in specified dilution (promptly being respectively 10: 1 and 5: 1), and difference is that preparation EA does not contain passivator.The result shows, passivator is TAZ in this example, can not only be used as effective metal passivator, and can also strengthen the cleaning of residue and pollutent behind the CMP.
Embodiment 20
Estimate the effectiveness of cleaning residue and pollutent behind the described CMP on the microelectronic device that preparation EA and BG be used for having from it residue and pollutent behind the CMP.Described device is patterning Sematech 854 wafers, has residue behind the CMP of exsiccant CMP slurry and other in its surface.Under 22 ℃, 100rpm the wafer in every kind of situation is used x on rotation/spray apparatus: the specific cleaning combination (1 part of cleaning combination is to x part deionized water) of 1 dilution cleaned 1 minute, then was 30 seconds rinsed with deionized water and drying.Before using Joule scanning electronic microscope (SEM) to clean with after analysis.Cleaning effect is estimated in minimizing by on-chip target compound as indicated above, and utilizes formula (1) to calculate.
Table 9 comprises the result of composition EA mentioned above and BG cleaning effect behind the CMP that specifies under the extent of dilution.
Table 9: the present composition to CMP after the cleaning effect of residue and pollutent
Preparation Extent of dilution Cleaning effect/%
EA
30∶1 80
BG 30∶1 98
BG 10∶1 98
Importantly, embodiment 20 shows, can fully dilute effective preparation and can not damage the cleaning effect of this cleaning combination.This has the benefit that can make the owner reduce the cost that cleans each wafer.
Embodiment 21
Estimate the effectiveness of cleaning residue and pollutent behind the described CMP on the microelectronic device that preparation EA, BG, EJ and EK be used for having from it residue and pollutent behind the CMP.Described device is patterning Sematech 854 wafers, has residue behind the CMP of exsiccant CMP slurry and other in its surface.Under 22 ℃, 100rpm the wafer in every kind of situation is used x on rotation/spray apparatus: the specific cleaning combination (1 part of cleaning combination is to x part deionized water) of 1 dilution cleaned 1 minute, then was 30 seconds rinsed with deionized water and drying.Use the analysis after NanoscopeIIIa atomic force microscope (AFM) is cleaned.As indicated above by minimizing evaluation cleaning effect at on-chip target compound, and utilize formula (1) to calculate.
Table 10 comprises the particle counting result that composition EA, BG, EJ and EK mentioned above clean behind the CMP that specifies under the extent of dilution.
Table 10: the cleaning effect of residue after using the present composition to CMP
Preparation Extent of dilution Particle counting
EA
30∶1 2104
BG 30∶1 217
BG 5∶1 158
EJ 30∶1 1546
EK 10∶1 270
Embodiment 21 shows that further alkaline aqueous clean combination of the present invention preferably includes for example passivator of TAZ, as shown in the relevant less particle counting of the cleaning of adopting preparation BG and EK.
Embodiment 22
Estimate the effectiveness that preparation EH and EI are used for the copper on the microelectronic device that passivation has copper thereon.Described sample wafer comprises the copper crystal seed layer.Under 22 ℃, 100rpm the cleaning combination (1 part of cleaning combination is to 30 parts of deionized waters) with dilution in 30: 1 on rotation/spray apparatus of the wafer in every kind of situation being cleaned 1 minute, then is 30 seconds rinsed with deionized water and drying.Static 10ppm BTA processing and undressed sample are analyzed to compare.Use XPS under 15 ° to 90 ° angle, to analyze.Table 11 comprises preparation EH and the EI copper passivation result with respect to static BTA and unprocessed sample.
Table 11: use the copper passivation of the present composition
Preparation The XPS angle Copper Nitrogen N/Cu
Untreated 15° 95.4 4.6 0.048
90° 98.5 1.5 0.015
BTA 15° 32.6 67.4 2.07
90° 63.3 36.7 0.58
EH 15° 82.6 17.4 0.21
90° 89.3 10.7 0.12
EI 15° 33.3 66.7 2
90° 57.1 42.9 0.75
Nitrogen to the ratio of copper demonstrate preparation with remain in the BTA on the copper or the amount of other passivator (being azoles) after wafer surface contacts.As desired, static BTA preparation and comprise that the preparation J of BTA can stay the BTA thick-layer on described wafer surface.The preparation EH that comprises TAZ then stays thin passivation layer on the surface of described wafer, this point is favourable, because thin passive film is easy to removal in subsequent process steps, and causes organic defective still less.
Figure 30 has further shown the result of table 11.
Embodiment 23
In the presence of preparation EA, BG, EF, EL, EN and EO, test the etch-rate of cobalt, and compare with typical industrial citric acid salt based cleaning agent (the 10wt.% dibasic ammonium citrate in water).Described sample wafer has the plating cobalt of 100  on polish copper.Described wafer is immersed in the preparation of 700rpm stirring.Sample is remained in the solution, removed fully up to cobalt, this can the visual judgement by the color of distinguishing copper and cobalt.
Table 12: use the cobalt etching of the present composition
Preparation Extent of dilution Immersion time (min) Approximate etch-rate (/min)
EA 40∶1 22 4.5
BG 20∶1 >1080 * <0.09 *
EF 20∶1 >1080 * <0.09 *
EL 20∶1 >1080 * <0.09 *
EN 20∶1 45 2.2
EO 20∶1 41 2.4
Citrate solution 40∶1 5 20
*Even still leaving cobalt after 1080 minutes on the sample also removes sample.
As can be seen, the dibasic ammonium citrate clean-out system has maximum etch-rate to cobalt, and preparation of the present invention is the very big improvement to traditional Citrate trianion based cleaning agent.In addition, with preparation EA and BG relatively after as can be known, in composition, add passivator the etch-rate of cobalt reduced to less than 0.5  min -1
Embodiment 24
Residue and pollutent test polishing cleaning effect after using preparation EA and BG to CMP.Used sample wafer is the covering copper wafer that polishes with the CMP slurry in advance.Speed that employing changes under 22 ℃ and 1psi overdraft are at Applied Materials Reflexion TMUse x on the 3rd cylinder of apparatus: the specific cleaning combination (1 part of cleaning combination is to x part deionized water) of 1 dilution then is to adopt Reflexion to the wafer cleaning/polishing in every kind of situation 15 seconds TMMatting behind the standard C MP of apparatus.Analysis after the KLA-SP1 surface deficiency analysis instrument that uses the defective elemental size to be set to 0.2 μ m polishes and cleans.Table 13 comprises that composition EA mentioned above and BG are in the result who specifies the defect concentration under the drum speed.
Table 13: use the polishing of preparation of the present invention under various drum speeds to clean
Preparation Extent of dilution Drum speed (rpm) Average defect concentration (defective/cm 2)
EA 10∶1 63 3.9
EA 10∶1 107 2.4
BG 5∶1 63 2.9
BG 5∶1 107 1.6
As can be seen, EA compares with composition, comprises that the preparation BG of passivator has reduced defect concentration under same condition.
Embodiment 25
Residue and pollutent test polishing cleaning effect after using preparation EA and BG to CMP.Used sample wafer is the covering copper wafer that polishes with the CMP slurry in advance.The overdraft of the drum speed of employing 107rpm and variation is at Applied Materials Reflexion under 22 ℃ TMUse x on the 3rd cylinder of apparatus: the specific cleaning combination (1 part of cleaning combination is to x part deionized water) of 1 dilution then is to adopt Reflexion to the wafer cleaning/polishing in every kind of situation 15 seconds TMMatting behind the standard C MP of apparatus.Analysis after the KLA-SP1 surface deficiency analysis instrument that uses the defective elemental size to be set to 0.2 μ m polishes and cleans.Table 14 comprises that composition EA mentioned above and BG are in the result who specifies the defect concentration under the overdraft.
Table 14: use the polishing of preparation of the present invention under various overdraft to clean
Preparation Extent of dilution Overdraft (psi) Defect concentration (defective/cm 2)
EA 10∶1 0 4.4
EA 10∶1 1 2.2
EA 10∶1 1 2.5
EA 10∶1 2 3.5
BG 5∶1 0 3
BG 5∶1 1 1.1
BG 5∶1 1 1.4
BG 5∶1 2 0.6
As can be seen, EA compares with composition, comprises that the preparation BG of passivator has reduced defect concentration under same condition.
Though embodiment and the feature with reference to example discloses the present invention in a different manner in this article, but be understood that, embodiment mentioned above and feature are not to be used to limit the present invention, and those of ordinary skill in the art can recognize other variation, modification and other the embodiment of existence based on disclosure herein.Therefore the present invention can be interpreted as widely containing the essence of claim of following elaboration and all this variations, modification and the alternative embodiment within the scope.

Claims (48)

1. alkaline aqueous clean combination, it comprises at least a amine, at least a passivator, optional at least a quaternary base, optional at least a reductive agent, He Shui, and wherein said alkaline aqueous clean combination is suitable for having from it on the microelectronic device of chemically machinery polished (CMP) back residue and pollutent and cleans described residue and pollutent.
2. the alkaline aqueous clean combination of claim 1, it comprises described at least a reductive agent.
3. the alkaline aqueous clean combination of claim 2, it comprises xitix.
4. the alkaline aqueous clean combination of claim 1, wherein said at least a passivator comprises and is selected from following material: 1,2,4-triazole (TAZ), tolyl-triazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5-sulfydryl-1,2, the 4-triazole, 1-amino-1,2, the 4-triazole, hydroxybenzotriazole, 2-(5-amino-amyl group)-benzotriazole, 1-amino-1,2, the 3-triazole, 1-amino-5-methyl isophthalic acid, 2, the 3-triazole, 3-amino-1,2, the 4-triazole, 3-sulfydryl-1,2, the 4-triazole, 3-sec.-propyl-1,2, the 4-triazole, 5-phenyl thioether-benzotriazole, carboxyl benzotriazole, the halo benzotriazole, aphthotriazoles, 2-mercaptobenzimidazole (MBI), 2-mercaptobenzothiazole, 4-methyl-2-phenylimidazole, 2-mercaptothiazoline, 5-amino tetrazole (ATA), 5-amino-1,3,4-thiadiazoles-2-mercaptan, 2,4-diamino-6-methyl isophthalic acid, 3, the 5-triazine, thiazole, triazine, the methyl tetrazolium, 1,3-dimethyl-2-imidazolone, 1, the 5-pentylenetetrazole, 1-phenyl-5-mercapto-tetrazole, the diaminomethyl triazine, imidazolidinethione, 4-methyl-4H-1,2,4-triazole-3-mercaptan, 5-amino-1,3,4-thiadiazoles-2-mercaptan, benzothiazole, Tritolyl Phosphate, imidazoles, the benzisoxa diazole, phenylformic acid, ammonium benzoate, and combination.
5. the alkaline aqueous clean combination of claim 1, it is about 0.5  min that the amount of wherein said at least a passivator makes the copper static etch rate effectively -1To about 10  min -1
6. the alkaline aqueous clean combination of claim 2, it also comprises at least a other reductive agent.
7. the alkaline aqueous clean combination of claim 1, wherein amine is (2-25) approximately with respect to the proportional range of passivator: (0.05-15).
8. the alkaline aqueous clean combination of claim 6, wherein amine is (2-25) approximately with respect to the proportional range of Restore All agent: (0.001-25).
9. the alkaline aqueous clean combination of claim 1, wherein said at least a amine comprise and are selected from following amine compound: monoethanolamine, N-Mono Methyl Ethanol Amine (NMEA), N-aminoethyl ethanolamine, N-methylamino ethanol, 1-amino-2-propyl alcohol, amino ethoxy ethanol, diethanolamine, monoisopropanolamine, isobutyl hydramine, C 2-C 8Alkanolamine, triethylenediamine and combination thereof.
10. the alkaline aqueous clean combination of claim 9, it also comprises and is selected from following tertiary amine: trolamine, methyldiethanolamine, triethylamine, N, N-dimethyl glycol amine, N, N-dimethyl diglycolamine and pentamethyl-Diethylenetriaminee (DETA).
11. comprising, the alkaline aqueous clean combination of claim 6, wherein said at least a other reductive agent be selected from following acid: saccharosonic acid, ascorbic acid derivates, gallic acid and combination thereof.
12. the alkaline aqueous clean combination of claim 1, it comprises described at least a quaternary base, and wherein said at least a quaternary base comprises (NR 1R 2R 3R 4) OH, wherein R 1, R 2, R 3And R 4Each other can be identical or different, and be selected from hydrogen, C independently of one another 1-C 10Alkyl and aryl.
13. the alkaline aqueous clean combination of claim 12, wherein amine is about (2-25) with respect to the proportional range of quaternary base: (0.001-10).
14. the alkaline aqueous clean combination of claim 1, wherein said at least a quaternary base comprises Tetramethylammonium hydroxide (TMAH).
15. the alkaline aqueous clean combination of claim 1, it also comprises at least a tensio-active agent.
16. the alkaline aqueous clean combination of claim 15, wherein amine is about (2-25) with respect to the proportional range of tensio-active agent: (0.001-1).
17. the alkaline aqueous clean combination of claim 1, wherein said microelectronic device comprises the goods that are selected from semiconductor chip, flat-panel monitor and MEMS (micro electro mechanical system) (MEMS).
18. the alkaline aqueous clean combination of claim 1, the pH value scope that it has is about 9 to about 12.
19. the alkaline aqueous clean combination of claim 1, residue and pollutent comprise and are selected from following material behind the wherein said CMP: the building material particle, copper and the copper oxide that are derived from the byproduct of reaction of the particle in the CMP polishing slurries, the chemicals that exist, CMP polishing slurries, rich carbon particles, polishing pad particle, brush blanking particle, equipment in the CMP polishing slurries.
20. the alkaline aqueous clean combination of claim 1, wherein said at least a passivator comprises TAZ.
21. the alkaline aqueous clean combination of claim 1 wherein diluted at about 5: 1 described cleaning combination to about 50: 1 scope.
22. the alkaline aqueous clean combination of claim 1, it is selected from preparation BA-CM, wherein all per-cent all by weight, based on the gross weight of described preparation:
Preparation RAMEA 7.2%, TMAH 4%, xitix 16%, gallic acid 28%, EDTA 0.01%, DI water 84.4%
Preparation BBMEA 7.2%, TMAH 4%, xitix 1.6%, TAZ 1%, gallic acid 2.8%, EDTA 0.01%, DI water 83.4%
Preparation BCMEA 7.2%, TMAH 4%, xitix 1.6%, TAZ 3%, gallic acid 2.8%, EDTA 0.01%, DI water 81.4%
Preparation BDMEA 7.2%, TMAH 4%, xitix 1.6%, TAZ 5%, gallic acid 2.8%, EDTA 0.01%, DI water 74.4%, pH 10.3
Preparation BEMEA 8.8%, TMAH 4.9%, xitix 3.43%, TAZ 1.96%, DI water 80.91%
Preparation BFMEA 4.5%, TMAH 2.5%, xitix 1.75%, TAZ 1%, DI water 90.25%, pH11.4
Preparation BGMEA 4.5%, TMAH 2.5%, xitix 1%, gallic acid 1.75%, TAZ 1%, DI water 89.25%, pH10.8
Preparation BHMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, BTA 1.6%, EDTA 0.01%, DI water 82.8%
Preparation BIMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, BTA 8.5%, EDTA 0.01%, DI water 75.9%
Preparation BJMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, carboxyl BTA 2.2%, EDTA 0.01%, DI water 82.8%
Preparation BKMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, carboxyl BTA 11.8%, EDTA 0.01%, DI water 72.6%
Preparation BLMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, ATA 1.2%, EDTA 0.01%, DI water 83.2%
Preparation BMMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, ATA 6.1%, EDTA 0.01%, DI water 78.3%
Preparation BNMEA 9%, TMAH 2.5%, xitix 3.5%, TAZ 1.0%, DI water 84.0%
Preparation BOMEA 4.5%, TMAH 2.5%, xitix 1.75%, TAZ 5.0%, DI water 86.25%
Preparation BOMEA 9%, TMAH 2.5%, xitix 3.5%, TAZ 5.0%, DI water 80.0%
Preparation BQMEA 9%, TMAH 5%, xitix 3.5%, TAZ 2.0%, DI water 81.5%, pH11.6
Preparation BRMEA 9%, TMAH 5%, xitix 2%, gallic acid 3.5%, TAZ 2%, DDBSA 0.11%, DI water 78.39%
Preparation BSMEA 9%, TMAH 5%, xitix 2%, gallic acid 3.5%, TAZ 2%, NATROSOL  250 0.1%, DI water 78.4%
Preparation BTMEA 9%, TMAH 5%, xitix 3.5%, TAZ 2%, NATROSOL  250 0.1%, DI water 80.4%
Preparation BUMEA 9%, TMAH 5%, xitix 3.5%, TAZ 2%, KLUCEL  EF 0.1%, DI water 80.4%
Preparation BVMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ1.96%, NATROSOL  250 1.00%, DI water 79.89%
Preparation BWMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ1.96%, NATROSOL  250 0.50%, DI water 80.39%
Preparation BXMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ1.96%, KLUCEL  EF 1.00%, DI water 79.89%
Preparation BYMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ1.96%, KLUCEL  EF 0.50%, DI water 80.39%
Preparation BZMEA 10.29%, TMAH 5.71%, xitix 4.00%, TAZ2.29%, KLUCEL  EF 1.14%, DI water 76.57%
Formulation C AMEA 9%, xitix 3.5%, TAZ 2%, Witco 1298 Soft Acid 0.11%, DI water 85.39%
Formulation C BMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ 1.96%, Witco 1298 Soft Acid 0.11%, DI water 80.78%, pH12.0
Formulation C C9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% xitix, 82.5wt.%H 2O
Formulation C D9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 82.5wt.%H 2O
Formulation C E9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2% 1,2,4-triazole, 80.5wt.%H 2O
Formulation C F11wt.%1-amino-2-propyl alcohol, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2wt.% xitix, 78.5wt.%H 2O
Formulation C G11wt.%1-amino-2-propyl alcohol, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2wt.% xitix, 2%1,2,4-triazole, 76.5wt.%H 2O
Formulation C H7.2wt.% monoethanolamine, 4wt.% Tetramethylammonium hydroxide, 2.8wt.% gallic acid, 1.6wt.% xitix, 84.4wt.%H 2O
Formulation C I7.2wt.% monoethanolamine, 4wt.% Tetramethylammonium hydroxide, 2.8wt.% gallic acid, 1.6wt.% xitix, 2%1,2,4-triazole, 82.4wt.%H 2O
Formulation C J9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% xitix, 1% benzotriazole, 81.5wt.%H 2O
Formulation C K9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 1% benzotriazole, 81.5wt.%H 2O
Formulation C L11wt.%1-amino-2-propyl alcohol, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2wt.% xitix, 1% benzotriazole, 77.5wt.%H 2O
Formulation C M9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2wt.% xitix, 1% benzotriazole, 79.5wt.%H 2O.
23. test kit, it comprises one or more following reagent that are used to form alkaline aqueous clean combination in one or more containers, described one or more reagent are selected from least a amine, at least a passivator, optional at least a quaternary base, optional at least a other reductive agent, with optional water, and wherein this test kit is suitable for forming the alkaline aqueous clean combination of claim 11.
24. the test kit of claim 23, wherein said alkaline aqueous clean combination comprises at least a reductive agent, and wherein said reductive agent comprises xitix.
25. the test kit of claim 23, wherein said cleaning combination is diluted to about 50: 1 scope with about 5: 1.
26. one kind has the method for cleaning described residue and pollutent on the microelectronic device of residue and pollutent from it, described method comprises makes described microelectronic device contact time enough with alkaline aqueous clean combination, to clean described residue and pollutent from described microelectronic device at least in part, wherein said alkaline aqueous clean combination comprises at least a amine, at least a passivator, optional at least a quaternary base, optional at least a reductive agent, He Shui.
27. comprising, the method for claim 26, wherein said residue and pollutent be selected from residue and pollutent behind the following CMP: the building material particle, copper and the copper oxide that are derived from the particle in the CMP polishing slurries, the chemicals that in described CMP polishing slurries, exist, the byproduct of reaction of described CMP polishing slurries, rich carbon particles, polishing pad particle, brush blanking particle, equipment.
28. the method for claim 26, the time that wherein said contact is carried out is about 15 seconds to about 5 minutes.
29. the method for claim 26, wherein said contact are to carry out to about 50 ℃ temperature range at about 20 ℃.
30. the method for claim 26, wherein said alkaline aqueous clean combination comprises at least a reductive agent.
31. the method for claim 30, wherein said at least a reductive agent comprises xitix.
32. the method for claim 30, wherein said alkaline aqueous clean combination comprises at least a other reductive agent, and described other reductive agent comprises and is selected from following acid: saccharosonic acid, ascorbic acid derivates, gallic acid and combination thereof.
33. the method for claim 26, wherein amine is about (2-25) with respect to the proportional range of passivator: (0.05-15).
34. the method for claim 32, wherein amine is about (2-25) with respect to the proportional range of Restore All agent: (0.001-25).
35. the method for claim 26,
Wherein said at least a amine comprises and is selected from following amine compound: monoethanolamine, N-Mono Methyl Ethanol Amine (NMEA), N-aminoethyl ethanolamine, N-methylamino ethanol, amino ethoxy ethanol, diethanolamine, 1-amino-2-propyl alcohol, monoisopropanolamine, isobutyl hydramine, C 2-C 8Alkanolamine, triethylenediamine and combination thereof; With
Wherein said at least a passivator comprises and is selected from following material: 1,2,4-triazole (TAZ), tolyl-triazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5-sulfydryl-1,2, the 4-triazole, 1-amino-1,2, the 4-triazole, hydroxybenzotriazole, 2-(5-amino-amyl group)-benzotriazole, 1-amino-1,2, the 3-triazole, 1-amino-5-methyl isophthalic acid, 2, the 3-triazole, 3-amino-1,2, the 4-triazole, 3-sulfydryl-1,2, the 4-triazole, 3-sec.-propyl-1,2, the 4-triazole, 5-phenyl thioether-benzotriazole, carboxyl benzotriazole, the halo benzotriazole, aphthotriazoles, 2-mercaptobenzimidazole (MBI), 2-mercaptobenzothiazole, 4-methyl-2-phenylimidazole, 2-mercaptothiazoline, 5-amino tetrazole (ATA), 5-amino-1,3,4-thiadiazoles-2-mercaptan, 2,4-diamino-6-methyl isophthalic acid, 3, the 5-triazine, thiazole, triazine, the methyl tetrazolium, 1,3-dimethyl-2-imidazolone, 1, the 5-pentylenetetrazole, 1-phenyl-5-mercapto-tetrazole, the diaminomethyl triazine, imidazolidinethione, 4-methyl-4H-1,2,4-triazole-3-mercaptan, 5-amino-1,3,4-thiadiazoles-2-mercaptan, benzothiazole, Tritolyl Phosphate, imidazoles, the benzisoxa diazole, phenylformic acid, ammonium benzoate, and combination.
36. the method for claim 26, wherein said alkaline water-based are removed composition and comprised at least a quaternary base, wherein said at least a quaternary base comprises (NR 1R 2R 3R 4) OH, wherein R 1, R 2, R 3And R 4Each other can be identical or different, and be selected from hydrogen, C independently of one another 1-C 10Alkyl and aryl.
37. the method for claim 26, wherein amine is about (2-25) with respect to the proportional range of quaternary base: (0.001-10).
38. the method for claim 26, the pH value scope of wherein said composition is about 9 to about 12.
39. the method for claim 26, wherein said microelectronic device is for being selected from the goods of semiconductor chip, flat-panel monitor and MEMS (micro electro mechanical system) (MEMS).
40. the method for claim 26, it also is included in the use or uses the described alkaline aqueous clean combination of solvent cut before.
41. the method for claim 40, wherein said solvent comprises water.
42. the method for claim 26, wherein said microelectronic device comprises copper bearing material.
43. it is about 0.5  min that the method for claim 42, the amount of wherein said alkaline aqueous clean combination make the copper static etch rate effectively -1To about 10  min -1
44. the method for claim 26, wherein said contact comprise the following method that is selected from: the described cleaning combination of spray on the surface of described microelectronic device; Described microelectronic device is impregnated in the cleaning combination of capacity; With the surface of described microelectronic device with contact with the saturated another kind of material of described cleaning combination; With described microelectronic device is contacted with the round-robin cleaning combination.
45. the method for claim 26, it also is included in described cleaning combination and contacts afterwards with the described microelectronic device of rinsed with deionized water.
46. the method for claim 26, wherein said microelectronic device comprises cobalt.
47. the method for claim 26, residue and pollutent comprise cobalt behind the wherein said CMP.
48. the method for claim 26, wherein said alkaline water-based are removed composition and are comprised the composition that is selected from preparation BA-CM, wherein all per-cent all by weight, based on described total formulation weight amount:
Preparation BAMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, EDTA 0.01%, DI water 84.4%
Preparation BBMEA 7.2%, TMAH 4%, xitix 1.6%, TAZ 1%, gallic acid 2.8%, EDTA 0.01%, DI water 83.4%
Preparation BCMEA 7.2%, TMAH 4%, xitix 1.6%, TAZ 3%, gallic acid 2.8%, EDTA 0.01%, DI water 81.4%
Preparation BDMEA 7.2%, TMAH 4%, xitix 1.6%, TAZ 5%, gallic acid 2.8%, EDTA 0.01%, DI water 74.4%, pH10.3
Preparation BEMEA 8.8%, TMAH 4.9%, xitix 3.43%, TAZ 1.96%, DI water 80.91%
Preparation BFMEA 4.5%, TMAH 2.5%, xitix 1.75%, TAZ 1%, DI water 90.25%, pH11.4
Preparation BGMEA 4.5%, TMAH 2.5%, xitix 1%, gallic acid 1.75%, TAZ 1%, DI water 89.25%, pH10.8
Preparation BHMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, BTA 1.6%, EDTA 0.01%, DI water 82.8%
Preparation BIMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, BTA 8.5%, EDTA 0.01%, DI water 75.9%
Preparation BJMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, carboxyl BTA 2.2%, EDTA 0.01%, DI water 82.8%
Preparation BKMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, carboxyl BTA11.8%, EDTA 0.01%, DI water 72.6%
Preparation BLMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, ATA 1.2%, EDTA 0.01%, DI water 83.2%
Preparation BMMEA 7.2%, TMAH 4%, xitix 1.6%, gallic acid 2.8%, ATA 6.1%, EDTA 0.01%, DI water 78.3%
Preparation BNMEA 9%, TMAH 2.5%, xitix 3.5%, TAZ 1.0%, DI water 84.0%
Preparation BOMEA 4.5%, TMAH 2.5%, xitix 1.75%, TAZ 5.0%, DI water 86.25%
Preparation BOMEA 9%, TMAH 2.5%, xitix 3.5%, TAZ 5.0%, DI water 80.0%
Preparation BQMEA 9%, TMAH 5%, xitix 3.5%, TAZ 2.0%, DI water 81.5%, pH11.6
Preparation BRMEA 9%, TMAH 5%, xitix 2%, gallic acid 3.5%, TAZ 2%, DDBSA 0.11%, DI water 78.39%
Preparation BSMEA 9%, TMAH 5%, xitix 2%, gallic acid 3.5%, TAZ 2%, NATROSOL  250 0.1%, DI water 78.4%
Preparation BTMEA 9%, TMAH 5%, xitix 3.5%, TAZ 2%, NATROSOL  250 0.1%, DI water 80.4%
Preparation BUMEA 9%, TMAH 5%, xitix 3.5%, TAZ 2%, KLUCEL  EF 0.1%, DI water 80.4%
Preparation BVMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ1.96%, NATROSOL  250 1.00%, DI water 79.89%
Preparation BWMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ1.96%, NATROSOL  250 0.50%, DI water 80.39%
Preparation BXMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ1.96%, KLUCEL  EF 1.00%, DI water 79.89%
Preparation BYMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ1.96%, KLUCEL  EF 0.50%, DI water 80.39%
Preparation BZMEA 10.29%, TMAH 5.71%, xitix 4.00%, TAZ2.29%, KLUCEL  EF 1.14%, DI water 76.57%
Formulation C AMEA 9%, xitix 3.5%, TAZ 2%, Witco 1298 Soft Acid 0.11%, DI water 85.39%
Formulation C BMEA 8.82%, TMAH 4.9%, xitix 3.43%, TAZ 1.96%, Witco 1298 Soft Acid 0.11%, DI water 80.78%, pH12.0
Formulation C C9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% xitix, 82.5wt.%H 2O
Formulation C D9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 82.5wt.%H 2O
Formulation C E9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2%1,2,4-triazole, 80.5wt.%H 2O
Formulation C F11wt.%1-amino-2-propyl alcohol, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2wt.% xitix, 78.5wt.%H 2O
Formulation C G11wt.%1-amino-2-propyl alcohol, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2wt.% xitix, 2%1,2,4-triazole, 76.5wt.%H 2O
Formulation C H7.2wt.% monoethanolamine, 4wt.% Tetramethylammonium hydroxide, 2.8wt.% gallic acid, 1.6wt.% xitix, 84.4wt.%H 2O
Formulation C I7.2wt.% monoethanolamine, 4wt.% Tetramethylammonium hydroxide, 2.8wt.% gallic acid, 1.6wt.% xitix, 2%1,2,4-triazole, 82.4wt.%H 2O
Formulation C J9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% xitix, 1% benzotriazole, 81.5wt.%H 2O
Formulation C K9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 1% benzotriazole, 81.5wt.%H 2O
Formulation C L11wt.%1-amino-2-propyl alcohol, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2wt.% xitix, 1% benzotriazole, 77.5wt.%H 2O
Formulation C M9wt.% monoethanolamine, 5wt.% Tetramethylammonium hydroxide, 3.5wt.% gallic acid, 2wt.% xitix, 1% benzotriazole, 79.5wt.%H 2O.
CNA2006800272936A 2005-05-26 2006-05-25 Copper passivating post-chemical mechanical polishing cleaning composition and method of use Pending CN101233221A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US68481205P 2005-05-26 2005-05-26
US60/684,812 2005-05-26
US60/736,036 2005-11-10
US60/773,126 2006-02-13

Publications (1)

Publication Number Publication Date
CN101233221A true CN101233221A (en) 2008-07-30

Family

ID=39898942

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006800272936A Pending CN101233221A (en) 2005-05-26 2006-05-25 Copper passivating post-chemical mechanical polishing cleaning composition and method of use

Country Status (1)

Country Link
CN (1) CN101233221A (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101906638A (en) * 2010-07-21 2010-12-08 河北工业大学 Surface cleaning method of polished silicon substrate material
CN102110641A (en) * 2009-12-29 2011-06-29 中芯国际集成电路制造(上海)有限公司 Method for overcoming tungsten plug sagging shortcoming during chemical-mechanical polishing process
CN102477359A (en) * 2010-11-26 2012-05-30 安集微电子(上海)有限公司 Chemical-mechanical polishing cleaning solution
CN102054683B (en) * 2009-11-10 2012-06-06 中芯国际集成电路制造(上海)有限公司 Rework method of chemically mechanical polishing in copper interconnection process
CN104334706A (en) * 2012-03-18 2015-02-04 安格斯公司 Post-CMP formulation having improved barrier layer compatibility and cleaning performance
CN105308164A (en) * 2013-05-17 2016-02-03 高级技术材料公司 Compositions and methods for removing ceria particles from a surface
CN105826162A (en) * 2015-01-07 2016-08-03 中芯国际集成电路制造(上海)有限公司 Method for reducing fluorine crystal of Al pad and semiconductor device manufacturing method
CN108842150A (en) * 2018-07-23 2018-11-20 铜陵金力铜材有限公司 A kind of copper product surface treatment method
CN109988676A (en) * 2019-04-24 2019-07-09 上海新阳半导体材料股份有限公司 A kind of cleaning solution, preparation method and application
CN109988675A (en) * 2019-04-24 2019-07-09 上海新阳半导体材料股份有限公司 Cleaning solution, preparation method and application after long-acting type chemically mechanical polishing
CN110004449A (en) * 2019-04-24 2019-07-12 上海新阳半导体材料股份有限公司 Cleaning solution, preparation method and application after stable type chemically mechanical polishing
CN110669591A (en) * 2019-09-30 2020-01-10 上海新阳半导体材料股份有限公司 non-TMAH alkali cleaning solution used after chemical mechanical polishing and preparation method thereof
CN110819999A (en) * 2019-11-15 2020-02-21 河北工业大学 Alkaline cleaning solution for removing particles on surface of copper wafer to inhibit galvanic corrosion
CN113136264A (en) * 2021-04-25 2021-07-20 广东富行洗涤剂科技有限公司 Glue removing liquid and process for removing curing glue in optical prism glass processing
CN113430070A (en) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 CoWP-compatible semi-aqueous cleaning solution, and preparation method and application thereof
TWI810354B (en) * 2018-08-30 2023-08-01 日商三菱化學股份有限公司 Cleaning solution, cleaning method, and manufacturing method of semiconductor wafer
WO2024083019A1 (en) * 2022-10-18 2024-04-25 安集微电子科技(上海)股份有限公司 Cleaning composition

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102054683B (en) * 2009-11-10 2012-06-06 中芯国际集成电路制造(上海)有限公司 Rework method of chemically mechanical polishing in copper interconnection process
CN102110641A (en) * 2009-12-29 2011-06-29 中芯国际集成电路制造(上海)有限公司 Method for overcoming tungsten plug sagging shortcoming during chemical-mechanical polishing process
CN101906638A (en) * 2010-07-21 2010-12-08 河北工业大学 Surface cleaning method of polished silicon substrate material
CN101906638B (en) * 2010-07-21 2012-09-19 河北工业大学 Surface cleaning method of polished silicon substrate material
CN102477359A (en) * 2010-11-26 2012-05-30 安集微电子(上海)有限公司 Chemical-mechanical polishing cleaning solution
CN102477359B (en) * 2010-11-26 2015-12-02 安集微电子(上海)有限公司 A kind of chemically mechanical polishing cleaning liquid
CN104334706A (en) * 2012-03-18 2015-02-04 安格斯公司 Post-CMP formulation having improved barrier layer compatibility and cleaning performance
CN105308164A (en) * 2013-05-17 2016-02-03 高级技术材料公司 Compositions and methods for removing ceria particles from a surface
CN105826162A (en) * 2015-01-07 2016-08-03 中芯国际集成电路制造(上海)有限公司 Method for reducing fluorine crystal of Al pad and semiconductor device manufacturing method
CN105826162B (en) * 2015-01-07 2017-12-08 中芯国际集成电路制造(上海)有限公司 Reduce the method and manufacturing method of semiconductor device of aluminium welding pad fluorine crystallization
CN108842150A (en) * 2018-07-23 2018-11-20 铜陵金力铜材有限公司 A kind of copper product surface treatment method
TWI810354B (en) * 2018-08-30 2023-08-01 日商三菱化學股份有限公司 Cleaning solution, cleaning method, and manufacturing method of semiconductor wafer
CN109988676A (en) * 2019-04-24 2019-07-09 上海新阳半导体材料股份有限公司 A kind of cleaning solution, preparation method and application
CN109988675A (en) * 2019-04-24 2019-07-09 上海新阳半导体材料股份有限公司 Cleaning solution, preparation method and application after long-acting type chemically mechanical polishing
CN110004449A (en) * 2019-04-24 2019-07-12 上海新阳半导体材料股份有限公司 Cleaning solution, preparation method and application after stable type chemically mechanical polishing
CN110669591A (en) * 2019-09-30 2020-01-10 上海新阳半导体材料股份有限公司 non-TMAH alkali cleaning solution used after chemical mechanical polishing and preparation method thereof
CN110819999A (en) * 2019-11-15 2020-02-21 河北工业大学 Alkaline cleaning solution for removing particles on surface of copper wafer to inhibit galvanic corrosion
CN113430070A (en) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 CoWP-compatible semi-aqueous cleaning solution, and preparation method and application thereof
CN113136264A (en) * 2021-04-25 2021-07-20 广东富行洗涤剂科技有限公司 Glue removing liquid and process for removing curing glue in optical prism glass processing
WO2024083019A1 (en) * 2022-10-18 2024-04-25 安集微电子科技(上海)股份有限公司 Cleaning composition

Similar Documents

Publication Publication Date Title
CN101233221A (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
TWI576428B (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US7435712B2 (en) Alkaline chemistry for post-CMP cleaning
USRE46427E1 (en) Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
TWI393178B (en) Compositions for processing of semiconductor substrates
CN101146901B (en) Compositions for processing of semiconductor substrates
TWI297730B (en) Alkaline post-chemical mechanical planarization cleaning compositions
EP2028262A2 (en) Improved alkaline chemistry for post-cmp cleaning
KR102041624B1 (en) Cleaning liquid composition for electronic device
US20090120457A1 (en) Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
KR20180137018A (en) Process liquid, substrate cleaning method, and resist removal method
JP2009013417A (en) Post chemical-mechanical planarization (cmp) cleaning composition
WO2008144501A2 (en) New antioxidants for post-cmp cleaning formulations
CN101421386A (en) Metals compatible photoresist and/or sacrificial antireflective coating removal composition
TWI324362B (en) Cleaning solution for semiconductor substrate
TW201634756A (en) Cleaning formulations
WO2008036823A2 (en) Uric acid additive for cleaning formulations
KR101083474B1 (en) Aqueous cleaning composition for semiconductor copper processing
JP4485786B2 (en) Cleaning solution for semiconductor substrates
EP2687589A2 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20080730