CN101088149A - Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency - Google Patents

Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency Download PDF

Info

Publication number
CN101088149A
CN101088149A CNA2005800398380A CN200580039838A CN101088149A CN 101088149 A CN101088149 A CN 101088149A CN A2005800398380 A CNA2005800398380 A CN A2005800398380A CN 200580039838 A CN200580039838 A CN 200580039838A CN 101088149 A CN101088149 A CN 101088149A
Authority
CN
China
Prior art keywords
plasma
frequency
value
substrate
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800398380A
Other languages
Chinese (zh)
Inventor
程家成
蒂莫西·J·吉尼
拉奥·安纳普拉加达
苏布哈什·德希穆克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101088149A publication Critical patent/CN101088149A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Abstract

A method for in-situ monitoring a process in a plasma processing system having a plasma processing chamber is disclosed. The method includes positioning a substrate in the plasma processing chamber. The method also includes striking a plasma within the plasma processing chamber while the substrate is disposed within the plasma processing chamber. The method further includes obtaining a measured plasma frequency that exists after the plasma is struck, the measured plasma frequency value having a first value when the plasma is absent and at least a second value different from the first value when the plasma is present. The method also includes correlating the measured plasma frequency value with an attribute of the process, if the measured plasma frequency value is outside of a predefined plasma frequency value envelope.

Description

Measure the method and apparatus of handling in the plasma frequency monitoring plasma body treatment system
Technical field
Present invention relates in general to the substrate manufacturing technology, particularly, relate to and being used for by measuring the method and apparatus that plasma frequency comes monitoring plasma body treatment system to handle.
Background technology
(for example, Semiconductor substrate or face glass in) the processing, often using plasma such as the substrate of use in flat-panel monitor is made.For example, as the part of substrate processing, substrate is divided into a plurality of tube cores (die) or rectangular area, each tube core or rectangular area all will become integrated circuit.Then, handle substrate, wherein, optionally remove (etching) and deposition materials, to form electronic component thereon by series of steps.
In exemplary plasma treatment, before etching, the emulsion film of usefulness sclerosis (that is, for example, the photoresist mask) coated substrate.Then, optionally remove the emulsion area of sclerosis, make and expose underlying component.Then, substrate is placed in the plasma processing chamber on the substrate support structure, this substrate support structure (being called chuck or base) comprises monopolar electrode or bipolar electrode.Subsequently, also clashed into during suitable etching source and course is entered the room, come the exposed region of etch substrate to form plasma.
Referring now to Fig. 1, show the sketch of capacitance coupling plasma treatment system.Usually, the capacitance coupling plasma treatment system can be equipped with single or two independent RF power supplys.The source RF that is generated by source RF generator 134 is generally used for generating plasma and controls plasma density by capacitive coupling.And the bias voltage RF that is generated by bias voltage RF generator 138 is generally used for controlling DC bias voltage and ion bombardment energy.Matching network 136 further is coupled to source RF generator 134 and bias voltage RF generator 138, is used to make the impedance of RF power supply and the impedance phase coupling of plasma 110.In addition, matching network 136 can also comprise the V/I probe (not shown) that can measure the voltage and current impedance that is sent to plasma 110, and the frequency of the plasma that revise to generate with optimize better plasma process conditions ability.
Usually, one group of suitable gas passes through the inlet of top electrodes 104 from gas distributing system inflow chambers 102 122.These plasma processing gas can be by order ionization to form plasma 110, thereby (for example handle, etching or deposition) be positioned at also the zone of exposing by edge ring (edge ring) 115 as the substrate 114 (for example, Semiconductor substrate or glass plate) on the electrostatic chuck 116 of electrode.
Usually, cooling system 140 is connected to chuck 116, the heat balance when being lighted a fire with the realization plasma.This cooling system itself usually and for example to the helium of 111 suctions of the pump between chuck and the substrate by the cooler by the suction of the cavity in chuck cooling agent, rear portion helium flow (backside He flow)) form.Except the heat of removing generation, helium also makes cooling system control heat radiation apace.That is, the helium pressure of increase has also increased rate of heat transfer subsequently.Most of plasma process system is comprised that also the sophisticated computers of runs software program controls.In typical running environment, be generally specific plasma process system and ad hoc approach configuration and make processing parameter (for example, voltage, air-flow mixing, gas flow rate, pressure etc.).
Known in the common manufacture of substrates of two substrates, dielectric layer is electrically connected by the conductive plug of filling vias.Usually, form opening in the dielectric layer that is arranged with TaN or TiN barrier layer usually, order is filled with the electric conducting material (for example, aluminium (Al), copper (Cu) etc.) that two groups of conduction patterns are electrically contacted then.So just between two active areas (for example, source/drain regions) of substrate, set up and electrically contacted.Usually, by too much electric conducting material on the surface of cmp (CMP) removal dielectric layer.The cvd nitride silicon covering layer is with covering copper then.
Yet, in these or other plasma treatment, usually be difficult to the definite time of determining that the treatment conditions outside the setup parameter change.Especially, along with the use of device dimensions shrink and more advanced low-k materials,, also strict more to the requirement of basicly stable treatment conditions in order to keep uniform etch-rate, to improve output etc.
Especially, pollution will become important problem.Usually, pollution level depends on the initial surface condition of specific plasma treatment (for example, chemistry, power and temperature) and chamber usually.Owing to remove deposit fully and expend time in very much, so usually only when the particle contamination grade reaches unacceptable grade, when must the open plasma treatment system consuming structure (for example, edge ring) or just abundant cleaning plasma treatment system chamber during as predetermined preventive maintenance (PM) a part of to change.
Equally, the hardware loss also will become problem.Along with plasma chamber components is exposed to plasma, himself also can be damaged, and physical characteristic and electrical characteristics can change and produce pollution.In fact, during no brilliant automated cleaning (WAC), clean itself may be damaged parts, for example, and electrostatic chuck (chuck).
So far, also do not have effective method outside the parameter original position (in-situ) whether definite plasma treatment under the situation of not carrying out the initial treatment first time is being set, subsequently part is made substrate and test.That is to say, after handling a collection of substrate manufacturing, from this batch substrate, take out sample substrate and it is tested.If test is determined substrate and is not met the specification of setting, then needs to destroy substrate by the gross.
A solution can be a simplification experimental model of creating plasma process system, fully to obtain the behavior of equipment.Yet the experimental model of establishment is in-problem.For example, in order to extract the parameter of simplifying experiment, can analyze the inoperation plasma chamber of revising.In another technology, can use network analyser to measure the single parts of plasma process system respectively.
Yet, because the electrical characteristics that repeat may to influence the plasma process system parts of plasma treatment self, so even loose relevant (being weak prediction (weaklypredictive) therefore) model also is difficult to obtain.Simplify the establishment of experimental model and seldom can finish, and only just can finish by the professional.
Consider above-mentioned problem, need be used for by measuring the method and apparatus that plasma frequency comes monitoring plasma body treatment system to handle.
Summary of the invention
In one embodiment, the present invention relates to be used in the plasma process system method handled at plasma system in-situ monitoring with plasma processing chamber.This method is included in position substrate in the plasma processing chamber.This method also comprises when being arranged on substrate in the plasma processing chamber at plasma processing chamber inner impact plasma.This method further comprises the measurement plasma frequency that acquisition exists, at least one second value that this measurement plasma frequency value has first value when plasma does not exist and be different from first value when plasma exists after the bump plasma.This method also comprises: if measure plasma frequency value outside predetermined plasma frequency value envelope (envelope), then make the measurement plasma frequency value relevant with processing attribute.
In one embodiment, the present invention relates to be used in the plasma process system device handled at plasma system in-situ monitoring with plasma processing chamber.This device comprises the device that is used in the plasma processing chamber position substrate.This device also comprises the device that clashes into plasma when being arranged on substrate in the plasma processing chamber in plasma processing chamber.This device also comprises the device of the measurement plasma frequency that acquisition exists, at least one second value that this measurement plasma frequency value has first value when plasma does not exist and be different from first value when plasma exists after the bump plasma.If measure plasma frequency value outside predetermined plasma frequency value envelope, then this device further comprises making and measures the plasma frequency value device relevant with processing attribute.
Below, will with detailed description of the present invention these and other characteristic of the present invention be described in more detail in conjunction with the accompanying drawings.
Description of drawings
Show the present invention by example, but be not limited to the present invention, in the accompanying drawings, identical reference number is represented components identical; Wherein:
Fig. 1 shows the sketch of capacitance coupling plasma treatment system;
Fig. 2 shows the etched simplification statistical disposition control of one group of capping oxide in specific same plasma treatment system curve chart according to an embodiment of the invention;
Fig. 3 shows the sketch of the Fig. 2 that is added with rear portion He flow graph according to an embodiment of the invention;
Fig. 4 shows the sketch of Fig. 2 of the measurement impedance of adding 27MHz according to an embodiment of the invention at V/I probe place;
Fig. 5 shows the sketch of Fig. 2 of the measurement impedance of adding 2MHz according to an embodiment of the invention at V/I probe place;
Fig. 6 shows the sketch of Fig. 2 of the measuring frequency of adding 27MHz according to an embodiment of the invention at V/I probe place;
Fig. 7 shows the sketch that adds the Fig. 2 that measures impedance phase angle according to an embodiment of the invention at V/I probe place; And
Fig. 8 has gone out to be used for according to an embodiment of the invention the sketch of the method for in-situ monitoring processing.
Embodiment
Now, describe the several preferred embodiments of the present invention shown in reference to the accompanying drawings in detail the present invention.In the following description, in order to provide, will set forth a plurality of details to thorough of the present invention.Yet, it is apparent to those skilled in the art that some or all that do not have these details also can implement the present invention.In other cases, for fear of the present invention being caused unnecessary obscuring, do not describe well-known treatment step and/or structure in detail.
Although do not wish to be bound by theory, the inventor believes here, and the plasma parameter skew of measuring easily basically can be relevant with the substrate attribute skew that is difficult to basically measure.Usually, offset table is shown in the scope of statistics of setting or the data point outside the value envelope.That is, skew can be to add up more than the upper control limit or the data point below the statistics lower control limit.In plasma treatment, any can not detect or unexpected skew all may place risk with a large amount of backing materials.
For example, in normal operating period, the expectation plasma parameter remains in particular range or the value envelope (that is, for one group of impedance of each plasma frequency, for one group of phase angle of each plasma frequency, for the particular frequency range of each plasma frequency, automatic bias etc.).This scope is 3 standard deviations (or 3 σ) of some targets or baseline normally.
Usually, standard deviation (σ) is the square root of variable.It is the most frequently used in distribution measuring.Usually, if the mean value of known normal distribution and standard deviation then can be calculated the percentile rank relevant with any given mark (score) (that is, data point etc.).In normal distribution, about 68% mark in a standard deviation of mean value, about 95% mark in two standard deviations of mean value, about 99% mark in three standard deviations of mean value,
σ=∑ (X-μ) 2/ N equation (1)
Wherein, X is a particular fraction, and μ is a mean value, and N is the mark number.
Yet, optimization plasma treatment prescription, thus its article on plasma body parameter is very responsive.Therefore, for the given problem in the plasma process system, can make substrate attribute skew (that is unsuitable etch-rate etc.) and plasma parameter skew (that is, for specific frequency impedance value greater than 3 σ etc.) relevant.That is, particular problem also can cause one group of skew of plasma and substrate.Common plasma treatment problem (therefore possible processing skew) comprises the room temperature outside chamber contamination, plasma structure damage and deterioration, air pressure leakage, air-flow mixed problem, the regulation, bad RF cable, the inappropriate cable that is connected etc.
In one embodiment, can determine correlation between the resistance shift of RF power supply of characteristic frequency and the substrate attribute skew (for example, unsuitable photoresist etch-rate etc.).
In another embodiment, can determine correlation between frequency shift (FS) in the frequency-tuned plasma systems and the substrate attribute skew (for example, unsuitable photoresist etch-rate etc.).Usually, frequency-tuned plasma systems can change a class frequency that is used to generate plasma, so that the reflection power during handling minimizes.As a result, frequency response is in the variation of plasma impedance and change.
In another embodiment, can determine correlation between phase angle skew and the substrate attribute skew (for example, unsuitable photoresist etch-rate etc.) of the RF power supply of characteristic frequency.
In another embodiment, can determine association between the skew of automatic bias and the substrate attribute skew (for example, unsuitable photoresist etch-rate etc.).
Usually, must be just before substrate (for example, between substrate and the plasma) form electric field, it allows the plasma ion bombardment substrate of enough energy.Usually automatic bias and the potential difference between the plasma discharge voltage known to are big more, and substrate attracts the trend of plasma ion more bigger.Yet, because potential difference also may be present in the plasma discharge participant and make plasma ion other non-target surface from the plasma chamber that substrate-transfer is come out (promptly, process chamber wall, top electrode etc.) between, so automatic bias also must have sizable potential difference with these surfaces.Afterwards, thus the problem that can influence plasma and influence substrate also will influence automatic bias.
As mentioned above, plasma process system provides energy by the RF power supply of some kinds usually.Usually, source RF generator is used for generating and the control plasma density, and bias voltage RF generator is generally used for controlling plasma DC bias voltage and ion bombardment energy.These RF sources usually again the matching network that mates of the impedance phase of the impedance by making the RF power supply and plasma be coupled to plasma.
In addition, matching network can also comprise the V/I probe, and it can measuring voltage (V), the voltage (V) of electric current (I), plasma and the phase angle (θ) between the electric current (I), impedance (Z), through-put power, forward power, reflection power, reactive power, reflection coefficient etc.In addition, matching network can also change the plasma frequency that generates in the setting range value envelope, to optimize plasma better at treatment conditions.As state before, the plasma process system that can change a class frequency that is used to generate plasma typically refers to frequency-tuned plasma systems.
Generally can obtain through-put power by following equation:
Power=VxIxcos (θ) equation (2)
Generally can obtain impedance (plural number) by following equation:
Z=V 0/ I 0=R+jX equation (3)
Wherein, V 0Be fundamental voltage (crest voltage), I 0Be basic current (peak current), R is a true impedance, J=sqrt (1) (imaginary part of plural number), and X is a reactance.Reactance is each the AC cycle electronic component, stores and the expression that discharges as the energy range of electric current and voltage fluctuation along with the generation signal with angular frequency of being represented by ω.
ω is the angular frequency by the signal of voltage source generation, and can following form represent:
ω=2 π (Frequency) equatioies (4)
The phase angle of plasma impedance can following form be represented:
Phase Angle (θ)=tan -1(X/R) equation (5)
Wherein, R=Zcos (θ), and X=Zsin (θ).
Now, with reference to Fig. 2, show according to an embodiment of the invention in the process in several weeks the curve chart of one group of etched simplification statistical disposition control of capping oxide in specific same plasma treatment system.Usually, the quality representation in the plasma process system meets the requirements.Meet the consistent degree of specification (for example, target, tolerance etc.) that generally is meant in substrate and pre-provisioning request or the prescription.
In addition, given plasma treatment also can comprise uncertainty arbitrarily, just the variance known to (variance).Generally, variance reduce often directly relevant with the corresponding raising of quality.Some reasons of variance are considered to normal or acceptable, so need not it is taken measures.For example, by different plasma process systems being carried out the nuance in the caused manufacturing substrate of same treatment.That is, in making a plasma process system and another trial that is complementary, deviation almost is bound to occur.Other reason of variance is undesired or special.They are not the desired parts of handling, and therefore may need the corrective action of some type.That is, they have exceeded the scope of normal deviate.For example, can damage the moisture of substrate in the plasma chamber.
In the figure, target is the mean etch rate of the about 110.52nm/min of expectation, and tolerance is meant etch-rate is maintained in the lower control limit (E/R LCL) of the upper control limit (E/RUCL) of about 120.12nm/min and about 100.91nm/min.Although can use other plasma process system, this special etch group is at Lam ResearchExelan TMCarry out in the 2300 dual frequency plasma treatment systems.Processing parameter is as follows:
Pressure: 70 person of outstanding talent's holders (milli-torr)
Power (2MHz): 1000.0 watts
Power (27MHz): 2000.0 watts
Admixture of gas: 5SCCM CH 2F 2, 6SCCM C 4F 8, 180SCCM N 2, and 200SCCM AR
Temperature: at TP (top) is 80 ℃, is 20 ℃ at ESC
Processing time: 60 seconds
CW:37
It is the etch-rate of the capping oxide of unit that curve 202 has reflected in the process in several weeks with nanometer per minute (nm/min).In analyzing the process of this figure, two offset points are clearly: on April 6th, 2004 row 204 and in 206 of execution on April 9th, 2004.As mentioned above, scope of statistics that the skew expression is set or the data point outside the value envelope, it can be caused by multiple factor (for example, the cable of the room temperature outside chamber contamination, plasma structure damage or deterioration, air pressure leakage, air-flow mixed problem, the regulation, bad RF cable, inappropriate connection, rear portion He stream etc.).
Now, with reference to Fig. 3, show the sketch of the Fig. 2 that is added with rear portion He stream according to an embodiment of the invention.With identical before, it is the etch-rate of the capping oxide of unit that curve 202 has reflected in the process in several weeks with nanometer per minute (nm/min).Similarly, the corresponding rear portion He that measures flowed during curve 208 had reflected each etching.
Shown in 6 days April in 2004, etch plot 202 and He flow curve 208 all illustrate skew at 204 places.That is, along with He stream is reduced to about 33.5SCCM, etch-rate also is reduced to about 33.4nm/min substantially, well beyond in the 3 σ lower control limits (LCL) of 100.91nm/min.
Because etch-rate and He stream are all in the reflection skew of identical point place, so this may mean correlation.Therefore, He stream may be the main cause of etch-rate skew on April 6th, 2004.On the contrary, the He stream that reduces because showing as putting 204 places and reducing He, the etch plot that reduces 202 in the 9 days April in 2004 of the about 33.5nm/min in 206 places do not flow correlation closely, so may not be the main cause of the etch rate excursion on April 9th, 2004.
Now, with reference to Fig. 4, show the sketch of Fig. 2 of the measurement impedance of adding 27MHz according to an embodiment of the invention at V/I probe place.Identical with the front, it is the etch-rate of the capping oxide of unit that curve 202 has reflected in the process in several weeks with nanometer per minute (nm/min).In addition, curve 402 has reflected the corresponding measurement impedance of 27MHz.
As mentioned above, the desired destination etch-rate is approximately 110.52nm/min, has the upper control limit (E/R UCL) of about 120.12nm/min and the lower control limit (E/R LCL) of about 100.91nm/min.The desired destination impedance is approximately 3.88Ohm, has the upper control limit (Z UCL) of about 4.02Ohm and the lower control limit (ZLCL) of about 3.75Ohm.The measurement impedance 402 of etch plot 202 and 27MHz all on April 6th, 2004 204 and near the 206a on April 9th, 2004 and 206b, skew is shown.Therefore, the skew (more than the Z UCL or below Z LCL) of measurement impedance demonstrates with reduce in a large number (that is the attribute skew) of the following etch-rate of E/R LCL and is correlated with.
Although do not wish to be bound by theory, the inventor believes that the factor that can change plasma impedance basically can also cause the bigger change of substrate attribute, for example, and etch-rate.These factors can comprise: the problem that the change of the skew of deterioration, air-flow, air pressure or the temperature of chamber material (for example, electrode, sealing ring etc.), the change of substrate type, chuck surface, RF generator exist, RF connect, bad RF cable etc.
Now, with reference to Fig. 5, show the sketch of Fig. 2 of the measurement impedance of adding 2MHz according to an embodiment of the invention at V/I probe place.With identical before, it is the etch-rate of the capping oxide of unit that curve 202 has reflected in the process in several weeks with nanometer per minute (nm/min).In addition, curve 502 has reflected the corresponding measurement impedance of 2MHz.
As mentioned above, the desired destination etch-rate is approximately 110.52nm/min, has the upper control limit (E/R UCL) of about 120.12nm/min and the lower control limit (E/R LCL) of about 100.91nm/min.The desired destination impedance is approximately 145.73Ohm, has the upper control limit (Z UCL) of about 149.16Ohm and the lower control limit (Z LCL) of about 142.29Ohm.
The measurement impedance 502 of etch plot 202 and 2MHz all the 204a on April 6th, 2004 and 204b and on April 9th, 2004 206 near skew is shown.As shown in Figure 5, the skew (more than the Z UCL or below Z LCL) of measurement impedance demonstrates with reduce in a large number (that is the attribute skew) of the following etch-rate of E/R LCL and is correlated with.
Now, with reference to Fig. 6, show the sketch of Fig. 2 of the measuring frequency of adding 27MHz according to an embodiment of the invention at V/I probe place.With identical before, frequency-tuned plasma systems can change a class frequency that is used to generate plasma, during handling reflection power is minimized.As a result, frequency response is in the variation of plasma impedance and change.
With identical before, it is the etch-rate of the capping oxide of unit that curve 202 has reflected in the process in several weeks with nanometer per minute (nm/min).In addition, curve 602 has reflected the corresponding measuring frequency of 27MHz.As mentioned above, the desired destination etch-rate is approximately 110.52nm/min, has the upper control limit (E/R UCL) of about 120.12nm/min and the lower control limit (E/R LCL) of about 100.91nm/min.The expectation target frequency of 27MHz is approximately 27.47680MHz, has the upper control limit (FREQ UCL) of about 27.52331MHz and the lower control limit (FREQ LCL) of about 27.43029MHz.
The measuring frequency 602 of etch plot 202 and 27 MHz all the some 204a on April 6th, 2004 and 204b and on April 9th, 2004 point 206 near skew is shown.In the figure that illustrates, skew is defined as surpassing the point outside 3 standard deviations of plot mean (3 σ).Therefore, the skew of measuring frequency (more than FREQ UCL or below FREQLCL) demonstrates with reduce in a large number (that is the attribute skew) of the following etch-rate of E/R LCL and is correlated with.
Now, with reference to Fig. 7, show the sketch that adds the Fig. 2 that measures impedance phase angle according to an embodiment of the invention at V/I probe place.With identical before, it is the etch-rate of the capping oxide of unit that curve 202 has reflected in the process in several weeks with nanometer per minute (nm/min).In addition, curve 702 has reflected the corresponding measured phase angle of impedance.
As mentioned above, the desired destination etch-rate is approximately 110.52nm/min, has the upper control limit (E/R UCL) of about 120.12nm/min and the lower control limit (E/R LCL) of about 100.91nm/min.The expectation target of measuring impedance phase angle is approximately 59.67 °, has about-58.17 ° upper control limit (ANGLE UCL) and about-61.16 ° lower control limit (ANGLE LCL).
Etch plot 202 and measured phase angle 702 all near the point 204 on April 6th, 2004 and the some 206a in about 9 days in April, 2004 and 206b place skew is shown.In the drawings, skew is defined as surpassing the point outside 3 standard deviations of plot mean (3 σ).Therefore, the skew of measured phase angle (more than the ANGLE UCL or below ANGLE LCL) demonstrates with reduce in a large number (that is the attribute skew) of the following etch-rate of E/R LCL and is correlated with.
Now, with reference to Fig. 8, show the sketch that is used for according to an embodiment of the invention in the method for plasma process system in-situ monitoring processing with plasma processing chamber.At first, in step 802, with substrate orientation in plasma processing chamber.Next, in step 804, when being arranged on substrate in the plasma processing chamber, in plasma processing chamber, clash into plasma.Then, in step 806, the measurement plasma frequency of acquisition existence after the bump plasma, this measurement plasma frequency has first value when plasma does not exist and at least one second value that is different from first value when plasma exists.In step 808,, then in step 810, make the measurement plasma frequency value relevant with processing attribute if measure plasma frequency value outside predetermined plasma frequency value envelope.If not then in step 812, do not make that to measure plasma frequency value relevant with processing attribute.
Although described the present invention, exist to fall into variation, the change in the scope of the invention and be equal to replacement according to several preferred embodiments.For example, although in conjunction with plasma process system (for example, the Exelan of Lam Research TM, Exelan TMHP, Exelan TMHPT, 2300 TM, Versys TMStar etc.) describe the present invention, but also can use other plasma process system.The present invention can also use the substrate of various diameters (for example, 200mm, 300mm, LCD etc.).Should also be noted that the optional mode that has multiple enforcement the inventive method.
Advantage of the present invention comprises and being used for by measuring the method and apparatus that plasma frequency comes monitoring plasma body treatment system to handle.Other advantages comprise the use that can be used for diagnosing with the basic reliable signal of monitoring purposes.
Although disclose exemplary embodiment and optimal mode, change and the change that can make the disclosed embodiments still remain in the scope of the theme of the present invention that is defined by the following claims and spirit.

Claims (44)

1. one kind is used for the method handled at the plasma process system in-situ monitoring with plasma processing chamber, comprising:
Position substrate in described plasma processing chamber;
When being arranged on described substrate in the described plasma processing chamber,
Clash into plasma in the described plasma processing chamber;
The measurement plasma frequency of acquisition existence after the described plasma of bump, described measurement plasma frequency value has first value when described plasma does not exist and at least one second value that is different from described first value when described plasma exists;
If described measurement plasma frequency value then makes described measurement plasma frequency value relevant with the attribute of described processing outside predetermined plasma frequency value envelope.
2. method according to claim 1 wherein, is carried out the described measurement plasma frequency value of described acquisition at target RF frequency place.
3. method according to claim 2, wherein, described target RF frequency is approximately 2MHz.
4. method according to claim 2, wherein, described target RF frequency is approximately 27MHz.
5. method according to claim 2, wherein, described target RF frequency is approximately 13.56MHz.
6. method according to claim 2, wherein, described plasma process system comprises the RF generator with V/I probe, uses described V/I probe to carry out the described measurement plasma frequency value of described acquisition.
7. method according to claim 6, wherein, described measurement plasma frequency value is represented the value of phase angle measurement.
8. method according to claim 6, wherein, described measurement plasma frequency value is represented the value of amplitude measurement.
9. method according to claim 1, wherein, described predetermined plasma frequency value envelope comprises the plasma frequency lower control limit.
10. method according to claim 9, wherein, described plasma frequency lower control limit is in the scope of 3 σ of predetermined plasma frequency desired value.
11. method according to claim 1, wherein, described predetermined plasma frequency value envelope comprises the plasma frequency upper control limit.
12. method according to claim 11, wherein, described plasma frequency upper control limit is in the scope of 3 σ of predetermined plasma frequency desired value.
13. require 1 described method according to the wooden fork profit, wherein, described predetermined plasma frequency value envelope comprises plasma frequency lower control limit and plasma frequency upper control limit.
14. method according to claim 1, wherein, described substrate is a semiconductor wafer.
15. method according to claim 1, wherein, described substrate is a glass plate.
16. method according to claim 1, wherein, the etch-rate during the described substrate of described attribute representation's etching of described substrate.
17. method according to claim 1, wherein, the selectivity speed during the described substrate of described attribute representation's etching of described substrate.
18. method according to claim 1, wherein, the etching homogeneity during the described substrate of described attribute representation's etching of described substrate is measured.
19. method according to claim 1, wherein, described plasma process system is the capacitance coupling plasma treatment system.
20. method according to claim 1, wherein, described plasma process system is the inductively coupled plasma treatment system.
21. method according to claim 1, wherein, described plasma process system is the atmospheric plasma processes system.
22. method according to claim 1, wherein, described plasma process system is a frequency tuning coupled plasma treatment system.
23. a device that is used in the plasma process system in-situ monitoring processing with plasma processing chamber comprises:
The device of position substrate in described plasma processing chamber;
When being arranged on described substrate in the described plasma processing chamber, in described plasma processing chamber, clash into the device of plasma;
Be used to obtain the device of the measurement plasma frequency of existence after the described plasma of bump, described measurement plasma frequency value has first value when described plasma does not exist and at least one second value that is different from described first value when described plasma exists;
If described measurement plasma frequency value makes the described measurement plasma frequency value device relevant with the attribute of described processing outside predetermined plasma frequency value envelope.
24. device according to claim 23 wherein, is carried out the described measurement plasma frequency value of described acquisition at target RF frequency place.
25. device according to claim 24, wherein, described target RF frequency is approximately 2MHz.
26. device according to claim 24, wherein, described target RF frequency is approximately 27MHz.
27. device according to claim 24, wherein, described target RF frequency is approximately 13.56MHz.
28. device according to claim 24, wherein, described plasma process system comprises the RF generator with V/I probe, and the described device that obtains described measurement plasma frequency comprises the described V/I probe of use.
29. device according to claim 28, wherein, described measurement plasma frequency value is represented the value of phase angle measurement.
30. device according to claim 28, wherein, described measurement plasma frequency value is represented the value of amplitude measurement.
31. device according to claim 23, wherein, described predetermined plasma frequency value envelope comprises the plasma frequency lower control limit.
32. device according to claim 31, wherein, described plasma frequency lower control limit is in the scope of 3 σ of predetermined plasma frequency desired value.
33. device according to claim 23, wherein, described predetermined plasma frequency value envelope comprises the plasma frequency upper control limit.
34. device according to claim 33, wherein, described plasma frequency upper control limit is in the scope of 3 σ of predetermined plasma frequency desired value.
35. device according to claim 23, wherein, described predetermined plasma frequency value envelope comprises plasma frequency lower control limit and plasma frequency upper control limit.
36. device according to claim 23, wherein, described substrate is a semiconductor wafer.
37. device according to claim 23, wherein, described substrate is a glass plate.
38. device according to claim 23, wherein, the etch-rate during the described substrate of described attribute representation's etching of described substrate.
39. device according to claim 23, wherein, the selectivity speed during the described substrate of described attribute representation's etching of described substrate.
40. device according to claim 23, wherein, the etching homogeneity during the described substrate of described attribute representation's etching of described substrate is measured.
41. device according to claim 23, wherein, described plasma process system is the capacitance coupling plasma treatment system.
42. device according to claim 23, wherein, described plasma process system is the inductively coupled plasma treatment system.
43. device according to claim 23, wherein, described plasma process system is the atmospheric plasma processes system.
44. device according to claim 23, wherein, described plasma process system is a frequency tuning coupled plasma treatment system.
CNA2005800398380A 2004-09-27 2005-09-23 Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency Pending CN101088149A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/952,562 2004-09-27
US10/952,562 US20060065632A1 (en) 2004-09-27 2004-09-27 Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency

Publications (1)

Publication Number Publication Date
CN101088149A true CN101088149A (en) 2007-12-12

Family

ID=36097838

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800398380A Pending CN101088149A (en) 2004-09-27 2005-09-23 Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency

Country Status (6)

Country Link
US (1) US20060065632A1 (en)
JP (1) JP2008515199A (en)
KR (1) KR20070068420A (en)
CN (1) CN101088149A (en)
TW (1) TW200624600A (en)
WO (1) WO2006041656A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102721670A (en) * 2012-06-28 2012-10-10 南京邮电大学 Method for measuring frequency of semiconductor plasma
CN111937114A (en) * 2018-04-13 2020-11-13 东京毅力科创株式会社 Apparatus and method for controlling ion energy distribution while processing plasma

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4416569B2 (en) * 2004-05-24 2010-02-17 キヤノン株式会社 Deposited film forming method and deposited film forming apparatus
US7323116B2 (en) * 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
KR100784824B1 (en) * 2005-11-04 2007-12-14 한국표준과학연구원 Plasma diagnostic apparatus and method
US7902991B2 (en) * 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
JP6113450B2 (en) * 2012-09-07 2017-04-12 株式会社ダイヘン Impedance adjustment device
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) * 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
KR102021961B1 (en) * 2014-01-13 2019-11-04 삼성전자주식회사 method for managing a semiconductor manufacturing equipment
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP2018041217A (en) * 2016-09-06 2018-03-15 東京エレクトロン株式会社 Abnormality detection method and semiconductor manufacturing apparatus

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US6063234A (en) * 1997-09-10 2000-05-16 Lam Research Corporation Temperature sensing system for use in a radio frequency environment
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6302966B1 (en) * 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
TW483037B (en) * 2000-03-24 2002-04-11 Hitachi Ltd Semiconductor manufacturing apparatus and method of processing semiconductor wafer using plasma, and wafer voltage probe
JP3977114B2 (en) * 2002-03-25 2007-09-19 株式会社ルネサステクノロジ Plasma processing equipment
US20040027209A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Fixed matching network with increased match range capabilities

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102721670A (en) * 2012-06-28 2012-10-10 南京邮电大学 Method for measuring frequency of semiconductor plasma
CN102721670B (en) * 2012-06-28 2014-06-04 南京邮电大学 Method for measuring frequency of semiconductor plasma
CN111937114A (en) * 2018-04-13 2020-11-13 东京毅力科创株式会社 Apparatus and method for controlling ion energy distribution while processing plasma

Also Published As

Publication number Publication date
KR20070068420A (en) 2007-06-29
WO2006041656A3 (en) 2007-06-14
WO2006041656B1 (en) 2007-08-09
JP2008515199A (en) 2008-05-08
US20060065632A1 (en) 2006-03-30
WO2006041656A2 (en) 2006-04-20
TW200624600A (en) 2006-07-16

Similar Documents

Publication Publication Date Title
CN101088147B (en) Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
CN101088149A (en) Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
CN101088148A (en) Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
CN101095379B (en) Low-pressure removal of photoresist and etch residue
TWI427684B (en) Methods and apparatus for in-situ substrate processing
CN1684224B (en) Methods and array for creating a mathematical model of a plasma processing system
US7288488B2 (en) Method for resist strip in presence of regular low k and/or porous low k dielectric materials
JP2001527288A (en) Improved oxide layer etching method
US7354525B2 (en) Specimen surface processing apparatus and surface processing method
CN100423222C (en) Method and device for detecting contact holes in plasma processing system
Hussein et al. Particle control in dielectric etch chamber
Srinivasan et al. Performance and productivity improvements in an advanced dielectric etch reactor for sub 0.3/spl mu/m applications
JP2002124485A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication