AU2450300A - Methods of fabricating etched structures - Google Patents

Methods of fabricating etched structures Download PDF

Info

Publication number
AU2450300A
AU2450300A AU24503/00A AU2450300A AU2450300A AU 2450300 A AU2450300 A AU 2450300A AU 24503/00 A AU24503/00 A AU 24503/00A AU 2450300 A AU2450300 A AU 2450300A AU 2450300 A AU2450300 A AU 2450300A
Authority
AU
Australia
Prior art keywords
layer
mask
target
sacrificial
target material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
AU24503/00A
Other versions
AU765894B2 (en
Inventor
Michael Cowin
Richard Vincent Penty
Ian Hugh White
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Bristol
Original Assignee
University of Bristol
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Bristol filed Critical University of Bristol
Publication of AU2450300A publication Critical patent/AU2450300A/en
Application granted granted Critical
Publication of AU765894B2 publication Critical patent/AU765894B2/en
Anticipated expiration legal-status Critical
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/124Geodesic lenses or integrated gratings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Diffracting Gratings Or Hologram Optical Elements (AREA)

Description

WO 00/48236 PCT/GBOO/00423 -1 METHODS OF FABRICATING ETCHED STRUCTURES The present invention relates to methods of fabricating etched structures. The invention is particularly 5 suited to the fabrication of semiconductor devices and optical devices formed by etching target materials carried by substrate layers. BACKGROUND OF THE INVENTION 10 The success of producing optical devices for use in optical signal processing is very much dependent upon the method used for the fabrication of those devices. Such devices include star-couplers, commonly used in 15 fabrication of Y-branch splitters and combiners, and transmission and reflection gratings. These devices are particularly affected by the resolution of the definition of vertices produced by existing mask and etch techniques usually used for their manufacture. Due 20 to non-perfect mask quality and the limited resolution of the photolithographic process, blunted vertices often result in a reduction in performance of the resulting component. 25 One example of such a device, a WDM (wavelength division multiplexed) optical signal demultiplexer, makes use of grating elements 10 of the type shown in Figure 1. An incident wavefront 3 is split into multiple sections and a constant phase delay added to 30 each section upon reflection by each transmission grating element 10. Each section of the wavefront emerges from the grating and interferes with the other section to produce an interference pattern in the far field 5. The pattern consists of a series of peaks and 35 troughs corresponding to where constructive and destructive interference occur respectively. As such WO 00/48236 PCT/GBOO/00423 -2 this component can be used to spatially separate a wavelength division multiplexed signal. The component separates each wavelength such that it can be detected independently of the others. 5 The performance of such a component is dependent upon many factors such as material absorption, birefringence and dispersion. However, the quality of the definition of the grating elements 10 is also of paramount 10 importance. Imperfect grating element formation such as rounding of the element facet vertices results in reduced grating performance. As can be seen from Figure 2, corner rounding due to the limited resolution of the mask and the photolithographic process leads to a 15 grating element that has an effective reflective facet length 20 which is less than the designed nominal length 18. The rounding of the corners results in scattering and loss of light into the device material. 20 In addition, the reduction of the facet length results in a variation of the amplitude of the diffracted gaussian field as illustrated in Figure 3. The result of this upon the image 5 in the far field of the device is a transfer of power out of the main mode into higher 25 order interference modes, resulting in a reduction in the diffractive performance of the component. A previously-considered method for improving this situation uses a double mask technique. Such previous 30 methods have concentrated upon the fabrication of Y junctions utilising dielectric masking layers to allow definition of two overlaid masking layers. However, in these double mask techniques, alternative masking materials such as dielectric, metal or InP etch stop 35 layers must be used. These layers themselves require deposition, etch and removal, which may degrade the WO 00/48236 PCT/GBOO/00423 -3 target material. In addition, using the previous double mask technique, the number of processing steps is increased, and accurate overlaying of the masks can be difficult to achieve. 5 Previous reports of using the double mask technique have concentrated upon the use of SiO2 and InP etch stop mask layers to achieve the two separate masking layers required to define a Y junction. For example, see Y. Shani et al., "Buried Rib Passive Waveguide Y 10 Junctions with Sharp . Vertex on InP",IEEE Phot. Tech Lett., 3(3), 210-212, (1991), and J.J.G.M. van der Tol et al., "Sharp Vertices in Asymmetric Y-Junctions by Double Masking", IEEE Phot. Tech Lett., 6(2), 249-251, (1994). 15 Accordingly, it is desirable to provide an improved fabrication technique that can overcome or limit the aforementioned disadvantages of the prior methods. 20 SUMMARY OF THE PRESENT INVENTION According to the present invention, there is provided a method of fabricating an etched structure in a target material, the method comprising: forming a first mask layer on the target material, 25 the first mask layer defining a first predetermined pattern of exposed material; depositing a sacrificial layer over the first mask layer and exposed material; forming a second mask layer on the sacrificial 30 layer, the second mask layer defining a second predetermined pattern of exposed material; and etching the sacrificial and target material to form an etched structure in the target material defined by the combination of the first and second 35 predetermined patterns.
WO 00/48236 PCT/GBOO/00423 -4 BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 illustrates an optical device; Figures 2 and 3 illustrate the effects of non-perfect fabrication of the device of Figure 1; 5 Figure 4 shows another optical device; Figure 5 illustrates steps in a fabrication method embodying the present invention; Figure 6 is a scanning electron microscope image of part of the optical device of Figure 4 fabricated using 10 a previously considered single mask technique; Figure 7 is a scanning electron microscope image of part of the optical device of Figure 4 fabricated using a multi-mask technique embodying the present invention; and 15 Figure 8 illustrates another method embodying the present invention. DESCRIPTION OF THE PREFERRED EMBODIMENTS 20 A method embodying the present invention of fabricating an optical device will now be described in relation to the manufacture of a double grating device suitable for use in a wavelength division multiplexed optical signal transmission system. The device is itself the subject 25 of a co-pending patent application. This device is purely exemplary, since the technique is applicable to the manufacture of optical or electronic device etched into a material. 30 The exemplary device is shown in plan in Figure 4, and it can be seen that at least some of the performance of the device is dependent upon the resolution of the definition of the outer vertices of the elements, as discussed above. 35 A method of fabricating the device of Figure 4 is shown WO 00/48236 PCT/GBOO/00423 -5 in Figures 5 (a) to (d). A plan view of the device at each step in the process, together with a cross sectional view of the structure as viewed from perspective AA. The device is formed in a layer of 5 target material 21 which is carried by a substrate 20. For the exemplary optical device, the target layer is a polymer layer, and the substrate could be silicon. (a) The target material 21 is spin coated with a thin 10 layer 22 of silicone based photoresist (SBPR) and a primary window is defined by standard photolithography, thereby to produce a first mask layer 22. Silicone based photoresist is chosen for the masking layer 22 since it is resistant to reactive ion etch (R.I.E) with 15 oxygen plasma. (b) An ultra-thin sacrificial polymer layer 23 is spin coated over the first mask layer 22 and thermally cured. 20 (c) A second layer 24 of SBPR is spin coated onto the structure and a second etch window is define by standard photolithography, thereby forming a second mask layer 24. The second mask layer 24 is aligned 25 with the first mask layer so as to provide an overall mask structure. (d) The method of transfer of the pattern into the target material layer 21 is dependent on the material 30 being processed. In this example of optical device, a polymer target layer 21 is used, and so the sample is etched (R.I.E) in a oxygen plasma. The removal of the exposed sacrificial polymer layer 23 can then be achieved automatically during the target layer etch 35 process.
WO 00/48236 PCT/GBOO/00423 -6 For alternative target layer materials, a short oxygen plasma etch would be carried out to open up all the etch windows through to the target layer 21, before a suitable etch process for the target material is 5 undertaken. Upon completion of the etch the mask layers 22 and 23 (SBPR) can be removed, if required, in a suitable solvent. Use of this spin-on oxygen plasma resistant SBPR in 10 conjunction with an interleaved sacrificial polymer layer can be seen to offer advantages over previously considered methods of using a double mask technique. Use of metallic, dielectric InP or etch stop masking layers require additional process steps in deposition 15 via evaporation, sputtering, thermal deposition or regrowth in addition to the pattern formation via etch and subsequent removal of the masking layer. By using a method according to the present invention, the elimination of the need to perform such steps can 20 minimise possible damage to the target material. In addition, the use of an ultra thin SBPR layer also allows for an improvement in the resolution obtained in the patterned etches over that obtained with metal 25 masks using processes such as lift-off. More importantly alignment of masking layers with respect to one another is simplified by the thin nature of the layers and their transparency to visible light. 30 Indeed use of an ultra thin polymer layer 23 interleaved between the masking layers 22 and 24 enables good observation of the overall mask structure. The interleaved polymer layer 23 also acts as a protective coat to underlying mask layers and allows 35 deposition of additional mask layers upon it's surface without interaction between mask layers.
WO 00/48236 PCT/GBOO/00423 -7 Using a combination of oxygen plasma resistant SBPR and interleaved polymer layers that can be etched by oxygen plasma allows multiple masking layers to be built up simply and once complete an oxygen plasma etch can be 5 used to remove all exposed sacrificial polymer to reveal underlying SBPR etch windows. The component can then be etched in a suitable manner using the overlaid combination of SBPR etch windows. 10 It will be readily appreciated that the method embodying the present invention is applicable to semiconductor devices, and also to etch patterns that requires the use of more than two mask layers. The example described above has been limited to the use of 15 two mask layers, for clarity. If more mask layers are required, then additional thin polymer layers and the mask layers themselves are added to the structure. Figures 6a to 6d show scanning electron microscope 20 (SEM) images of grating elements fabricated by a known single mask technique. As may be seen a combination of limited mask quality and limited resolution of the photolithographic process has resulted in a non-perfect double grating element. The two halves of the grating 25 element should join (31), and the end vertices 30 should ideally be well defined and suffer from little or no rounding. Such corner rounding may be estimated to be of the order of 3-4micron, which results in an error in the subsequent facet length of approximately 30 20%. Comparing those results with the results of a method embodying the present invention, as shown in Figures 7a to 7d, it can be seen that the grating elements 35 fabricated using the method of the invention has well defined vertices 40 and is completely formed (41).
WO 00/48236 PCT/GBOO/00423 -8 It will be readily appreciated that utilisation of this method overcomes the limit upon the mask quality since the single mask method uses masks that are unable to define the joining of the grating elements, in contrast 5 with the method of the invention. To enable this method to be used in other process conditions, it would be possible to use a metallic mask layer 52 upon the surface of the target material layer 10 51, as illustrated in figure S. This metallic layer 52 might be evaporated or sputtered onto the target material layer 51 before the multi-masking layers 53, 54 and 55 are built up as previously described. The final highly defined pattern may be created as normal 15 and the final pattern then transferred into the primary metallic layer using a suitable etch process. The deposition of a reflective metallic masking layer upon the surface of the target offers two advantages. 20 Firstly it allows the pattern to be defined in a suitable etch resistant material for differing etch processes thus allowing use with a wider range of target materials and etch process steps. 25 Secondly where the target material is relatively thick and transparent to visible light there may be a reduction in the quality of a mask image that might be resolved with a microscope due to interference effects within the transparent layer structure. This would make 30 accurate alignment of masking layers more difficult. The deposition of a reflective layer onto the target material prevents light entering the target material when imaging the masks for alignment and as such eliminates the interference effects that give rise to 35 poorly resolved images. As such the metallic layer allows accurate alignment of masking layers on a target WO 00/48236 PCT/GBOO/00423 -9 material that is both thick and transparent to visible light. Methods embodying the present invention can provide a 5 simplified fabrication technique for the fabrication of highly defined vertices in etched structures and devices. Such a method involves fewer process steps than current methods and allows multi-mask definition with minimal effect upon the target material. The 10 optional use of a metallic masking layer enables use of this method with a wide variety of target materials and processes, in addition to affording improved imaging where the target material is both thick and transparent.

Claims (18)

1. A method of fabricating an etched structure in a target material, the method comprising: forming a first mask layer on the target material, 5 the first mask layer defining a first predetermined pattern of exposed material; depositing a sacrificial layer over the first mask layer and exposed material; forming a second mask layer on the sacrificial 10 layer, the second mask layer defining a second predetermined pattern of exposed material; and etching the sacrificial and target material to form an etched structure in the target material defined by the combination of the first and second 15 predetermined patterns.
2. A method as claimed in claim 1, wherein the first mask layer is formed by spin coating a layer of mask material onto the target material, and defining etch 20 windows in the layer of mask material by photolithography.
3. A method as claimed in claim 1 or 2, wherein the second mask layer is formed by spin coating a layer of 25 mask material onto the target and sacrificial material, and defining etch windows in the layer of mask material by photolithography.
4. A method as claimed in claim 1, 2 or 3, wherein 30 the target material is a polymer material.
5. A method as claimed in claim 1, 2 or 3, wherein the target material is a semiconductor material. 35
6. A method as claimed in claim 1, 2 or 3, wherein the target material is a metallic material. WO 00/48236 PCT/GB00/00423 -11
7. A method as claimed in claim 1, 2 or 3, wherein the target material is a glass material. 5
8. A method as claimed in any one of claims 1 to 7, wherein the sacrificial material is a polymer material.
9. A method as claimed in any one of claims 1 to 7, wherein the sacrificial material is a metallic 10 material.
10. A method as claimed in any one of claims 1 to 7, wherein the sacrificial material is a semiconductor material. 15
11. A method as claimed in any one of claims 1 to 7, wherein the sacrificial material is a glass material.
12. A method as claimed in any one of the preceding 20 claims
13. A method as claimed in any one of claims 1 to 5, wherein each mask layer is provided by a layer of photoresist material, the first and second 25 predetermined patterns being formed by a photolithographhic technique.
14. A method as claimed in any one of claims 1 to 6, wherein the etched structure defines at least part of 30 an optical signal processing device.
15. A method as claimed in claim 1, wherein the target material comprises a base material layer, and a metallic layer, the first mask layer being formed on 35 the metallic layer. WO 00/48236 PCT/GBOO/00423 -12
16. A method as claimed in claim 8, wherein the etching of the target and sacrificial material comprises: etching the metallic layer to produce a metallic 5 mask layer, and etching the base material layer to form the etched structure therein.
17. A method as claimed in claim 8 or 9, wherein the 10 base material is silicon.
18. A method of manufacturing an etched structure in a target material, substantially as hereinbefore described with reference to the accompanying drawings.
AU24503/00A 1999-02-11 2000-02-10 Methods of fabricating etched structures Ceased AU765894B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GB9903110 1999-02-11
GBGB9903110.6A GB9903110D0 (en) 1999-02-11 1999-02-11 Method of fabricating etched structures
PCT/GB2000/000423 WO2000048236A1 (en) 1999-02-11 2000-02-10 Methods of fabricating etched structures

Publications (2)

Publication Number Publication Date
AU2450300A true AU2450300A (en) 2000-08-29
AU765894B2 AU765894B2 (en) 2003-10-02

Family

ID=10847566

Family Applications (1)

Application Number Title Priority Date Filing Date
AU24503/00A Ceased AU765894B2 (en) 1999-02-11 2000-02-10 Methods of fabricating etched structures

Country Status (5)

Country Link
EP (1) EP1155440A1 (en)
AU (1) AU765894B2 (en)
CA (1) CA2367064A1 (en)
GB (1) GB9903110D0 (en)
WO (1) WO2000048236A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7276453B2 (en) 2004-08-10 2007-10-02 E.I. Du Pont De Nemours And Company Methods for forming an undercut region and electronic devices incorporating the same
US7166860B2 (en) 2004-12-30 2007-01-23 E. I. Du Pont De Nemours And Company Electronic device and process for forming same
KR20220025891A (en) * 2019-07-03 2022-03-03 램 리써치 코포레이션 Method for etching features using targeted deposition for selective passivation
CN117790300B (en) * 2024-02-23 2024-04-30 深圳市常丰激光刀模有限公司 Dynamic etching compensation method for fine circuit

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPH03198327A (en) * 1989-12-26 1991-08-29 Fujitsu Ltd Manufacture of semiconductor device
JPH03263834A (en) * 1990-03-14 1991-11-25 Matsushita Electron Corp Manufacture of semiconductor device
US5091290A (en) * 1990-12-03 1992-02-25 Micron Technology, Inc. Process for promoting adhesion of a layer of photoresist on a substrate having a previous layer of photoresist
US5736457A (en) * 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization

Also Published As

Publication number Publication date
GB9903110D0 (en) 1999-04-07
EP1155440A1 (en) 2001-11-21
WO2000048236A1 (en) 2000-08-17
AU765894B2 (en) 2003-10-02
CA2367064A1 (en) 2000-08-17

Similar Documents

Publication Publication Date Title
KR100968160B1 (en) Optical element and method of manufacturing optical element
US4517280A (en) Process for fabricating integrated optics
US5225039A (en) Method for producing a diffraction grating
US11977204B2 (en) Method for producing optical element and optical element
AU765894B2 (en) Methods of fabricating etched structures
EP0525606B1 (en) Waveguide fabrication by electron beam irradiation of silica
JP2752851B2 (en) Manufacturing method of optical waveguide
AU729257B2 (en) Method of fabricating an optical component and optical component made thereby
US5540345A (en) Process of producing diffraction grating
CN117480411A (en) Optical ultrastructure having meta-atoms composed of high refractive index material
NL1001777C2 (en) A method of manufacturing sharp waveguide branches in integrated optical circuits.
JPS62145208A (en) Manufacture of waveguide diffraction grating
EP0490320B1 (en) A method for producing a diffraction grating
JP2730893B2 (en) Diffraction grating manufacturing method
JPH11109159A (en) Manufacture of semiconductor wavelength plate type polarization rotating element
JPH03284707A (en) Method for compensating shape of quartz group optical waveguide
US20060119945A1 (en) Planar waveguide grating devices having controlled polarization dependent sensitivity and method of manufacturing thereof
JP3112153B2 (en) Grating fabrication method
JPH0192703A (en) Manufacture of diffraction grating
Raum Thermo-mechanically tunable bragg grating filters on silicon-on-insulator rib waveguide bridges
KR19990012248A (en) Manufacturing method of optical waveguide device
KR19980058489A (en) Multi-Phase Variation Grating Manufacturing Method
ITMI972394A1 (en) PROCEDURE FOR THE FORMATION OF A MIRROR ON A WAVE GUIDE
MXPA00000790A (en) Method of fabricating an optical component and optical component made thereby
KR20010096722A (en) fabrication of branching optic waveguide

Legal Events

Date Code Title Description
FGA Letters patent sealed or granted (standard patent)