AU2002227116A1 - Method and apparatus for physical budgeting during rtl floorplanning - Google Patents

Method and apparatus for physical budgeting during rtl floorplanning

Info

Publication number
AU2002227116A1
AU2002227116A1 AU2002227116A AU2711602A AU2002227116A1 AU 2002227116 A1 AU2002227116 A1 AU 2002227116A1 AU 2002227116 A AU2002227116 A AU 2002227116A AU 2711602 A AU2711602 A AU 2711602A AU 2002227116 A1 AU2002227116 A1 AU 2002227116A1
Authority
AU
Australia
Prior art keywords
floorplanning
budgeting
physical
during rtl
rtl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
AU2002227116A
Inventor
Arnold Ginetti
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cadence Design Systems Inc
Original Assignee
Cadence Design Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cadence Design Systems Inc filed Critical Cadence Design Systems Inc
Publication of AU2002227116A1 publication Critical patent/AU2002227116A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation
AU2002227116A 2000-10-30 2001-10-30 Method and apparatus for physical budgeting during rtl floorplanning Abandoned AU2002227116A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09702090 2000-10-30
US09/702,090 US6622291B1 (en) 2000-10-30 2000-10-30 Method and apparatus for physical budgeting during RTL floorplanning
PCT/US2001/045441 WO2002037343A1 (en) 2000-10-30 2001-10-30 Method and apparatus for physical budgeting during rtl floorplanning

Publications (1)

Publication Number Publication Date
AU2002227116A1 true AU2002227116A1 (en) 2002-05-15

Family

ID=24819830

Family Applications (1)

Application Number Title Priority Date Filing Date
AU2002227116A Abandoned AU2002227116A1 (en) 2000-10-30 2001-10-30 Method and apparatus for physical budgeting during rtl floorplanning

Country Status (5)

Country Link
US (1) US6622291B1 (en)
EP (1) EP1330743A4 (en)
JP (1) JP2004513436A (en)
AU (1) AU2002227116A1 (en)
WO (1) WO2002037343A1 (en)

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6519754B1 (en) * 1999-05-17 2003-02-11 Synplicity, Inc. Methods and apparatuses for designing integrated circuits
US7020589B1 (en) * 2000-09-29 2006-03-28 Lsi Logic Corporation Method and apparatus for adaptive timing optimization of an integrated circuit design
US7013438B1 (en) * 2000-11-01 2006-03-14 Cadence Design Systems, Inc. System chip synthesis
US7107564B1 (en) * 2001-06-03 2006-09-12 Cadence Design Systems, Inc. Method and apparatus for routing a set of nets
US7103863B2 (en) * 2001-06-08 2006-09-05 Magma Design Automation, Inc. Representing the design of a sub-module in a hierarchical integrated circuit design and analysis system
US6836753B1 (en) * 2001-06-13 2004-12-28 Cadence Design Systems, Inc. Cone slack allocator for computing time budgets
US7006962B1 (en) * 2001-11-29 2006-02-28 Lsi Logic Corporation Distributed delay prediction of multi-million gate deep sub-micron ASIC designs
US6931613B2 (en) * 2002-06-24 2005-08-16 Thomas H. Kauth Hierarchical feature extraction for electrical interaction calculations
US7134112B1 (en) * 2002-07-24 2006-11-07 Xilinx, Inc. Incremental routing in integrated circuit design
US7093223B2 (en) * 2002-11-26 2006-08-15 Freescale Semiconductor, Inc. Noise analysis for an integrated circuit model
US6898774B2 (en) * 2002-12-18 2005-05-24 International Business Machines Corporation Buffer insertion with adaptive blockage avoidance
US7020864B1 (en) * 2003-11-24 2006-03-28 Altera Corporation Optimized technology mapping techniques for programmable circuits
US7117473B1 (en) * 2004-03-03 2006-10-03 Xilinx, Inc. System for creating a physical hierarchy of a chip without restriction by invading a logical hierarchy of logic blocks
US7437695B1 (en) * 2004-03-03 2008-10-14 Xilinx, Inc. Method of memory and run-time efficient hierarchical timing analysis in programmable logic devices
US7191417B1 (en) * 2004-06-04 2007-03-13 Sierra Design Automation, Inc. Method and apparatus for optimization of digital integrated circuits using detection of bottlenecks
US7120888B2 (en) * 2004-07-12 2006-10-10 International Business Machines Corporation Method, system and storage medium for determining circuit placement
US7146583B1 (en) * 2004-08-06 2006-12-05 Xilinx, Inc. Method and system for implementing a circuit design in a tree representation
US7360177B1 (en) 2004-08-06 2008-04-15 Xilinx, Inc. Method and arrangement providing for implementation granularity using implementation sets
JP4481155B2 (en) * 2004-12-08 2010-06-16 パナソニック株式会社 Cell input terminal capacitance calculation method and delay calculation method
US7263678B2 (en) * 2005-03-15 2007-08-28 Lsi Corporation Method of identifying floorplan problems in an integrated circuit layout
US7493578B1 (en) * 2005-03-18 2009-02-17 Xilinx, Inc. Correlation of data from design analysis tools with design blocks in a high-level modeling system
JP2006268479A (en) * 2005-03-24 2006-10-05 Fujitsu Ltd Design support apparatus, design support method, design support program and recording medium
JP2007004563A (en) * 2005-06-24 2007-01-11 Nec Electronics Corp Library creating device, library creation program and library creating method
US7512918B2 (en) * 2005-08-17 2009-03-31 Lsi Corporation Multimode delay analysis for simplifying integrated circuit design timing models
US7721236B2 (en) * 2005-09-16 2010-05-18 Qualcomm Incorporated Method and apparatus of estimating circuit delay
US7496869B1 (en) 2005-10-04 2009-02-24 Xilinx, Inc. Method and apparatus for implementing a program language description of a circuit design for an integrated circuit
US7363599B1 (en) 2005-10-04 2008-04-22 Xilinx, Inc. Method and system for matching a hierarchical identifier
US7444605B2 (en) * 2005-10-06 2008-10-28 Synopsys, Inc. Generating a base curve database to reduce storage cost
US8402409B1 (en) 2006-03-10 2013-03-19 Xilinx, Inc. Method and apparatus for supporting run-time reconfiguration in a programmable logic integrated circuit
US7761272B1 (en) 2006-03-10 2010-07-20 Xilinx, Inc. Method and apparatus for processing a dataflow description of a digital processing system
US7380232B1 (en) 2006-03-10 2008-05-27 Xilinx, Inc. Method and apparatus for designing a system for implementation in a programmable logic device
US8302042B2 (en) * 2006-07-24 2012-10-30 Oasys Design Systems Generating a convergent circuit design from a functional description using entities having access to the functional description and to physical design information
FR2904713B1 (en) * 2006-08-02 2008-10-17 Airbus France Sas METHOD AND DEVICES FOR DETERMINING THE FEASIBILITY OF AN ELECTRONIC ASSEMBLY
US7562330B1 (en) * 2006-11-06 2009-07-14 Cadence Design Systems, Inc. Budgeting global constraints on local constraints in an autorouter
US8127260B1 (en) * 2006-11-22 2012-02-28 Cadence Design Systems, Inc. Physical layout estimator
US20080155486A1 (en) * 2006-12-20 2008-06-26 International Business Machines Corporation Systems and methods for reducing wiring vias during synthesis of electronic designs
US8365113B1 (en) * 2007-01-10 2013-01-29 Cadence Design Systems, Inc. Flow methodology for single pass parallel hierarchical timing closure of integrated circuit designs
US8977995B1 (en) * 2007-01-10 2015-03-10 Cadence Design Systems, Inc. Timing budgeting of nested partitions for hierarchical integrated circuit designs
US7676779B2 (en) * 2007-09-11 2010-03-09 International Business Machines Corporation Logic block timing estimation using conesize
US20090070720A1 (en) * 2007-09-11 2009-03-12 International Business Machines Corporation System to Identify Timing Differences from Logic Block Changes and Associated Methods
US7827513B2 (en) * 2007-10-11 2010-11-02 International Business Machines Corporation Buffer placement with respect to data flow direction and placement area geometry in hierarchical VLS designs
US20090115488A1 (en) * 2007-11-06 2009-05-07 Jordi Cortadella Variability-Aware Asynchronous Scheme Based on Two-Phase Protocols Using a Gated Latch Enable Scheme
US8166439B2 (en) * 2007-12-28 2012-04-24 International Business Machines Corporation Techniques for selecting spares to implement a design change in an integrated circuit
US7913216B2 (en) 2008-02-16 2011-03-22 International Business Machines Corporation Accurate parasitics estimation for hierarchical customized VLSI design
US7853915B2 (en) * 2008-06-24 2010-12-14 Synopsys, Inc. Interconnect-driven physical synthesis using persistent virtual routing
US8255196B2 (en) * 2008-08-25 2012-08-28 Fujitsu Limited Constructing a replica-based clock tree
JP5272913B2 (en) * 2009-06-15 2013-08-28 富士通セミコンダクター株式会社 Design support program, design support apparatus, and design support method
US8091057B1 (en) * 2009-10-23 2012-01-03 Xilinx, Inc. Synthesis, place, and route responsive to reasons for critical paths not meeting performance objective
JP5401376B2 (en) * 2010-03-29 2014-01-29 ルネサスエレクトロニクス株式会社 Method for designing semiconductor integrated circuit device
US8566765B1 (en) * 2010-08-30 2013-10-22 Atoptech, Inc. In-hierarchy circuit analysis and modification
US8341573B2 (en) * 2010-10-15 2012-12-25 Lsi Corporation Modeling approach for timing closure in hierarchical designs leveraging the separation of horizontal and vertical aspects of the design flow
US8667444B2 (en) * 2012-02-17 2014-03-04 Synopsys, Inc. Concurrent placement and routing using hierarchical constraints
US8972920B1 (en) * 2014-02-11 2015-03-03 Xilinx, Inc. Re-budgeting connections of a circuit design
US9223915B1 (en) 2014-08-05 2015-12-29 Cadence Design Systems, Inc. Method, system, and computer program product for checking, verifying, or testing a multi-fabric electronic design spanning across multiple design fabrics
US9483601B2 (en) * 2015-03-24 2016-11-01 International Business Machines Corporation Circuit routing based on total negative slack
US9779193B1 (en) 2015-03-31 2017-10-03 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing electronic design layouts with symbolic representations
US9881119B1 (en) 2015-06-29 2018-01-30 Cadence Design Systems, Inc. Methods, systems, and computer program product for constructing a simulation schematic of an electronic design across multiple design fabrics
US20170011139A1 (en) * 2015-07-07 2017-01-12 Mentor Graphics Corporation Physically-aware circuit design partitioning
US10346573B1 (en) 2015-09-30 2019-07-09 Cadence Design Systems, Inc. Method and system for performing incremental post layout simulation with layout edits
US9881120B1 (en) 2015-09-30 2018-01-30 Cadence Design Systems, Inc. Method, system, and computer program product for implementing a multi-fabric mixed-signal design spanning across multiple design fabrics with electrical and thermal analysis awareness
US9798840B1 (en) 2015-10-15 2017-10-24 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing a simulation platform with dynamic device model libraries for electronic designs
US10331841B1 (en) 2016-01-15 2019-06-25 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing virtual prototyping for electronic designs
US9934354B1 (en) 2016-06-30 2018-04-03 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing a layout-driven, multi-fabric schematic design
US10354037B1 (en) 2016-06-30 2019-07-16 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing an electronic design by manipulating a hierarchical structure of the electronic design
US10133841B1 (en) 2016-09-30 2018-11-20 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing three-dimensional integrated circuit designs
US10353841B2 (en) 2016-12-08 2019-07-16 International Business Machines Corporation Optimizing routing of a signal path in a semiconductor device
US10031996B2 (en) * 2016-12-14 2018-07-24 International Business Machines Corporation Timing based net constraints tagging with zero wire load validation
US10970455B1 (en) * 2020-01-30 2021-04-06 International Business Machines Corporation Apportionment aware hierarchical timing optimization

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5870308A (en) 1990-04-06 1999-02-09 Lsi Logic Corporation Method and system for creating and validating low-level description of electronic design
US5572436A (en) * 1990-04-06 1996-11-05 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design
US5778216A (en) * 1995-06-30 1998-07-07 Cadence Design Systems, Inc. Method for hierarchical time drive circuit layout by rebudgeting timing constraints of plurality of logical blocks after placement
US6145117A (en) * 1998-01-30 2000-11-07 Tera Systems Incorporated Creating optimized physical implementations from high-level descriptions of electronic design using placement based information
US6438731B1 (en) * 1999-09-13 2002-08-20 Synopsys, Inc. Integrated circuit models having associated timing exception information therewith for use in circuit design optimizations
US6496972B1 (en) * 1999-09-13 2002-12-17 Synopsys, Inc. Method and system for circuit design top level and block optimization

Also Published As

Publication number Publication date
EP1330743A4 (en) 2005-12-14
EP1330743A1 (en) 2003-07-30
WO2002037343A1 (en) 2002-05-10
JP2004513436A (en) 2004-04-30
WO2002037343A8 (en) 2002-07-11
US6622291B1 (en) 2003-09-16

Similar Documents

Publication Publication Date Title
AU2002227116A1 (en) Method and apparatus for physical budgeting during rtl floorplanning
AU2001235279A1 (en) Method and apparatus for balanced electronic operations
AU2001285399A1 (en) Method and apparatus for secure electronic payments
WO2002033510A8 (en) Computer-implemented method and apparatus for obtaining permission based data
AU2001264771A1 (en) Well reference apparatus and method
AU2001243298A1 (en) Apparatus and method for determining position
WO2002022264A8 (en) Method and apparatus for gradient generation
GB0127027D0 (en) Method and apparatus for inputting information
AU2001246268A1 (en) Method and device for calculating value at risk
IL155217A0 (en) Method and apparatus for data processing
AU2002233938A1 (en) Method and apparatus for protein manipulation
AU2001263111A1 (en) Method and apparatus for wavefront sensing
AU2001263028A1 (en) Stream-cipher method and apparatus
AU2001256933A1 (en) Apparatus and method
AU2002232737A1 (en) Method and apparatus for virtual interaction with physical documents
AU2001282704A1 (en) Method and apparatus for well testing
AU2002225798A1 (en) Method and apparatus for providing computer-based help
AU2001264986A1 (en) Method and apparatus for facilitating relocation
GC0000239A (en) Apparatus and method for characterizing multiphaseeffluents
AU2001217795A1 (en) Method and apparatus for interaffiliate marketing
GB0002181D0 (en) Method and apparatus for processing configuration-sensitive data
AU2002234177A1 (en) Arbitration method and apparatus
AU2001258877A1 (en) Apparatus and method for automatically exchanging money
AU2002213396A1 (en) Method and apparatus for performing neuroimaging
AU2001227856A1 (en) Apparatus and method for creating moderated forums