WO2017207264A1 - Mirror for the euv wavelength range - Google Patents

Mirror for the euv wavelength range Download PDF

Info

Publication number
WO2017207264A1
WO2017207264A1 PCT/EP2017/061697 EP2017061697W WO2017207264A1 WO 2017207264 A1 WO2017207264 A1 WO 2017207264A1 EP 2017061697 W EP2017061697 W EP 2017061697W WO 2017207264 A1 WO2017207264 A1 WO 2017207264A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
mirror
wavelength range
substrate
individual
Prior art date
Application number
PCT/EP2017/061697
Other languages
French (fr)
Inventor
Joern Weber
Christian GRASSE
Sebastian Strobel
Peter Huber
Original Assignee
Carl Zeiss Smt Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss Smt Gmbh filed Critical Carl Zeiss Smt Gmbh
Publication of WO2017207264A1 publication Critical patent/WO2017207264A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure

Definitions

  • the invention relates to a mirror for the EUV wavelength range and a projection lens and an illumination system for microlithography comprising such a mirror. Furthermore, the invention relates to a projection exposure apparatus for microlithography comprising such a projection lens and/or such an illumination system.
  • Projection exposure apparatuses for microlithography for the EUV wavelength range rely on the fact that the mirrors used for the exposure or imaging of a mask into an image plane have a high reflectivity. This is because, firstly, the product of the reflectivity values of the individual mirrors determines the total transmission of the projection exposure apparatus and, secondly, the light power of EUV light sources is limited. Furthermore, such mirrors must also have the desired optical imaging quality and ensure the latter even over a number of years under continuous irradiation with EUV radiation of high intensity.
  • the mirrors for the EUV wavelength range usually comprise a layer arrangement which is applied on a substrate and which comprises a reflective layer system.
  • the reflective layer system usually comprises at least one layer subsystem which consists of a periodic sequence of at least two periods of individual layers.
  • the periods comprise two individual layers composed of different materials for a high refractive index layer and a low refractive index layer, which are adapted in terms of their thickness such that a high reflectivity is obtained.
  • the terms high refractive index and low refractive index are relative terms regarding the respective partner layer in a period of a layer subsystem.
  • Layer subsystems function in the EUV wavelength range in general only if a layer acting with an optically high refractive index is combined with an optically lower refractive index layer relative thereto as main constituent of a period of the layer subsystem.
  • the layer stress of the layer arrangement must additionally be very low in order that the substrate dose not warp impermissibly on account of the layer stresses in the layer arrangement.
  • the layer stresses that arise for example in the reflective layer system have to be compensated for by the layer stresses of other parts of the layer arrangement. In this case, the layer stress is subdivided into tensile stress and compressive stress.
  • DE 10 2009 054 653 A1 discloses for example a mirror for the EUV wavelength range comprising a substrate and a layer arrangement.
  • Said layer arrangement comprises a reflective layer system and a protective layer system, wherein the protective layer system reduces the transmission of EUV radiation through the layer arrangement overall in conjunction with low surface roughness values.
  • the protective layer system reduces the transmission of EUV radiation through the layer arrangement overall in conjunction with low surface roughness values.
  • Different protective layer subsystems and thus also different materials for protecting the substrate from EUV radiation by absorption, for compensating for layer stress and for smoothing the surface roughnesses are usually used in this case. It is an object of the invention to provide a mirror for the EUV wavelength range having a simplified protective layer system in comparison with the prior art, which mirror, in conjunction with low surface roughness values, reduces the transmission of EUV radiation through the layer arrangement and generates a layer stress for compensating for layer stresses in the layer arrangement.
  • a mirror for the EUV wavelength range comprising a substrate and a layer arrangement, wherein the layer arrangement comprises
  • a reflective layer system having at least one layer subsystem
  • a protective layer system protecting the substrate, wherein the protective layer system comprises a periodical sequence of at least two periods, consisting of in each case two individual layers,
  • the construction of the protective layer system protecting the substrate can be simplified and the thickness of the protective layer system can thus be reduced if the individual layers each inherently combine more than one functionality.
  • the first individual layer is designed in such a way that it firstly protects the substrate by absorbing the EUV radiation, since otherwise, as mentioned in the introduction, compaction of the substrate and thus an undesired change in the optical imaging properties during the operational period can occur under high doses of EUV radiation.
  • the first individual layer is designed in such a way that it firstly protects the substrate by absorbing the EUV radiation, since otherwise, as mentioned in the introduction, compaction of the substrate and thus an undesired change in the optical imaging properties during the operational period can occur under high doses of EUV radiation.
  • the first individual layer thus has two functionalities.
  • the second individual layer is designed in such a way that it reduces the surface roughness of the protective layer system. This is achieved, firstly, by virtue of the fact that the absorbing and layer-stress-compensating effect is not produced by a thick layer, but rather as a multiplicity of thinner first individual layers that are interrupted by the second individual layers.
  • the first individual layers indeed have the respectively desired effect with regard to absorption and, respectively, layer stress, but the crystal growth in the first individual layers is interrupted. Therefore, the surface roughness at the surfaces of the first individual layers is reduced relative to a single thick layer.
  • the second individual layers are designed such that the surface roughness is additionally reduced. In this case, the resulting surface roughness of the second individual layer is dependent both on the material and on the type of deposition method of the individual layer.
  • the stringent requirements made of the surface roughness of the protective system are necessary in order that the losses on account of stray light are avoided in order to obtain a high reflectivity of the mirror. Said requirements apply in particular to the transitions of the individual layers of the reflective layer system, since the EUV radiation is reflected here. In order, however, that the reflective layer system can satisfy the requirements made of the surface roughness, the underlying protective layer system must already have a sufficiently low surface roughness.
  • the ratio of the thickness of the first individual layer to the thickness of the second individual layer is constant for all periods.
  • the production of such protective layer systems is simplified. This is because only regular, repeating structures enable the determination of the period thickness by means of X-ray optical methods.
  • the ratio of the thickness of the first individual layer to the thickness of the second individual layer decreases with greater distance from the substrate. As a result, the layer-stress-generating first individual layers, relative to the smoothing second individual layers, have higher thicknesses in the lower plies than in the upper plies.
  • the material of the first individual layer (AZ) has an absorption index k at 13.5 nm of more than 0.03.
  • the transmission of EUV radiation through the layer arrangement as far as the substrate is less than 0.1 %. It has been recognized according to the invention that protecting the substrate against excessively high doses of EUV radiation necessitates designing the layer arrangement on the substrate of the mirror such that only a fraction of the EUV radiation reaches the substrate. In a manner adapted to the reflective layer system, which can comprise many periods of individual layers and thus also absorbs a proportion of the EUV radiation, in this case the protective layer system protecting the substrate is designed such that at all events the transmission of EUV radiation through the layer arrangement to the substrate is less than 0.1 %.
  • the mirror for the EUV wavelength range is characterized in that the protective layer under EUV radiation experiences an
  • irreversible change in volume under EUV radiation is understood to mean, rather than the reversible change in volume on account of thermal expansion, the long- term irreversible change in volume - brought about by high doses of EUV radiation - on account of structural changes in the material under consideration.
  • the protective layer system must remain stable even under EUV radiation at high doses that are accumulated over the lifetime of a lithography apparatus. Otherwise the problem of the irreversible change in volume is merely shifted from the substrate to the protective layer system.
  • the object of the present invention is achieved by means of a mirror for the EUV wavelength range that is characterized in that the protective layer system is provided to prevent an irreversible change in the surface of the substrate under EUV radiation of more than 0.1 nm as measured in the normal direction at a location within the irradiated region of the substrate relative to the surface of the substrate at a location outside the irradiated region as measured in the same direction and simultaneously to exert a layer stress for compensating for layer stresses in the layer arrangement.
  • the periods of the protective layer system have a thickness of 5 nm - 100 nm in order both to compensate for the occurring roughness of the tensile-stress-generating sublayer and to be able to produce tensile stresses overall.
  • the protective layer system has a thickness of 50 nm - 1000 nm in order thus to be able to produce the substrate protection, the necessary layer stress and also the measurability.
  • the protective layer for compensating for layer stresses in the layer arrangement exerts a tensile stress of +10 MPa to +2000 MPa, which is suitable for being able to compensate for the layer stress of conventional EUV reflective layer systems in the range of from -1000 MPa to a few -10 MPa.
  • the material of the first individual layer (AZ) consists of copper, chromium or a nickel-chromium alloy, wherein the ratio of chromium:nickel in this case lies between 30:70 and 70:30.
  • copper, chromium or a nickel-chromium alloy are materials which can simultaneously absorb enough EUV radiation to protect the substrate against high doses of EUV radiation and have a layer-stress-generating effect.
  • the second individual layer consists of a material which is selected or made up from the group of the materials: B 4 C, C, Si nitride, Si carbide, Si boride, Mo nitride, Mo carbide, Mo boride, Ru nitride, Ru carbide and Ru boride.
  • the mirror for the EUV wavelength range is characterized in that the protective layer system has a surface roughness of less than 0.6 nm rms, in particular less than 0.1 nm rms, in the spatial wavelength range of 10 nm to 1 .5 ⁇ .
  • Such layers as mentioned in the introduction, lead to low stray light losses.
  • the mirror for the EUV wavelength range is characterized in that the individual layers of the protective layer system are applied using vacuum coating methods. Only with these methods is it possible to produce sufficiently precise and reproducible layers for EUV lithography.
  • the object of the present invention is achieved by means of a mirror for the EUV wavelength range, wherein the reflective layer system comprises at least one layer subsystem which consists of a periodic sequence of at least two periods of individual layers, wherein the periods comprise two individual layers composed of different materials for a high refractive index layer and a low refractive index layer.
  • the terms high refractive index and low refractive index are relative terms with regard to the respective partner layer in a period of a layer subsystem.
  • Layer subsystems function in the EUV wavelength range in general only if a layer acting with an optically high refractive index is combined with an optically lower refractive index layer relative thereto as main constituent of a period of the layer subsystem.
  • the mirror for the EUV wavelength range is furthermore characterized in that the reflective layer system comprises a capping layer system, which terminates the layer arrangement of the mirror. As a result, the mirror is protected against environmental influences.
  • the object of the present invention is achieved by means of a projection lens for microlithography which comprises a mirror according to the invention.
  • the object of the present invention is achieved by means of an illumination system for microlithography which comprises a mirror according to the invention.
  • a projection exposure apparatus for microlithography which comprises a projection lens according to the invention and/or an illumination system according to the invention.
  • Figures 1 A-1 B show a schematic illustration of a first mirror according to the
  • Figures 2A - 2B show a schematic illustration of a second mirror according to the invention ;
  • Figure 3 shows a schematic illustration of a projection lens according to the
  • Figures 1 A and 1 B show a schematic illustration of a mirror (S1 ) according to the invention for the EUV wavelength range comprising a substrate (S) and a layer arrangement (X).
  • the layer arrangement (X) comprises a reflective layer system (RL) and a protective layer system (SPL) protecting the substrate.
  • the protective layer system (SPL) is illustrated in detail in Figure 1 A, whereas Figure 1 B shows the reflective layer system (RL) in detail.
  • a protective layer system serves, inter alia, to protect the substrate against excessively high doses of EUV radiation since mirror substrates (S) produced from Zerodur® or ULE®, for example, at high doses of EUV radiation, exhibit an irreversible compaction of the order of magnitude of a few percent by volume.
  • the protective layer system (SPL) comprises a periodic sequence of at least two periods (PSPL) which consist of in each case two individual layers (AZ, R), see Figure 1 A.
  • the first individual layer (AZ) is designed in such a way that it firstly protects the substrate (S) by absorbing the EUV radiation.
  • the first individual layer has an absorption index at 13.5 nm of more than 0.03.
  • the first individual layer (AZ) simultaneously compensates for layer stresses in the layer arrangement (X), since otherwise the substrate (S) warps impermissibly on account of the layer stresses.
  • the protective layer system (SPL) for compensation of layer stresses in the layer arrangement (X) exerts a tensile stress of +10 MPa to +2000 MPa.
  • the first individual layer (AZ) thus has two functionalities.
  • the first individual layer (AZ) is produced for example from copper, chromium or a chromium-nickel alloy having a ratio of chromium:nickel of between 30:70 and 70:30.
  • the second individual layer (R) is designed in such a way that it reduces the surface roughness of the protective layer system (SPL) by smoothing the surface roughness of the first individual layer (AZ). All absorbing individual layers (AZ) of the protective layer system which are manifested in the protective layer system (SPL) and generate layer stress, in their cumulated total thickness, here have the respectively desired effect with regard to absorption and/or layer stress. However, since they are interrupted by the second individual layers (R), the crystal growth of the first individual layer (AZ) is interrupted.
  • the second individual layer consists for example of a material which is selected or made up from the group of the materials: B 4 C, C, Si nitride, Si carbide, Si boride, Mo nitride, Mo carbide, Mo boride, Ru nitride, Ru carbide and Ru boride.
  • the protective layer system has a surface roughness of less than 0.6 nm rms in the spatial wavelength range of 10 nm to 1 .5 ⁇ . In particular, the surface roughness can also be less than 0.1 nm rms.
  • the protective layer system (SPL) has a thickness (dp) of 50 nm - 1000 nm.
  • SPL protective layer system
  • different protective layer subsystems would have to be provided for the effect of protection against EUV radiation and generation of layer stress.
  • the ratio of the thickness dAZN of the first individual layer (AZ) to the thickness dR of the second individual layer (R) is thus also constant for all periods (PS PL) .
  • the reflective layer system (RL) is elucidated in greater detail in Figure 1 B.
  • the reflective layer system can comprise a plurality of layer subsystems ( ⁇ ', P", P'") each consisting of a periodic sequence of at least two periods (Pi , P 2 , Pa) of individual layers.
  • the periods (Pi , P 2 , Pa) comprise two individual layers composed of different materials for a high refractive index individual layer ( ⁇ ', ⁇ ", ⁇ "') and a low refractive index individual layer ( ⁇ _', L", L'”) and have within each layer subsystem ( ⁇ ', P", P'") for example a constant thickness (ch, d 2 , d 3 ), which deviates from a thickness of the periods of an adjacent layer subsystem.
  • the layer subsystem P'" the furthest away from the substrate can have a number N3 of periods P3 which is greater than the number N2 of periods P2 for the layer subsystem (P") the second furthest away from the substrate.
  • the layer subsystem ( P") the second furthest away from the substrate (S) can have a sequence of the periods (P2) such that the first high refractive index individual layer ( ⁇ "') of the layer subsystem ( ⁇ "') the furthest away from the substrate directly follows the last high refractive index individual layer (H") of the layer subsystem P" the second furthest away from the substrate.
  • the individual layers of the reflective layer system of the mirror according to the invention in Figures 1 A, B can be separated by at least one barrier layer (B), wherein the barrier layer (B) consists of a material which is selected or made up from the group of the materials: B 4 C, C, Si nitride, Si carbide, Si boride, Mo nitride, Mo carbide, Mo boride, Ru nitride, Ru carbide and Ru boride.
  • a barrier layer (B) suppresses the interdiffusion between the two individual layers of a period, thereby increasing the optical contrast upon the transition of the two individual layers.
  • one barrier layer (B) above the Si layer as viewed from the substrate suffices to provide for a sufficient contrast.
  • the second barrier layer (B) above the Mo layer can be dispensed with in this case.
  • at least one barrier layer (B) should be provided for separating the two individual layers of a period, wherein the at least one barrier layer (B) can be constructed from various of the above-indicated materials or the compounds thereof or in this case can also exhibit a layered construction of different materials or compounds.
  • the layer arrangement (X) of the mirror (S1 ) according to the invention is terminated in Figures 1 A, B by a capping layer system (C) comprising at least one layer composed of a chemically inert material such as, for example, Rh, Pt, Ru, Pd, Au, S1O2 as terminating layer (M). Said terminating layer (M) thus prevents the chemical alteration of the mirror surface on account of environmental influences.
  • the capping layer system (C) in Figures 1 A, B consists of a high refractive index individual layer (H), a low refractive index individual layer (L) and a barrier layer (B) in addition to the terminating layer (M).
  • the letters AZ symbolically denote the thickness of the first individual layers of the protective layer system
  • R denotes the thickness of the second individual layer of the protective layer system
  • H denotes the thickness of high refractive index layers
  • L denotes the thickness of low refractive index layers
  • the letter B denotes the thickness of the barrier layer
  • the letter M denotes the thickness of the chemically inert terminating layer.
  • the unit [nm] applies to the thicknesses of the individual layers that are indicated between parentheses.
  • Exemplary embodiment 1 the transmission of EUV radiation through the layer arrangement to the substrate is less than 0.1 % and the protective layer experiences under EUV irradiation an irreversible change in volume of less than 1 % and a surface roughness of less than 0.5 nm rms.
  • the periods of the protective layer system have a thickness of 10 nm - 100 nm and the protective layer system has a thickness of 50 nm - 1000 nm.
  • the layer arrangement exerts a tensile stress of +10 MPa to +2000 MPa whilst preventing an irreversible change in the surface of the substrate under EUV radiation of more than 0.1 nm in the normal direction.
  • the reflective layer system RL can be constructed for example as follows:
  • barrier layer B 4 C in this example is always 0.4 nm thick, it can also be omitted in order to illustrate the basic construction of the layer arrangement, such that the layer design of the reflective layer system can be indicated in shortened form as follows:
  • Figure 2A und Figure 2B illustrate a further embodiment of the invention.
  • the ratio of the thickness dAz of the first individual layer (AZ) to the thickness dR Of the second individual layer (R) decreases with greater distance from the substrate (S), see Figure 2A.
  • the layer-stress-generating first individual layers (AZ), relative to the smoothing second individual layers (R) have higher thicknesses in the lower plies (nearer to the substrate) compared with in the upper plies (more distant from the substrate).
  • the generation of the compensating layer stresses predominates in the lower region, while the effect of the roughness-reducing individual layers is predominant in the upper part.
  • the reflective layer system (RL) comprises a plurality of layer subsystems ( ⁇ ', P", P'") each consisting of a periodic sequence of at least two periods (Pi , P 2 , Pa) of individual layers, see Figure 2B.
  • the periods (Pi , P 2 , Pa) comprise two individual layers composed of different materials for a high refractive index layer ( ⁇ ', H", H'") and a low refractive index layer ( ⁇ _', L", L'”) and have within each layer subsystem ( ⁇ ', P", P'") a constant thickness (ch, d 2 , d 3 ) that deviates from a thickness of the periods of an adjacent layer subsystem.
  • the layer subsystem ( ⁇ "') the furthest away from the substrate has a number N3 of periods P3 which is greater than the number N2 of periods P2 for the layer subsystem (P") the second furthest away from the substrate.
  • the layer subsystem (P") the second furthest away from the substrate has a sequence of periods P2 which corresponds to the sequence of periods Pi and P3 of the other layer subsystems P' and P'", such that the first high refractive index layer ( ⁇ "') of the layer subsystem ( ⁇ "') the furthest away from the substrate optically effectively follows the last low refractive index layer (L") of the layer subsystem (P") the second furthest away from the substrate.
  • the individual layers of the reflective layer system of the mirror according to the invention in Figures 2A, B can be separated by at least one barrier layer (B), analogously to the exemplary embodiment in Figures 1 A, B.
  • the layer arrangement (X) of the mirror (S2) according to the invention can be terminated by a capping layer system (C).
  • Exemplary embodiment 4 the transmission of EUV radiation through the layer arrangement to the substrate is less than 0.1 % and the protective layer experiences under EUV irradiation an irreversible change in volume of less than 1 % and a surface roughness of less than 0.5 nm rms.
  • the periods of the protective layer system have a thickness of 10 nm - 100 nm and the protective layer system has a thickness of 50 nm - 1000 nm.
  • the layer arrangement exerts a tensile stress of +10 MPa to +2000 MPa whilst preventing an irreversible change in the surface of the substrate under EUV radiation of more than 0.1 nm in the normal direction.
  • FIG 3 schematically shows a projection exposure apparatus (1 ) consisting of a beam- shaping system (2), an illumination system (3) and a projection lens (4), which are arranged successively in a beam path (6) proceeding from an EUV light source 5 of the beam shaping system (2).
  • a plasma source or a synchrotron source can serve as EUV light source (5).
  • the emerging radiation in the wavelength range of between approximately 5 nm and approximately 20 nm is firstly focused in a collimator (7). With the aid of a downstream monochromator (8), the desired operating wavelength is filtered out by variation of the angle of incidence, as is indicated by a double-headed arrow.
  • the collimator (7) and the monochromator (8) are usually designed as mirrors, wherein at least the monochromator (8), on its optical surface, has no multilayer system, in order to reflect a wavelength range having the greatest possible bandwidth.
  • the radiation treated with regard to wavelength and spatial distribution in the beam shaping system (2) is introduced into the illumination system (3), which has for example a first and a second mirror (9, 10).
  • the two mirrors (9, 10) guide the radiation onto a photomask (1 1 ) as a further reflective optical element, which has a structure that is imaged by means of the projection system (4) onto a wafer (12) on a reduced scale.
  • a third and a fourth mirror (13, 14) are provided in the projection system (4).
  • the mirrors (9, 10, 13, 14) used in the projection exposure apparatus can be formed here for example in each case by a mirror (S1 , S2) according to the invention from the first or second exemplary embodiment, see Figures 1 A, B and Figures 2A, B.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Optical Elements Other Than Lenses (AREA)

Abstract

The invention relates to a mirror (S1, S2) for the EUV wavelength range and a projection lens (4) and illumination system (3) for microlithography comprising such a mirror (S1, S2). Furthermore, the invention relates to a projection exposure apparatus (1) for microlithography comprising such a projection lens (4) and/or such an illumination system (3). A mirror for the EUV wavelength range (S1, S2) according to the invention comprises a substrate and a layer arrangement, wherein the layer arrangement (X) comprises a reflective layer system (RL) having at least one layer subsystem (Ρ') and a protective layer system (SPL) protecting the substrate, wherein the protective layer system (SPL) comprises a periodical sequence of at least two periods (PSPL), consisting of in each case two individual layers (AZ, R), characterized in that the first individual layer (AZ) of the period (PSPL) simultaneously protects the substrate by absorbing the EUV radiation and compensates for layer stresses in the layer arrangement (X), and the respective second individual layer (R) reduces the surface roughness of the protective layer system (SPL) by smoothing the surface roughness of the first individual layer (AZ).

Description

MIRROR FOR THE EUV WAVELENGTH RANGE
DESCRIPTION
The invention relates to a mirror for the EUV wavelength range and a projection lens and an illumination system for microlithography comprising such a mirror. Furthermore, the invention relates to a projection exposure apparatus for microlithography comprising such a projection lens and/or such an illumination system.
Projection exposure apparatuses for microlithography for the EUV wavelength range rely on the fact that the mirrors used for the exposure or imaging of a mask into an image plane have a high reflectivity. This is because, firstly, the product of the reflectivity values of the individual mirrors determines the total transmission of the projection exposure apparatus and, secondly, the light power of EUV light sources is limited. Furthermore, such mirrors must also have the desired optical imaging quality and ensure the latter even over a number of years under continuous irradiation with EUV radiation of high intensity. In order to obtain the high reflectivity of the mirrors, the mirrors for the EUV wavelength range usually comprise a layer arrangement which is applied on a substrate and which comprises a reflective layer system. In this case, the reflective layer system usually comprises at least one layer subsystem which consists of a periodic sequence of at least two periods of individual layers. In this case, the periods comprise two individual layers composed of different materials for a high refractive index layer and a low refractive index layer, which are adapted in terms of their thickness such that a high reflectivity is obtained. In this case, in the EUV wavelength range, the terms high refractive index and low refractive index are relative terms regarding the respective partner layer in a period of a layer subsystem. Layer subsystems function in the EUV wavelength range in general only if a layer acting with an optically high refractive index is combined with an optically lower refractive index layer relative thereto as main constituent of a period of the layer subsystem.
Furthermore, in order to obtain the high reflectivity of the mirrors, it is necessary to avoid the loss on account of stray light, which leads to stringent requirements made of the surface roughness of the mirrors for the EUV wavelength range, in particular for the surface roughness in the range with spatial wavelengths of 10 nm to 1 .5 μιτι. In order to achieve the desired optical imaging quality, the layer stress of the layer arrangement must additionally be very low in order that the substrate dose not warp impermissibly on account of the layer stresses in the layer arrangement. To that end, the layer stresses that arise for example in the reflective layer system have to be compensated for by the layer stresses of other parts of the layer arrangement. In this case, the layer stress is subdivided into tensile stress and compressive stress.
Depending on whether the resultant forces that act perpendicularly to the surface of the substrate have a positive sign (tensile stress) or a negative sign (compressive stress).
In order to ensure the desired optical imaging quality over a number of years under continuous irradiation with EUV radiation of high intensity, it is furthermore necessary to prevent too much EUV radiation from being transmitted as far as the substrate, in order that the substrate is not exposed to high doses of EUV radiation over a long period of time. Substrates for EUV mirrors composed of materials such as e.g. Zerodur® from Schott AG or ULE® from Corning Inc. tend toward compaction of the order of magnitude of a few percent by volume under high doses of EUV radiation. With generally non-uniform irradiation of the mirrors, this compaction leads to a non-uniform change in their surface shape, as a result of which the optical imaging properties of the mirrors are changed undesirably during the operational period.
DE 10 2009 054 653 A1 discloses for example a mirror for the EUV wavelength range comprising a substrate and a layer arrangement. Said layer arrangement comprises a reflective layer system and a protective layer system, wherein the protective layer system reduces the transmission of EUV radiation through the layer arrangement overall in conjunction with low surface roughness values. By means of an intermediate layer arrangement fitted between the substrate and the layer arrangement, it is additionally possible to generate a tensile stress for compensating for layer stresses in the layer arrangement.
Different protective layer subsystems and thus also different materials for protecting the substrate from EUV radiation by absorption, for compensating for layer stress and for smoothing the surface roughnesses are usually used in this case. It is an object of the invention to provide a mirror for the EUV wavelength range having a simplified protective layer system in comparison with the prior art, which mirror, in conjunction with low surface roughness values, reduces the transmission of EUV radiation through the layer arrangement and generates a layer stress for compensating for layer stresses in the layer arrangement.
This is achieved by means of a mirror for the EUV wavelength range comprising a substrate and a layer arrangement, wherein the layer arrangement comprises
· a reflective layer system having at least one layer subsystem and
a protective layer system protecting the substrate, wherein the protective layer system comprises a periodical sequence of at least two periods, consisting of in each case two individual layers,
characterized in that the respective first individual layer of the period
simultaneously protects the substrate by absorbing the EUV radiation and compensates for layer stresses in the layer arrangement,
and the respective second individual layer reduces the surface roughness of the protective layer system by smoothing the surface roughness of the first individual layer.
It has been recognized according to the invention that the construction of the protective layer system protecting the substrate can be simplified and the thickness of the protective layer system can thus be reduced if the individual layers each inherently combine more than one functionality. In this regard, the first individual layer is designed in such a way that it firstly protects the substrate by absorbing the EUV radiation, since otherwise, as mentioned in the introduction, compaction of the substrate and thus an undesired change in the optical imaging properties during the operational period can occur under high doses of EUV radiation. Secondly, the first individual layer
simultaneously compensates for layer stresses in the layer arrangement, since otherwise the substrate warps impermissibly on account of the layer stresses. In this case, the reflective layer system and the roughness-reducing layers usually have a compressive stress, which must be compensated for by a tensile stress generated in the protective layer system. The first individual layer thus has two functionalities.
Moreover, the second individual layer is designed in such a way that it reduces the surface roughness of the protective layer system. This is achieved, firstly, by virtue of the fact that the absorbing and layer-stress-compensating effect is not produced by a thick layer, but rather as a multiplicity of thinner first individual layers that are interrupted by the second individual layers. Thus, in terms of their total thickness the first individual layers indeed have the respectively desired effect with regard to absorption and, respectively, layer stress, but the crystal growth in the first individual layers is interrupted. Therefore, the surface roughness at the surfaces of the first individual layers is reduced relative to a single thick layer. Secondly, the second individual layers are designed such that the surface roughness is additionally reduced. In this case, the resulting surface roughness of the second individual layer is dependent both on the material and on the type of deposition method of the individual layer.
As a result, it is possible to produce very smooth surfaces without high stray light losses.
The stringent requirements made of the surface roughness of the protective system are necessary in order that the losses on account of stray light are avoided in order to obtain a high reflectivity of the mirror. Said requirements apply in particular to the transitions of the individual layers of the reflective layer system, since the EUV radiation is reflected here. In order, however, that the reflective layer system can satisfy the requirements made of the surface roughness, the underlying protective layer system must already have a sufficiently low surface roughness.
In one advantageous embodiment, the ratio of the thickness of the first individual layer to the thickness of the second individual layer is constant for all periods. By virtue of the facilitated measurability of the parameters, for example of the thicknesses of the individual layers, of such a protective layer system and the process control facilitated thereby, the production of such protective layer systems is simplified. This is because only regular, repeating structures enable the determination of the period thickness by means of X-ray optical methods. In one particularly advantageous embodiment, the ratio of the thickness of the first individual layer to the thickness of the second individual layer decreases with greater distance from the substrate. As a result, the layer-stress-generating first individual layers, relative to the smoothing second individual layers, have higher thicknesses in the lower plies than in the upper plies. Thus, the generation of layer stresses is dominant in the lower region, while the effect of the roughness-reducing individual layers predominates in the upper part. The roughening of the lower layer section is thus compensated for again in the upper section. As a result, with a lower total thickness of the protective layer system, it is possible overall to achieve the same layer-stress- generating and roughness-reducing effect as in the case of a layer stack in which the ratio of the thickness of the first individual layer to the thickness of the second individual layer is constant for all periods.
In one advantageous embodiment, the material of the first individual layer (AZ) has an absorption index k at 13.5 nm of more than 0.03. In this case, the absorption index (k- value) is the imaginary part of the imaginary refractive index n = n - i-k.
In one advantageous embodiment, the transmission of EUV radiation through the layer arrangement as far as the substrate is less than 0.1 %. It has been recognized according to the invention that protecting the substrate against excessively high doses of EUV radiation necessitates designing the layer arrangement on the substrate of the mirror such that only a fraction of the EUV radiation reaches the substrate. In a manner adapted to the reflective layer system, which can comprise many periods of individual layers and thus also absorbs a proportion of the EUV radiation, in this case the protective layer system protecting the substrate is designed such that at all events the transmission of EUV radiation through the layer arrangement to the substrate is less than 0.1 %.
In one advantageous embodiment, the mirror for the EUV wavelength range is characterized in that the protective layer under EUV radiation experiences an
irreversible change in volume of less than 1 %.
In this case, irreversible change in volume under EUV radiation is understood to mean, rather than the reversible change in volume on account of thermal expansion, the long- term irreversible change in volume - brought about by high doses of EUV radiation - on account of structural changes in the material under consideration. In this case, it has been recognized according to the invention that it must also be taken into consideration that the protective layer system must remain stable even under EUV radiation at high doses that are accumulated over the lifetime of a lithography apparatus. Otherwise the problem of the irreversible change in volume is merely shifted from the substrate to the protective layer system.
Furthermore, the object of the present invention is achieved by means of a mirror for the EUV wavelength range that is characterized in that the protective layer system is provided to prevent an irreversible change in the surface of the substrate under EUV radiation of more than 0.1 nm as measured in the normal direction at a location within the irradiated region of the substrate relative to the surface of the substrate at a location outside the irradiated region as measured in the same direction and simultaneously to exert a layer stress for compensating for layer stresses in the layer arrangement.
In this case, it has been recognized according to the invention that, besides protection of the substrate, care must also be taken to ensure that the protective layer system is simultaneously adapted for compensating for the layer stresses in the layer
arrangement, since otherwise the substrate warps impermissibly on account of the layer stresses. Consequently, in the design of a protective layer system, the layer stress resulting therefrom must be taken into account. Furthermore, by means of the material selection in the case of the protective layer system, care must be taken to ensure that these do not change in the case of EUV radiation of high doses, since this inevitably entails a change in the layer stress and thus in the surface shape.
In one advantageous embodiment, the periods of the protective layer system have a thickness of 5 nm - 100 nm in order both to compensate for the occurring roughness of the tensile-stress-generating sublayer and to be able to produce tensile stresses overall. In one advantageous embodiment, the protective layer system has a thickness of 50 nm - 1000 nm in order thus to be able to produce the substrate protection, the necessary layer stress and also the measurability.
In one advantageous embodiment, the protective layer for compensating for layer stresses in the layer arrangement exerts a tensile stress of +10 MPa to +2000 MPa, which is suitable for being able to compensate for the layer stress of conventional EUV reflective layer systems in the range of from -1000 MPa to a few -10 MPa.
In one advantageous embodiment, the material of the first individual layer (AZ) consists of copper, chromium or a nickel-chromium alloy, wherein the ratio of chromium:nickel in this case lies between 30:70 and 70:30. In this case, it has been recognized according to the invention that copper, chromium or a nickel-chromium alloy are materials which can simultaneously absorb enough EUV radiation to protect the substrate against high doses of EUV radiation and have a layer-stress-generating effect.
In one advantageous embodiment, the second individual layer consists of a material which is selected or made up from the group of the materials: B4C, C, Si nitride, Si carbide, Si boride, Mo nitride, Mo carbide, Mo boride, Ru nitride, Ru carbide and Ru boride. In one advantageous embodiment, the mirror for the EUV wavelength range is characterized in that the protective layer system has a surface roughness of less than 0.6 nm rms, in particular less than 0.1 nm rms, in the spatial wavelength range of 10 nm to 1 .5 μιτι. Such layers, as mentioned in the introduction, lead to low stray light losses. In one advantageous embodiment, the mirror for the EUV wavelength range is characterized in that the individual layers of the protective layer system are applied using vacuum coating methods. Only with these methods is it possible to produce sufficiently precise and reproducible layers for EUV lithography.
Furthermore, the object of the present invention is achieved by means of a mirror for the EUV wavelength range, wherein the reflective layer system comprises at least one layer subsystem which consists of a periodic sequence of at least two periods of individual layers, wherein the periods comprise two individual layers composed of different materials for a high refractive index layer and a low refractive index layer.
In this case, as mentioned in the introduction, in the EUV wavelength range, the terms high refractive index and low refractive index are relative terms with regard to the respective partner layer in a period of a layer subsystem. Layer subsystems function in the EUV wavelength range in general only if a layer acting with an optically high refractive index is combined with an optically lower refractive index layer relative thereto as main constituent of a period of the layer subsystem. In one advantageous embodiment, the mirror for the EUV wavelength range is furthermore characterized in that the reflective layer system comprises a capping layer system, which terminates the layer arrangement of the mirror. As a result, the mirror is protected against environmental influences.
Furthermore, the object of the present invention is achieved by means of a projection lens for microlithography which comprises a mirror according to the invention.
Furthermore, the object of the present invention is achieved by means of an illumination system for microlithography which comprises a mirror according to the invention.
Furthermore, the object of the present invention is achieved by means of a projection exposure apparatus for microlithography which comprises a projection lens according to the invention and/or an illumination system according to the invention. Further features and advantages of the invention emerge from the following description of exemplary embodiments of the invention on the basis of the figures, which show details essential to the invention, and from the claims. The individual features may be realized in each case individually by themselves or as a plurality in any desired combination in a variant of the invention.
BRI EF DESCRI PTION OF THE FIGURES
Exemplary embodiments of the invention are explained in more detail below with reference to the figures. In the figures:
Figures 1 A-1 B show a schematic illustration of a first mirror according to the
invention ; Figures 2A - 2B show a schematic illustration of a second mirror according to the invention ;
Figure 3 shows a schematic illustration of a projection lens according to the
invention for a projection exposure apparatus for microlithography.
EXEMPLARY EMBODIMENTS
Figures 1 A and 1 B show a schematic illustration of a mirror (S1 ) according to the invention for the EUV wavelength range comprising a substrate (S) and a layer arrangement (X). In this case, the layer arrangement (X) comprises a reflective layer system (RL) and a protective layer system (SPL) protecting the substrate. In this case, the protective layer system (SPL) is illustrated in detail in Figure 1 A, whereas Figure 1 B shows the reflective layer system (RL) in detail. A protective layer system (SPL) serves, inter alia, to protect the substrate against excessively high doses of EUV radiation since mirror substrates (S) produced from Zerodur® or ULE®, for example, at high doses of EUV radiation, exhibit an irreversible compaction of the order of magnitude of a few percent by volume. The protective layer system (SPL) comprises a periodic sequence of at least two periods (PSPL) which consist of in each case two individual layers (AZ, R), see Figure 1 A. In this case, the first individual layer (AZ) is designed in such a way that it firstly protects the substrate (S) by absorbing the EUV radiation. By way of example, the first individual layer has an absorption index at 13.5 nm of more than 0.03.
Secondly, the first individual layer (AZ) simultaneously compensates for layer stresses in the layer arrangement (X), since otherwise the substrate (S) warps impermissibly on account of the layer stresses. By way of example, the protective layer system (SPL) for compensation of layer stresses in the layer arrangement (X) exerts a tensile stress of +10 MPa to +2000 MPa. The first individual layer (AZ) thus has two functionalities. The first individual layer (AZ) is produced for example from copper, chromium or a chromium-nickel alloy having a ratio of chromium:nickel of between 30:70 and 70:30.
In addition, the second individual layer (R) is designed in such a way that it reduces the surface roughness of the protective layer system (SPL) by smoothing the surface roughness of the first individual layer (AZ). All absorbing individual layers (AZ) of the protective layer system which are manifested in the protective layer system (SPL) and generate layer stress, in their cumulated total thickness, here have the respectively desired effect with regard to absorption and/or layer stress. However, since they are interrupted by the second individual layers (R), the crystal growth of the first individual layer (AZ) is interrupted. The second individual layer consists for example of a material which is selected or made up from the group of the materials: B4C, C, Si nitride, Si carbide, Si boride, Mo nitride, Mo carbide, Mo boride, Ru nitride, Ru carbide and Ru boride. As a result, it is possible to produce very smooth surfaces without high stray light losses; by way of example, the protective layer system has a surface roughness of less than 0.6 nm rms in the spatial wavelength range of 10 nm to 1 .5 μιτι. In particular, the surface roughness can also be less than 0.1 nm rms.
According to the invention, by virtue of the fact that the individual layer (AZ) inherently combines more than one functionality, the construction of the protective layer system protecting the substrate is simplified and the thickness of the protective layer system dp is thus reduced. By way of example, the protective layer system (SPL) has a thickness (dp) of 50 nm - 1000 nm. Alternatively, different protective layer subsystems would have to be provided for the effect of protection against EUV radiation and generation of layer stress. In this case, in Figure 1 A, by way of example, the thickness of the first individual layer (AZ) and of the second individual layer (R) is constant ( AZI = dAZ2 = . . . = dAZN = dAz and dm =dR2 = . . . = dRN = dR). The ratio of the thickness dAZN of the first individual layer (AZ) to the thickness dR of the second individual layer (R) is thus also constant for all periods (PS PL) .
The reflective layer system (RL) is elucidated in greater detail in Figure 1 B. The reflective layer system can comprise a plurality of layer subsystems (Ρ', P", P'") each consisting of a periodic sequence of at least two periods (Pi , P2, Pa) of individual layers. The periods (Pi , P2, Pa) comprise two individual layers composed of different materials for a high refractive index individual layer (Η', Η",Η"') and a low refractive index individual layer (Ι_', L", L'") and have within each layer subsystem (Ρ', P", P'") for example a constant thickness (ch, d2, d3), which deviates from a thickness of the periods of an adjacent layer subsystem. In this case, the layer subsystem P'" the furthest away from the substrate can have a number N3 of periods P3 which is greater than the number N2 of periods P2 for the layer subsystem (P") the second furthest away from the substrate. In addition, the layer subsystem ( P") the second furthest away from the substrate (S) can have a sequence of the periods (P2) such that the first high refractive index individual layer (Η"') of the layer subsystem (Ρ"') the furthest away from the substrate directly follows the last high refractive index individual layer (H") of the layer subsystem P" the second furthest away from the substrate.
Consequently, in Figure 1 B, the order of the high (H") and low refractive index (L") individual layers within the periods (P2) in the layer subsystem (P") the second furthest away from the substrate is reversed relative to the order of the high (Η', Η'") and low refractive index (Ι_', L") individual layers within the other periods ( Pi , P3) of the other layer subsystems (Ρ', Ρ'"), such that the first low refractive index layer (L") of the layer subsystem P" the second furthest away from the substrate also optically effectively follows the last low refractive index layer (Ι_') of the layer subsystem (Ρ') situated the closest to the substrate.
Furthermore, by way of example, the individual layers of the reflective layer system of the mirror according to the invention in Figures 1 A, B can be separated by at least one barrier layer (B), wherein the barrier layer (B) consists of a material which is selected or made up from the group of the materials: B4C, C, Si nitride, Si carbide, Si boride, Mo nitride, Mo carbide, Mo boride, Ru nitride, Ru carbide and Ru boride. Such a barrier layer (B) suppresses the interdiffusion between the two individual layers of a period, thereby increasing the optical contrast upon the transition of the two individual layers. With the use of the materials molybdenum and silicon for the two individual layers of a period, one barrier layer (B) above the Si layer as viewed from the substrate suffices to provide for a sufficient contrast. The second barrier layer (B) above the Mo layer can be dispensed with in this case. In this respect, at least one barrier layer (B) should be provided for separating the two individual layers of a period, wherein the at least one barrier layer (B) can be constructed from various of the above-indicated materials or the compounds thereof or in this case can also exhibit a layered construction of different materials or compounds. The layer arrangement (X) of the mirror (S1 ) according to the invention is terminated in Figures 1 A, B by a capping layer system (C) comprising at least one layer composed of a chemically inert material such as, for example, Rh, Pt, Ru, Pd, Au, S1O2 as terminating layer (M). Said terminating layer (M) thus prevents the chemical alteration of the mirror surface on account of environmental influences. The capping layer system (C) in Figures 1 A, B consists of a high refractive index individual layer (H), a low refractive index individual layer (L) and a barrier layer (B) in addition to the terminating layer (M).
It should be taken into consideration here that the reflectivity properties, the
transmission properties and the stress properties of all the individual layers must be taken into account simultaneously in any overall optimization of a layer arrangement (X).
Table 1 indicates the refractive indices used for the materials of the layer arrangement (X) h = n - i-k for a wavelength of 13.5 nm.
Table 1
Material Chemical Layer design n k
symbol symbol
Substrate 0.974 0.013
Silicon Si H, H', H", H " 0.999 0.002
Boron carbide B C B, R 0.964 0.005 Carbon C B, R 0.961 0.007
Molybdenum Mo L, L, L", L'" 0.921 0.006
Ruthenium Ru M, L, L, L", U" 0.889 0.017
Chromium- CrNi AZ 0.93..0.94 0.05..0.07
Nickel
Chromium Cr AZ 0.932 0.040
Vacuum 1 0
Furthermore, the following brief notation corresponding to the layer sequence in Figures 1 A,B is stipulated for the layer designs associated with Figures 1 A,B:
Substrate/ (AZ R)- NSPL /(PI)- NI/(P2)- N2/(P3)- Ns/Capping layer system C where Pi = H'BL'B; P2 = H"BL"B; P3 = H"'BL"'B; C = HBLM.
Here the letters AZ symbolically denote the thickness of the first individual layers of the protective layer system, R denotes the thickness of the second individual layer of the protective layer system, H denotes the thickness of high refractive index layers, L denotes the thickness of low refractive index layers, the letter B denotes the thickness of the barrier layer and the letter M denotes the thickness of the chemically inert terminating layer. In this case, the unit [nm] applies to the thicknesses of the individual layers that are indicated between parentheses.
The exemplary embodiments associated with Figures 1 A, B can thus be specified as follows in the brief notation: Exemplary embodiment 1 : the transmission of EUV radiation through the layer arrangement to the substrate is less than 0.1 % and the protective layer experiences under EUV irradiation an irreversible change in volume of less than 1 % and a surface roughness of less than 0.5 nm rms.
Substrate / ( 8 nm Cr 2 nm B C ) 25 / RL
Exemplary embodiment 2: the periods of the protective layer system have a thickness of 10 nm - 100 nm and the protective layer system has a thickness of 50 nm - 1000 nm.
Substrate / ( 30 nm Cu 1 nm C ) 5 / RL Exemplary embodiment 3: the layer arrangement exerts a tensile stress of +10 MPa to +2000 MPa whilst preventing an irreversible change in the surface of the substrate under EUV radiation of more than 0.1 nm in the normal direction.
Substrate / ( 40 nm CrNi 2 nm B4C) 4 / RL In this case, the reflective layer system RL can be constructed for example as follows:
RL= (0.4 B C 2.921 Si 0.4 B C 4.931 Mo) 8 /
(0.4 B C 4, 145 Mo 0.4 B C 2.91 1 Si) 5 /
(3.509 Si 0.4 B4C 3.216 Mo 0.4 B C) - 1 6 / 2.975 Si 0.4 B C 2 Mo 1 .5 Ru
Since the barrier layer B4C in this example is always 0.4 nm thick, it can also be omitted in order to illustrate the basic construction of the layer arrangement, such that the layer design of the reflective layer system can be indicated in shortened form as follows:
(2.921 Si 4.931 Mo) 8 / (4.145 Mo 2.91 1 Si) 5 /
(3.509 Si 3.21 6 Mo) 1 6 / 2.975 Si 2 Mo 1 .5 Ru
It is evident from this first exemplary embodiment in accordance with Figures 1 A, B that the order of the high refractive index layer Si and the low refractive index layer Mo in the second layer subsystem comprising five periods was reversed relative to the other layer subsystems, such that the first high refractive index layer of the layer subsystem the furthest away from the substrate with a thickness of 3.509 nm directly follows the last high refractive index layer of the layer subsystem the second furthest away from the substrate with a thickness of 2.91 1 nm.
Figure 2A und Figure 2B illustrate a further embodiment of the invention. In this case, in contrast to the first exemplary embodiment described in Figures 1 A, B, the ratio of the thickness dAz of the first individual layer (AZ) to the thickness dR Of the second individual layer (R) decreases with greater distance from the substrate (S), see Figure 2A. In this case, the layer-stress-generating first individual layers (AZ), relative to the smoothing second individual layers (R), have higher thicknesses in the lower plies (nearer to the substrate) compared with in the upper plies (more distant from the substrate). Thus, the generation of the compensating layer stresses predominates in the lower region, while the effect of the roughness-reducing individual layers is predominant in the upper part. The roughening of the lower layer section is thus compensated for again in the upper section. As a result, with the same or even a smaller total thickness of the protective layer system (SPL) overall it is possible to achieve the same layer-stress-compensating and roughness-reducing effect as in the case of a layer stack in which the ratio of the thickness of the first individual layer (AZ) to the thickness of the second individual layer (R) is constant for all periods.
In this case, the reflective layer system (RL) comprises a plurality of layer subsystems (Ρ', P", P'") each consisting of a periodic sequence of at least two periods (Pi , P2, Pa) of individual layers, see Figure 2B. The periods (Pi , P2, Pa) comprise two individual layers composed of different materials for a high refractive index layer (Η', H", H'") and a low refractive index layer (Ι_', L", L'") and have within each layer subsystem (Ρ', P", P'") a constant thickness (ch, d2, d3) that deviates from a thickness of the periods of an adjacent layer subsystem. In this case, the layer subsystem (Ρ"') the furthest away from the substrate has a number N3 of periods P3 which is greater than the number N2 of periods P2 for the layer subsystem (P") the second furthest away from the substrate. In this case, unlike in the exemplary embodiment with regard to Figures 1 A, B, the layer subsystem (P") the second furthest away from the substrate has a sequence of periods P2 which corresponds to the sequence of periods Pi and P3 of the other layer subsystems P' and P'", such that the first high refractive index layer (Η"') of the layer subsystem (Ρ"') the furthest away from the substrate optically effectively follows the last low refractive index layer (L") of the layer subsystem (P") the second furthest away from the substrate.
Furthermore, by way of example, the individual layers of the reflective layer system of the mirror according to the invention in Figures 2A, B can be separated by at least one barrier layer (B), analogously to the exemplary embodiment in Figures 1 A, B. Likewise analogously to the first exemplary embodiment, the layer arrangement (X) of the mirror (S2) according to the invention can be terminated by a capping layer system (C).
Furthermore, for the layer designs associated with Figures 2A,B, the following short notation is stipulated in accordance with the layer sequence in Figures 2A,B:
Substrate/ (AZ R ... AZ R) /(Pi )- Ni/(P2)- N2/(P3)- Ns/Capping layer system C where Pi = BH'BL'; P2 = BL"BH"; P3 = H"'BL"'B; C = HBLM.
In this case, analogously to the description of the first exemplary embodiment, the letters symbolically denote the thickness of the respective individual layers in the unit [nm]. The exemplary embodiments associated with Figures 2A, B can thus be specified as follows in the short notation:
Exemplary embodiment 4: the transmission of EUV radiation through the layer arrangement to the substrate is less than 0.1 % and the protective layer experiences under EUV irradiation an irreversible change in volume of less than 1 % and a surface roughness of less than 0.5 nm rms.
Substrate / (10 nm Cr 2 nm B4C ) 10 / (8 nm Cr 2 nm B4C ) 6 / (5 nm Cr 2 nm
B4C ) 6 / RL
Exemplary embodiment 5: the periods of the protective layer system have a thickness of 10 nm - 100 nm and the protective layer system has a thickness of 50 nm - 1000 nm.
Substrate / (50 nm Cu 1 nm B4C ) -2 / (30 nm Cu 1 nm B4C ) -X / (5 nm Cu 2 nm B4C ) -6 / RL
Exemplary embodiment 6: the layer arrangement exerts a tensile stress of +10 MPa to +2000 MPa whilst preventing an irreversible change in the surface of the substrate under EUV radiation of more than 0.1 nm in the normal direction.
Substrate / (50 nm CrNi 2 nm B4C) -2 / (25 nm CrNi 1 nm B4C) - 1 / (5 nm CrNi 2 nm B4C) -4 / RL
In this case, the reflective layer system RL of the second exemplary embodiment can be specified as: RL= (4.737 Si 0.4 B C 2.342 Mo 0.4 B C) 28
/ (3.443 Si 0.4 B C 2.153 Mo 0.4 B C) 5
/ (3.523 Si 0.4 B C 3.193 Mo 0.4 B C) 15
/ 2.918 Si 0.4 B C 2 Mo 1 .5 Ru Since the barrier layer B4C in this example is once again always 0.4 nm thick, it can also be omitted in order to elucidate said layer arrangement, such that the layer design with regard to Figures 2A, B can be specified in an abbreviated manner as follows: RL= (4.737 Si 2.342 Mo) 28 / (3.443 Si 2.153 Mo) 5 /
(3.523 Si 3.193 Mo) 15 / 2.918 Si 2 Mo 1 .5 Ru It goes without saying that the layer designs specified above should be understood to be merely by way of example, and that the protective layer system (SPL) of the second exemplary embodiment can in particular also be combined with a different reflective layer system (RL), for example from the first exemplary embodiment.
Figure 3 schematically shows a projection exposure apparatus (1 ) consisting of a beam- shaping system (2), an illumination system (3) and a projection lens (4), which are arranged successively in a beam path (6) proceeding from an EUV light source 5 of the beam shaping system (2). By way of example, a plasma source or a synchrotron source can serve as EUV light source (5). The emerging radiation in the wavelength range of between approximately 5 nm and approximately 20 nm is firstly focused in a collimator (7). With the aid of a downstream monochromator (8), the desired operating wavelength is filtered out by variation of the angle of incidence, as is indicated by a double-headed arrow. In the stated wavelength range, the collimator (7) and the monochromator (8) are usually designed as mirrors, wherein at least the monochromator (8), on its optical surface, has no multilayer system, in order to reflect a wavelength range having the greatest possible bandwidth. The radiation treated with regard to wavelength and spatial distribution in the beam shaping system (2) is introduced into the illumination system (3), which has for example a first and a second mirror (9, 10). The two mirrors (9, 10) guide the radiation onto a photomask (1 1 ) as a further reflective optical element, which has a structure that is imaged by means of the projection system (4) onto a wafer (12) on a reduced scale. For this purpose, by way of example, a third and a fourth mirror (13, 14) are provided in the projection system (4). The mirrors (9, 10, 13, 14) used in the projection exposure apparatus can be formed here for example in each case by a mirror (S1 , S2) according to the invention from the first or second exemplary embodiment, see Figures 1 A, B and Figures 2A, B.

Claims

PATENT CLAI MS
Mirror (S1 , S2) for the EUV wavelength range comprising
a substrate (S) and
a layer arrangement (X), wherein the layer arrangement comprises
a reflective layer system (RL) having at least one layer subsystem (Ρ') and a protective layer system (SPL) protecting the substrate,
wherein the protective layer system (SPL) comprises a periodical sequence of at least two periods (PSPL), consisting of in each case two individual layers (AZ, R), characterized in that the first individual layer (AZ) of the period (PSPL)
simultaneously protects the substrate by absorbing the EUV radiation and compensates for layer stresses in the layer arrangement (X),
and the respective second individual layer (R) reduces the surface roughness of the protective layer system (SPL) by smoothing the surface roughness of the first individual layer (AZ) and
that the material of the first individual layer (AZ) consists of copper, chromium or a nickel-chromium alloy, wherein the ratio of chromium:nickel is between 30 :70 and
70 :30.
Mirror (S1 , S2) for the EUV wavelength range according to Claim 1 , characterized in that the transmission of EUV radiation through the layer arrangement as far as the substrate is less than 0.1 %.
Mirror (S1 , S2) for the EUV wavelength range according to either of the preceding claims, characterized in that the protective layer (SPL) under EUV radiation experiences an irreversible change in volume of less than 1 %.
Mirror (S1 , S2) for the EUV wavelength range according to any of the preceding claims, characterized in that the protective layer system (SPL) prevents an irreversible change in the surface of the substrate (S) under EUV radiation of more than 0.1 nm as measured in the normal direction at a location within the irradiated region of the substrate (S) relative to the surface of the substrate (S) at a location outside the irradiated region as measured in the same direction and simultaneously exerts a layer stress for compensating for layer stresses in the layer arrangement (X).
5. Mirror (S1 , S2) for the EUV wavelength range according to any of the preceding claims, characterized in that the ratio of the thickness ( AZ) of the first individual layer (AZ) to the thickness (dp,) of the second individual layer (R) is constant for all periods (PSPL) .
6. Mirror (S1 , S2) for the EUV wavelength range according to Claims 1 -4,
characterized in that the ratio of the thickness (dAz) of the first individual layer (AZ) to the thickness (dp,) of the second individual layer (R) decreases with greater distance from the substrate (S).
7. Mirror (S1 , S2) for the EUV wavelength range according to any of the preceding claims, characterized in that the material of the first individual layer (AZ) has an absorption index at 13.5 nm of more than 0.03.
8. Mirror (S1 , S2) for the EUV wavelength range according to any of the preceding claims, characterized in that the periods (PSPL) of the protective layer system (SPL) have a thickness (dspL) of 10 nm - 100 nm.
9. Mirror (S1 , S2) for the EUV wavelength range according to any of the preceding claims, characterized in that the protective layer system (SPL) has a thickness (dp) of 50 nm - 1000 nm.
10. Mirror (S1 , S2) for the EUV wavelength range according to any of the preceding claims, characterized in that the protective layer system (SPL) for compensating for layer stresses in the layer arrangement (X) exerts a tensile stress of +10 MPa to +2000 MPa.
1 1 . Mirror (S1 , S2) for the EUV wavelength range according to any of the preceding claims, characterized in that the second individual layer (R) consists of a material which is selected or made up from the group of the materials: B4C, C, Si nitride, Si carbide, Si boride, Mo nitride, Mo carbide, Mo boride, Ru nitride, Ru carbide and Ru boride.
12. Mirror (S1 , S2) for the EUV wavelength range according to any of the preceding claims, characterized in that the protective layer system (SPL) has a surface roughness of less than 0.6 nm rms, in particular less than 0.1 nm rms, in the spatial wavelength range of 10 nm to 1 .5 μιτι.
13. Mirror (S1 , S2) for the EUV wavelength range according to any of the preceding claims, characterized in that the individual layers (AZ, R) of the protective layer system (SPL) are applied using low pressure plasmas.
14. Mirror (S1 , S2) for the EUV wavelength range according to any of the preceding claims, characterized in that the reflective layer system (RL) comprises at least one layer subsystem (Ρ',Ρ",Ρ"') which consists of a periodic sequence of at least two periods (P1 , P2, P3) of individual layers, wherein the periods (P1 , P2, P3) comprise two individual layers composed of different materials for a high refractive index layer (Η"') and a low refractive index layer (Ι_"').
15. Mirror (S1 , S2) for the EUV wavelength range according to any of the preceding claims, characterized in that the reflective layer system (RL) furthermore comprises a capping layer system (C), which terminates the layer arrangement (X) of the mirror.
16. Projection lens for microlithography comprising a mirror (S1 , S2) according to any of Claims 1 to 15.
17. Illumination system for microlithography comprising a mirror (S1 , S2) according to any of Claims 1 to 15.
8. Projection exposure apparatus for microlithography comprising a projection lens according to Claim 16 and/or an illumination system according to Claim 17.
PCT/EP2017/061697 2016-05-30 2017-05-16 Mirror for the euv wavelength range WO2017207264A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102016209273.1A DE102016209273A1 (en) 2016-05-30 2016-05-30 MIRROR FOR EUV WAVE LENGTH AREA
DE102016209273.1 2016-05-30

Publications (1)

Publication Number Publication Date
WO2017207264A1 true WO2017207264A1 (en) 2017-12-07

Family

ID=58709951

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2017/061697 WO2017207264A1 (en) 2016-05-30 2017-05-16 Mirror for the euv wavelength range

Country Status (3)

Country Link
DE (1) DE102016209273A1 (en)
TW (1) TW201802499A (en)
WO (1) WO2017207264A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11086055B2 (en) 2017-01-17 2021-08-10 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus or an inspection system

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102022208657A1 (en) 2021-11-25 2023-05-25 Carl Zeiss Smt Gmbh PROCESS FOR DECOATING AN EUV MIRROR
DE102023201546B3 (en) 2023-02-22 2024-01-25 Carl Zeiss Smt Gmbh Method for decoating an optical surface, device for decoating an optical surface and lithography system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070287076A1 (en) * 2006-06-09 2007-12-13 Fumitaro Masaki Multilayer mirror, evaluation method, exposure apparatus, device manufacturing method
US20130038929A1 (en) * 2009-12-15 2013-02-14 Carl Zeiss Smt Gmbh Mirror for the euv wavelength range, substrate for such a mirror, projection objective for microlithography comprising such a mirror or such a substrate, and projection exposure apparatus for microlithography comprising such a projection objective
US20130286471A1 (en) * 2010-09-27 2013-10-31 Carl Zeiss Smt Gmbh Mirror, projection objective with such mirror, and projection exposure apparatus for microlithography with such projection objective

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010041502A1 (en) * 2010-09-28 2012-03-29 Carl Zeiss Smt Gmbh Mirror for use in projection lens of projection exposure plant for imaging reticule in image plane using extreme UV radiations during microlithography process, has layer arrangement with layers e.g. barrier layers, made of graphene

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070287076A1 (en) * 2006-06-09 2007-12-13 Fumitaro Masaki Multilayer mirror, evaluation method, exposure apparatus, device manufacturing method
US20130038929A1 (en) * 2009-12-15 2013-02-14 Carl Zeiss Smt Gmbh Mirror for the euv wavelength range, substrate for such a mirror, projection objective for microlithography comprising such a mirror or such a substrate, and projection exposure apparatus for microlithography comprising such a projection objective
US20130286471A1 (en) * 2010-09-27 2013-10-31 Carl Zeiss Smt Gmbh Mirror, projection objective with such mirror, and projection exposure apparatus for microlithography with such projection objective

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
KATSUHIKO MURAKAMI ET AL: "Stress control of Mo/Si-based multilayer coatings deposited by ion-beam sputtering", SPIE - INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING. PROCEEDINGS, vol. 4506, 20 December 2001 (2001-12-20), US, pages 56 - 64, XP055349326, ISSN: 0277-786X, ISBN: 978-1-5106-0753-8, DOI: 10.1117/12.450945 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11086055B2 (en) 2017-01-17 2021-08-10 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus or an inspection system

Also Published As

Publication number Publication date
DE102016209273A1 (en) 2017-11-30
TW201802499A (en) 2018-01-16

Similar Documents

Publication Publication Date Title
JP5716038B2 (en) Reflective optical element for EUV lithography
US8246182B2 (en) Reflective optical element and method for production of such an optical element
US9341958B2 (en) Deflection mirror and projection exposure apparatus for microlithography comprising such a deflection mirror
US7172788B2 (en) Optical element and method for its manufacture as well as lithography apparatus and method for manufacturing a semiconductor device
KR101490533B1 (en) Multilayer reflective optical element for EUV lithography devices comprising first and second additional intermediate layers
JP5548781B2 (en) EUV wavelength region mirror, mirror substrate, microlithography projection objective lens including the mirror or the substrate, and microlithography projection exposure apparatus including the projection objective lens
KR101714818B1 (en) Reflective Mask for EUV Lithography
US20080123073A1 (en) Optical element, exposure apparatus using the same, and device manufacturing method
WO2005038886A1 (en) Multilayer film reflection mirror, production method for multilayer film reflection mirror, and exposure system
WO2017207264A1 (en) Mirror for the euv wavelength range
JP6286369B2 (en) EUV wavelength range mirror, method of manufacturing the mirror, and projection exposure apparatus including the mirror
Soufli et al. Multilayer Coatings for EUVL

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17723996

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 17723996

Country of ref document: EP

Kind code of ref document: A1