WO2002063676A2 - A slot via filled dual damascene structure without middle stop layer and method for making the same - Google Patents

A slot via filled dual damascene structure without middle stop layer and method for making the same Download PDF

Info

Publication number
WO2002063676A2
WO2002063676A2 PCT/US2001/048149 US0148149W WO02063676A2 WO 2002063676 A2 WO2002063676 A2 WO 2002063676A2 US 0148149 W US0148149 W US 0148149W WO 02063676 A2 WO02063676 A2 WO 02063676A2
Authority
WO
WIPO (PCT)
Prior art keywords
layer
dielectric layer
dielectric
slot via
dielectric material
Prior art date
Application number
PCT/US2001/048149
Other languages
French (fr)
Other versions
WO2002063676A3 (en
Inventor
Fei Wang
Lynne A. Okada
Ramkumar Subramanian
Calvin T. Gabriel
Original Assignee
Advanced Micro Devices, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/776,736 external-priority patent/US6372635B1/en
Priority claimed from US09/778,064 external-priority patent/US6429116B1/en
Application filed by Advanced Micro Devices, Inc. filed Critical Advanced Micro Devices, Inc.
Publication of WO2002063676A2 publication Critical patent/WO2002063676A2/en
Publication of WO2002063676A3 publication Critical patent/WO2002063676A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Abstract

An interconnect structure and method of forming the same in which a diffusion barrier/etch stop layer (22) is deposited over a conductive layer (20). An organic low k dielectric material (24) is deposited over the diffusion barrier/etch stop layer (22) to form a first dielectric layer (24). The first dielectric layer (24) is etched to form a slot via (50) in the first dielectric layer (24). An inorganic low k dielectric material (30) is deposited within the slot via (50) and over the first dielectric layer (24) to form a second dielectric layer (30) over the slot via (50) and the first dielectric layer (24). The re-filled via (50) is simultaneously etched with the second dielectric layer (30) in which a trench (38) is formed. The trench (38) extends in a direction that is normal to the length of the slot via (50). The entire width of the trench (38) is directly over the via (36). The re-opened via and the trench (38) are filled with a conductive material (40). In other embodiment, the first dielectric layer (24) comprises an inorganic low k dielectric layer and the second dielectric layer (30) comprises an inorganic low k dielectric layer.

Description

A SLOT VIA FILLED DUAL DAMASCENE STRUCTURE WITHOUT MIDDLE STOP LAYER AND METHOD FOR MAKING THE SAME
TECHNICAL FIELD
The present invention relates to the formation of metal interconnection layers during the manufacture of semiconductor devices, and more particularly to the formation of a damascene structure in a metal interconnect region by a via fill dual damascene technique.
BACKGROUND ART
The escalating requirements for high-density and performance associated with ultra large-scale integration semiconductor wiring require responsive changes in interconnection technology. Such escalating requirements have been found difficult to satisfy in terms of providing a low RC (resistance capacitance) interconnection pattern, particularly where sub-micron via contacts and trenches have high aspect ratios imposed by miniaturization.
Conventional semiconductor devices typically comprise a semiconductor substrate, normally of doped monocrystalline silicon, and a plurality of sequentially formed dielectric layers and conductive patterns. An integrated circuit is formed containing a plurality of conductive patterns comprising conductive lines separated by inter-wiring spacings. Typically, the conductive patterns on different layers, i.e., upper and lower layers, are electrically connected by a conductive plug filling a via hole, while a conductive plug filling a contact hole establishes electrical contact with an active region on a semiconductor substrate, such as a source/drain region. Conductive lines are formed in trenches which typically extend substantially horizontal with respect to the semiconductor substrate. Semiconductor chips comprising five or more levels of metalization are becoming more prevalent as device geometries shrink to sub-micron levels.
A conductive plug filling a via hole is typically formed by depositing a dielectric interlayer on a conductive layer comprising at least one conductive pattern, forming an opening in the dielectric layer by conventional photolithographic and etching techniques, and filling the opening with a conductive material, such as tungsten (W). Excess conductive material on the surface of the dielectric layer is typically removed by chemical mechanical polishing (CMP). One such method is known as damascene and basically involves forming an opening in the dielectric interlayer and filling the opening with a metal. Dual damascene techniques involve forming an opening comprising a lower contact or via hole section in communication with an upper trench section, which opening is filled with a conductive material, typically a metal, to simultaneously form a conductive plug and electrical contact with a conductive line.
High-performance microprocessor applications require rapid speed of semiconductor circuitry. The control speed of semiconductor circuitry varies inversely with the resistance and capacitance of the interconnect pattern. As integrated circuits become more complex and feature sizes and spacings become smaller, the integrated circuit speed becomes less dependent upon the transistor itself and more dependent upon the interconnection pattern. Miniaturization demands long interconnects having small contacts and small cross- sections. Thus, the interconnection pattern limits the speed of the integrated circuit. If the interconnection node is routed over a considerable distance, e.g., hundreds of microns or more as in sub-micron technologies, the interconnection capacitance limits the circuit node capacitance loading, and hence, the circuit speed. As integration density increases and feature size decreases in accordance with sub-micron design rules, e.g., a design rule of about 0.1 micron and below, the rejection rate due to integrated circuit speed delays severely limits production throughput and significantly increases manufacturing costs.
In prior technologies, aluminum was used in very large scale integration interconnect metalization. Copper and copper alloys have received considerable attention as a candidate for replacing aluminum in these metalizations. Copper has a lower resistivity than aluminum and improved electrical properties compared to tungsten, making copper a desirable metal for use as a conductive plug as well as conductive wiring.
In the formation of a dual damascene structure in a self-aligned manner, a conductive line and vias that connect the line to conductive elements in a previously formed underlying conductive layer, are simultaneously deposited. A conductive material is deposited into openings (e.g., the via holes and trenches) created in dielectric material that overlays the conductive interconnect layer. Typically, a first layer of dielectric material is deposited over a bottom etch stop layer that covers and protects the conductive interconnect layer. A middle etch stop layer is then deposited over the first dielectric layer. A pattern is then etched into the middle etch stop layer to define the feature, such as a via hole, that will later be etched into the first dielectric layer. Once the middle etch stop layer is patterned, a second dielectric layer is deposited on the middle etch stop layer. The hard mask layer may then be deposited on the second dielectric layer. A desired feature, such as a trench, is etched through the hard mask layer and the second dielectric layer. This etching continues so that the first dielectric layer is etched in the same step as the second dielectric layer. The etching of the two dielectric layers in a single etching step reduces the number of manufacturing steps. The bottom etch stop layer within the via hole, which has protected the conductive material in the conductive interconnect layer, is then removed with a different etchant chemistry. With the via holes now formed in the first dielectric layer and a trench formed in the second dielectric layer, conductive material is simultaneously deposited in the via and the trench in a single deposition step. (If copper is used as the conductive material, a barrier layer is conventionally deposited first to prevent copper diffusion.) The conductive material makes electrically conductive contact with the conductive material in the underlying conductive interconnect layer. In efforts to improve the operating performance of a chip, low k dielectric materials have been increasingly investigated for use as replacements for dielectric materials with higher k values. Lowering the overall k values of the dielectric layers employed in the metal interconnect layers lowers the RC of the chip and improves its performance. However, low k materials, such as benzocyclobutene (BCB), hydrogen silsesquioxane (HSQ), SiOF, etc., are often more difficult to handle than traditionally employed higher k materials, such as an oxide. For example, inorganic low k dielectric materials are readily damaged by techniques used to remove photoresist materials after the patterning of a layer. Hence, a feature formed in an inorganic low k dielectric layer may be damaged when the photoresist layer used to form the trench is removed. This is of special concern in a dual damascene arrangement if formed in a conventional manner since the inorganic material in the lower, via layer, will be damaged two times. In other words, the inorganic dielectric material in the via layer will be damaged a first time by the removal of photoresist used in forming the via. The same inorganic low k dielectric material in the via layer will also be damaged a second time when the upper layer, the trench layer, is patterned and the photoresist is removed.
Another area for improvement in dual damascene arrangements concerns the use of a middle etch stop layer between the lower and upper dielectric layers. The middle etch stop layer remains after the lower dielectric layer is etched, to form a via, for example. The presence of the middle etch stop layer normally increases the overall k value of the interconnect region. Furthermore, the provision of a middle etch stop layer adds to the number of process steps that must be employed to create the dual damascene structure. For example, a middle etch stop layer deposition step and a middle etch stop layer patterning step are required. When there are multiple interconnect levels, as is common in current manufacturing technology, these additional steps may be repeated at the different interconnect levels. The additional steps increase manufacturing cost and reduce throughput.
Another area of concern in the formation of trench and via interconnect structures by dual damascene technique is the possibility of misalignment of the via and the trench. As seen in the top view of Figure 15, a via
70 that has been formed in a lower dielectric layer is misaligned with respect to the trench (and the subsequently formed conductive line 60). Hence, only a portion of the via 70 is filled with conductive material to form the conductive plug 62.
A side view of the structure of Figure 15 is depicted in Figure 14. The pattern 68 formed in the middle etch stop layer 65 over the first dielectric layer 64 is misaligned with respect to the trench pattern. When the trench in the second dielectric layer 66 and the via are etched in a simultaneous anisotropic etch, the etch will proceed in accordance with the overlying trench pattern. This causes only a portion of the intended via to be opened, since the via is not fully underneath the trench. Upon subsequent filling with conductive material, the conductive plug 62 that is formed is narrower than intended. This undesirably increases the resistance of the conductive plug 62, leading to increases in RC.
There is a need for a method and arrangement for providing a dual damascene interconnect structure which allows low k dielectric layers to be employed in a via layer without risking significant damage to the formed via, while reducing concerns regarding the use of a middle etch stop layer between the lower and upper dielectric layers. There is also a need to assure that a full width of a via is provided directly underneath a conductive line formed in a trench so that the conductive plug in the via has its full intended width.
DISCLOSURE OF THE INVENTION
These and other needs are met by embodiments of the present invention which provide a method of forming an interconnect structure comprising the steps of depositing diffusion barrier layer over a conductive layer and a first dielectric material over the diffusion barrier layer to form a first dielectric layer. The first dielectric material is an organic dielectric material. The first dielectric layer is etched to form a slot via in the first dielectric layer. The slot via extends with a slot length in a first direction within the first dielectric layer. A second dielectric material is then deposited in the slot via and over the first dielectric layer to form a second dielectric layer over the refilled slot via and the first dielectric layer. The second dielectric material is an inorganic dielectric material. The refilled slot via is etched simultaneously with a trench in the second dielectric layer such that a feature is formed that extends within the second dielectric layer in a second direction that is normal to the first direction. At least a portion of the slot via is etched. The feature has a width in the second direction that is less than the slot length, with the entire width of the feature being over the slot via. In other embodiments of the invention, the first dielectric material is an inorganic dielectric material and the second dielectric material is an organic dielectric material.
The provision in a first dielectric layer of a slot via that is wider than the width of the trench assures that the conductive plug that is formed will be as wide as the overlying conductive line. Also, by depositing a second dielectric material within the via, after the initial formation of the via, the second etching of a via is through newly deposited dielectric material. This has the advantage of reducing the amount of damage to the organic dielectric material in the first dielectric layer by a resist removal process. The method of the invention allows low k organic dielectric material to be employed in the first dielectric layer, and inorganic low k dielectric material in the second dielectric layer. The organic low k dielectric material is less sensitive to damage from a photoresist removal process than inorganic low k dielectric material. Hence, by providing the inorganic low k dielectric material in the upper dielectric layer, the inorganic low k dielectric material is subjected to a possibly damaging photoresist removal process only once in a dual damascene method of interconnect formation. This has the effect of increasing structural integrity and the formation of the vias and the conductive plugs in the first dielectric layer.
In embodiments in which the first dielectric material is inorganic low k dielectric material and the second dielectric material is organic dielectric material, the re-filling of the via in the lower dielectric layer with organic low k dielectric material is advantageous. Damage to the inorganic low k dielectric material at the via site from a damaging photoresist removal process during the dual damascene method of interconnect formation is reduced. This has the effect of increasing structural integrity and the formation of the vias and the conductive plugs in the first dielectric layer. The use of two different types of low k materials, such as organic and inorganic materials, allows etching of one dielectric layer without etching of the other dielectric layer. This obviates the need for the middle etch stop layer. In certain embodiments, the diffusion barrier layer is formed by anti-reflective coating material underneath the lower dielectric layer, which makes the patterning of the photoresist layer on the lower dielectric layer more precise, leading to better formed vias in the lower dielectric layer than would otherwise be formed when a patterned etch stop layer over the lower dielectric layer is not used.
The earlier stated needs are met by another embodiment of the present invention which provides a method of forming an interconnect structure comprising forming diffusion barrier layer on a conductive layer and forming a first dielectric layer on the diffusion barrier layer. The dielectric material in the first dielectric layer is an organic dielectric material. A slot via is formed in the first dielectric layer, the slot via having a width and a length extending in a first direction in the plane of the first dielectric layer. A second dielectric layer is deposited on the first dielectric layer and in the slot via. The dielectric material in the second dielectric layer is an inorganic dielectric material. The second dielectric layer and the refilled slot via are simultaneously etched to form a trench in the second dielectric layer, and a via in the first dielectric layer. The trench has a width and a length extending in a second direction in the plane of the second dielectric layer. The first and second directions are substantially normal to one another. The width of the trench is less than the length of the slot via. The etched via has a width substantially equal to the width of the line and is substantially entirely under the line. The via and the trench are then filled with conductive material. In other embodiments, the dielectric material in the first dielectric layer is inorganic dielectric material, and the dielectric material in the second dielectric layer is organic dielectric material. The earlier stated needs are also met by another embodiment of the present invention which provides an interconnect structure comprising diffusion barrier layer on a conductive layer and a first dielectric layer comprising an organic dielectric material on the diffusion barrier layer. A patterned slot extends in a first direction in the first dielectric layer. A second dielectric layer over the first dielectric layer comprises an inorganic dielectric material, with some of the inorganic dielectric material being in the first dielectric layer within the patterned slot. A conductive stud is provided within a via formed in the patterned slot of the first dielectric layer. A conductive line is formed in the second dielectric layer and extends in a second direction normal to the first direction. The conductive line and the conductive stud have substantially the same width. Substantially the entire width of the conductive stud is located directly beneath the conductive line. In other embodiments, the first dielectric layer comprises an inorganic dielectric material and the second dielectric layer comprises an organic dielectric material.
The foregoing and other features, aspects, and advantages of the present invention will become more apparent in the following detailed description of the present invention when taken in conjunction with the accompanying drawings.
BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 is a cross-section of a metal interconnect portion of a semiconductor wafer after a first etch stop layer is deposited on an underlying conductive interconnection layer, in accordance with embodiments of the present invention.
Figure 2 is a cross-section of the metal interconnect portion of Figure 1, after a first dielectric layer is formed on the first etch stop layer, in accordance with the embodiments of the present invention. Figure 3 is a cross-section of the portion of Figure 2, following the deposition and patterning of a photoresist layer on the first dielectric layer to define a feature to be etched into the first dielectric layer, in accordance with the embodiments of the present invention.
Figure 4 is a cross-section of the portion of Figure 3, after the first dielectric layer is etched to form a slot via in accordance with the pattern in the photoresist layer, in accordance with the embodiments of the present invention.
Figure 5 is a cross-section of the portion of Figure 4, following removal of the photoresist layer on the first dielectric layer, and the formation of a second dielectric layer on the first dielectric layer and the filling of the via in the first dielectric layer.
Figure 6 is a cross-section of the portion of Figure 5, following the deposition of a hard mask layer on the second dielectric layer, in accordance with the embodiments of the present invention.
Figure 7 is a cross-section of the portion of Figure 6, following the positioning and patterning of a photoresist layer on a second dielectric layer to define a first feature to be etched in the second dielectric layer, in accordance with the embodiments of the present invention.
Figure 8 is a cross-section of the portion of Figure 7, after etching through the hard mask layer and the second dielectric layer in accordance with the pattern in the photoresist layer to create a first feature in the second dielectric layer, and etching through the re-filled slot via to define a via in the first dielectric layer, in accordance with the embodiments of the present invention.
Figure 9 is a cross-section of the portion of Figure 8, following removal of the photoresist layer, in accordance with the embodiments of the present invention. Figure 10 is a cross-section of the portion of Figure 9, following etching of the first etch stop layer, in accordance with the embodiments of the present invention.
Figure 11 is a cross-section of the portion of Figure 10, taken along line I-I of Figure 13, after the first and second features are filled with a conductive material, in accordance with the embodiments of the present invention. Figure 12 is a cross-section of the portion of Figure 10, taken along line II-II of Figure 13, after the first and second features are filled with a conductive material, in accordance with the embodiments of the present invention.
Figure 13 is a top view of a portion of an interconnect arrangement having a slot via in accordance with embodiments of the present invention.
Figure 14 is a cross-section of an interconnect structure formed in accordance with the prior art.
Figure 15 is a top view of the interconnect structure of Figure 14 formed in accordance with the prior art.
MODES FOR CARRYING OUT THE INVENTION The present invention addresses and solves problems associated with the use of low k dielectric materials in the dielectric layers of a self-aligned dual damascene arrangement in a metal interconnect region of a semiconductor chip. Specifically, the present invention lowers the overall dielectric constant value of the film and prevents extensive damage to the lower dielectric layer of the dual damascene arrangement, while assuring that the conductive plug has a full width foπned underneath the conductive line in a dual damascene arrangement. This is accomplished, in part, by providing an organic low k dielectric layer as the bottom dielectric layer in the dual damascene arrangement. A slot via is formed in the first dielectric layer that extends perpendicularly to the trench that will be formed in the second dielectric layer. The slot via is longer than the width of the trench. After forming the slot via, and removing the photoresist used to pattern this slot via, the slot via is re-filled with an inorganic dielectric material that is used to form the second dielectric layer. When the second dielectric layer is etched to form the line or other feature in the second dielectric layer, the filled slot via is again etched to re-open the via directly underneath the trench. The slot via thus assures that the via that is eventually formed has a full width directly underneath the trench. Also, the inorganic dielectric material is not subjected to a damaging photoresist removal process after the slot via has been re-filled. The use of two types of low k dielectric materials in the lower and upper dielectric layers, having different etch sensitivities, allows the elimination of an etch stop layer between the dielectric layers. This lowers the overall k value of the interconnect structure and reduces the number of processing steps and the manufacturing costs. In other embodiments, the first dielectric layer is an inorganic low k dielectric layer and the second dielectric layer is an organic low k dielectric layer.
Figure 1 depicts a cross-section of a metal interconnect portion of a chip processed in accordance with embodiments of the present invention, at one stage of the processing. At this stage, a conductive layer 20 has been formed, which may be made of a metal, for example, or other conductive material. In especially preferred embodiments, the conductive metal is copper or a copper alloy, due to the earlier stated advantages of copper over other metals. A first etch stop layer 22 is formed, by deposition, for example, over the conductive layer 20. The first etch stop layer 22, in certain embodiments, is made of silicon carbide. Other materials may be used for the first etch stop layer 22, such as silicon oxynitride, silicon nitride, etc. A primary purpose of the first etch stop layer at 22 is to protect the conductive material 20 during etching of the overlying dielectric layers. The first etch stop layer 22 also serves as a diffusion barrier. Another purpose of the first etch stop layer 22 is as an anti- reflective coating (ARC), which improves the formation of the via in the first dielectric layer. Silicon carbide, as one example of an etch stop material, exhibits excellent properties as an ARC, and is therefore particularly suitable for use in the first etch stop layer 22. As shown in Figure 2, a first dielectric layer 24 is then formed on the first etch stop layer 22. In a first embodiment of the present invention, the material forming the first dielectric layer 24 is an organic dielectric material. In particularly preferred embodiments, the organic dielectric material is an organic low k dielectric material. The organic low k dielectric material may be selected from a number of different materials, including SILK, benzocyclobutene, Nautilus (all manufactured by Dow), polyfluorotetraethylene (manufactured by
DuPont), FLARE (manufactured by Allied Chemical), etc. For purposes of example, assume that the first dielectric layer 24 is formed to a thickness of between about 1,000 and about 8,000 A.
Figure 3 is a cross-section of the metal interconnect portion of Figure 2 following the positioning and patterning of a photoresist layer 28 on the first dielectric layer 24. The pattern created within the photoresist layer 28 defines the feature, such as a slot via, that will eventually be etched into the first dielectric layer 24.
When the diffusion barrier comprises an ARC to form the first etch stop layer 22, the resolution of the feature in the photoresist layer 28 is improved.
Unlike conventional vias, the slot via of the present invention has a length that is greater than the width of the trench that will be formed in the second dielectric layer. In order to assure that the slot will extend across the entire width of the trench, the length of the slot via in certain embodiments of the invention is made equal to the width of the trench plus two times the misalignment tolerance. For example, assuming that the misalignment tolerance in 8 nm, a perfectly aligned slot via will extend 8 nm on both sides of the trench, but the other end of the slot via will coincide with the other side of the trench. This assures that a via will be formed that extends completely under the entire width of the trench. The slot via will be perpendicular to the trench that will be formed, as depicted in Figure 13. Since each slot via could possibly extend by a maximum tolerance on one side of a trench, the trench lines should be separated by more than twice the maximum tolerance to prevent two maximally misaligned vias connected to adjacent lines from contacting each other.
Figure 4 is a cross-section of the portion of Figure 3 following the etching of the first dielectric layer 24 in accordance with the embodiments of the present invention to form a slot via 50. The etchant should be selective such that the material on the first dielectric layer 24 is etched, with the etching stopping at the first etch stop layer 22. The etchant chemistry employed to etch the organic dielectric material in the first dielectric layer 24 may be N2/H , or 02/N2/Ar, for example. At least some of the photoresist layer 28 is depicted in Figure 4 as remaining on the first dielectric layer 24 following the etching of the via in Figure 3. However, in certain embodiments of the invention, the etching of the via and the photoresist layer 28 are performed simultaneously, so that all of the photoresist layer 28 is removed when the via is etched. In such embodiments, the structure of
Figure 4 is not produced, and the next structure after Figure 3 is that of Figure 5.
Figure 5 is a cross-section of the portion of Figure 4 following the removal of the photoresist layer 28 and the formation of a second dielectric layer 30 over the first dielectric layer 24. The dielectric material in the second dielectric layer 30 also fills the slot via 50 that was previously opened in the first dielectric layer 24, as was depicted in Figure 4. This has the result that the previously opened slot via 50, which may have been damaged by the removal of the photoresist layer 28, has been refilled with dielectric material that has not been damaged.
The top surface of the first dielectric layer 24 may be damaged by the photoresist removal process performed in Figure 5, although organic low k dielectric material is not as sensitive to such processes as inorganic low k dielectric material. In certain embodiments of the invention, the damage is largely prevented by forming a cap layer over the first dielectric layer 24 prior to the patterning and etching of the via. Exemplary materials include silicon oxide, or silicdon nitride, although other materials may be used. The cap layer may remain in place, or may be removed. The formation of a cap layer and its removal are not shown in these drawings. The second dielectric layer 30 is formed once the cap layer is removed, in these embodiments The dielectric material in the second dielectric layer 30 and in the now re-filled slot via 50 in the first dielectric layer 24 is an inorganic dielectric material in a first embodiment of the present invention. In certain preferred embodiments of the invention, the inorganic dielectric material is a low k dielectric material. An example of an inorganic dielectric material is a silicon oxide, such as Si02. Examples of inorganic low k dielectric materials include methyl silsesquioxane (MSQ), hydrogen silsesquioxane (HSQ), and fluorinated tetraethyl orthosilicate (FTEOS). The use of a low k dielectric material in the upper, trench layer is advantageous in that reduction of line-to-line capacitance is even more critical than reducing capacitance in the via layer -to increase circuit operating speeds. Providing an inorganic material in one of the dielectric layers and an organic material in the other of the dielectric layers enables good etch selectivity between the dielectric layers. When the re-filled via is etched, as described below, the etch selectivity allows the re-filled via to be precisely etched, as only the second dielectric material is etched, and not the surrounding first dielectric material.
The via is re-filled with the same material as in the upper, second dielectric layer. It should be appreciated that the use of the same dielectric material in the second dielectric layer 30 and in the re-filled via in the first dielectric layer 24 ensures that a single etchant can be employed to etch both the trench and the re-filled slot via 50. In certain embodiments, planarization is not required. In other embodiments, planarization of the second dielectric layer 30 is performed, such as by CMP. In either embodiment, a hard mask layer 32 is deposited on the second dielectric layer 30, as depicted in Figure 6. The hard mask layer 32 may comprise silicon oxide or silicon nitride, for example, and serves to selectively protect the second dielectric layer 30 during the etching steps.
As shown in Figure 7, a photoresist layer 34 is then deposited and patterned on the hard mask layer 32. The pattern in the photoresist layer 34 contains a feature that is to be etched into the second dielectric layer 30.
The desired feature, such as a trench opening, is created (see Figure 8) by etching the hard mask layer 32 and the second dielectric layer 30. The etching continues through the portion of the re-filled slot via 50 that is directly under the trench formed in the second dielectric layer 30. The etching stops as the first etch stop layer 22. As can best be appreciated in Figure 8, only a portion of the slot via 50 is etched in this step, with the remaining portion of the slot via 50, i.e., that portion not directly under the trench, still containing the second dielectric material.
Since the material surrounding the re-filled via is organic dielectric material and the via was re-filled with inorganic dielectric material, the first dielectric layer is not etched in this selective etching process, except for the re-opening of the via. In preferred embodiments of the invention, multiple etching steps are used to create the structure depicted in Figure 10. These steps include etching the hard mask layer 32, followed by simultaneously etching the second dielectric layer 30 and the re-filled slot via 50 in the first dielectric layer 24.
A suitable etchant chemistry for etching the nitride hard mask layer 32 is CHF3/N2. The etchant chemistry employed to etch the inorganic dielectric material in the second dielectric layer 30 and the re-filled via may be CHF3/02/Ar, C FS, CF4, or C2F6. These etchants are exemplary only, as a suitable etchant may be employed depending on the particular inorganic dielectric material comprising the second dielectric layer 30. As stated earlier, the use of two different types of dielectric materials with different etch sensitivities allows precise formation of the via from the re-filled via. Only the second dielectric material, which is in the refilled via, will be etched in the first dielectric layer during the etch of the second dielectric layer and the re-filled via. The first dielectric material, which previously filled but now surrounds the re-filled via in the first dielectric layer, is not etched during the re-opening of the via.
As seen in Figure 9, the photoresist layer 34 may be removed by an etching or oxygen ashing process. Although the second dielectric layer 30 and the re-opened via 36 are formed from inorganic dielectric material in the first embodiment, this material is exposed to only a single photoresist removal process that could possibly cause damage to the trench or the via that have been formed. This provides an improvement in the structure of the via 36 and the trench over processes in which inorganic dielectric material in the via layer and/or the trench layer are subjected to multiple process steps in which the via may be damaged, and even single photoresist removal process steps in which the trench is damaged.
The first etch stop layer 22 is now etched within the re-opened via 36 that now exists in the first dielectric layer 24, as seen in Figure 10. This removes the etch stop material covering the conductive material on the conductive layer 20. A suitable etchant to remove the etch stop material, for example silicon carbide, while not adversely affecting the conductive material (e.g., copper), is CHF3/N2. This etchant chemistry prevents copper oxidation, removes sidewall polymer with N2, and etches the silicon carbide without undercutting the dielectric material in the second dielectric layer 30 or the dielectric material in the first dielectric layer 24. The etching of the first etch stop layer 22 leaves a first opening 36, such as a via hole, and a second opening 38, such as a trench.
The via hole 36 and the trench 38 are now filled in a simultaneous deposition step with conductive material, preferably copper in certain embodiments of the present invention. Deposition of a barrier layer, typically employed to prevent copper diffusion, is not depicted in order not to obscure the invention, although one can be provided. Also, in certain embodiments of the invention, barrier layers not needed as certain low k dielectric materials form a self-barrier against copper diffusion. After chemical mechanical planarization (CMP), the dual damascene structure of Figure 11 is formed with the via (or stud) 40 electrically connecting the underlying conductive layer 20 to the conductive line 42 formed in the trench 38.
In a second embodiment of the invention, the first dielectric layer comprises an inorganic dielectric material and the second dielectric layer comprises an organic dielectric material. The particular etchants suitable for these materials are described above. With respect to the removal of the photoresist layer 34 (Figure 9), it is noted that since the second dielectric layer 30 and the re-opened via 36 are formed from organic dielectric material in this second embodiment, the trench and the via 36 that are formed in the organic dielectric material are more tolerant of the photoresist removal process than inorganic dielectric material, and thus shows less damage from the photoresist removal process. The filling of a previously formed slot via during the position of a second dielectric layer allows the formation of a via that is subjected to a reduced amount of damage caused by processes such as photoresist removals. This enhances the integrity of the features formed in the lower dielectric layer, such as a via layer, while allowing the use of inorganic low k dielectric materials in one of the layers of a dual damascene arrangement. The use of a slot via ensures that the via which is ultimately formed will extend completely underneath the trench. Although the present invention has been described and illustrated in detailed, it is to be clearly understood that the same is by way of illustration and example only and is not to be taken by way of limitation, the scope of the present invention being limited only by the terms of the appended claims.

Claims

CLAIMSWHAT IS CLAIMED IS:
1. A method of forming an interconnect structure, comprising the steps of: depositing a barrier diffusion layer (22) over a conductive layer (20); depositing a first dielectric material (24) over the barrier diffusion layer (22) to form a first dielectric layer (24), the first dielectric material (24) being an organic low k dielectric material (24); etching the first dielectric layer (24) to form a slot via (50) in the first dielectric layer, the slot via (50) extending with a slot length in a first direction within the first dielectric layer (24); depositing a second dielectric material (30) in the slot via (50) and over the first dielectric layer (24) to form a second dielectric layer (30) over the slot via (50) and the first dielecfric layer (24), the second dielectric material (30) being an inorganic dielectric material (30); and simultaneously etching the slot via (50) and the second dielectric layer (30) such that a feature
(36, 38) is formed that extends within the second dielectric layer (30) in a second direction that is normal to the first direction, and at least a portion of the slot via (50) is etched, the feature (36, 38) having a width in the second direction that is less than the slot length, with the entire width of the feature (36, 38) being over the slot via (50).
2. The method of claim 1, wherein the organic low k dielectric material (24) is selected from at least one of SILK, benzocyclobutene, Nautilus, FLARE, and Teflon.
3. The method of claim 2, wherein the inorganic dielectric material (30) is selected from at least one of silicon dioxide, methyl silsesquioxane (MSQ), hydrogen silsesquioxane (HSQ), and fluorine tetraethylorthosiUcate (FTEOS).
4. The method of claim 3, further comprising depositing conductive material (40) simultaneously in the slot via (50) and the feature (36, 38).
5. The method of claim 4, wherein the conductive material (40) is copper.
6. A method of forming an interconnect structure, comprising the steps of: depositing a diffusion barrier layer (22) over a conductive layer (20); depositing a first dielectric material (24) over the diffusion barrier layer (22) to form a first dielecfric layer (24), the first dielecfric material (24) being an inorganic dielectric material (24); etching the first dielectric layer (24) to form a slot via (50) in the first dielectric layer (24), the slot via (50) extending with a slot length in a first direction within the first dielectric layer (24); depositing a second dielecfric material (30) in the slot via (50) and over the first dielecfric layer (24) to form a second dielectric layer (30) over the slot via (50) and the first dielectric layer (24), the second dielectric material (30) being an organic dielectric material (30); and simultaneously etching the slot via (50) and the second dielectric layer (30) such that a feature (36, 38) is formed that extends within the second dielectric layer (30) in a second direction that is normal to the first direction, and at least a portion of the slot via (50) is etched, the feature (36, 38) having a width in the second direction that is less than the slot length, with the entire width of the feature (36, 38) being over the slot via (50).
7. The method of claim 6, wherein the organic low k dielectric material (30) is selected from at least one of SILK, benzocyclobutene, FLARE, Teflon, and Nautilus.
8. The method of claim 7, wherein the inorganic dielectric material (24) is selected from at least one of silicon dioxide, methyl silsesquioxane (MSQ), hydrogen silsesquioxane (HSQ), and fluorine tetraethylorthosiUcate (FTEOS).
9. The method of claim 8, further comprising depositing conductive material (40) simultaneously in the slot via (50) and the feature (36, 38).
10. The method of claim 9, wherein the conductive material is copper (40).
PCT/US2001/048149 2001-02-06 2001-12-12 A slot via filled dual damascene structure without middle stop layer and method for making the same WO2002063676A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/776,736 US6372635B1 (en) 2001-02-06 2001-02-06 Method for making a slot via filled dual damascene low k interconnect structure without middle stop layer
US09/776,736 2001-02-06
US09/778,064 US6429116B1 (en) 2001-02-07 2001-02-07 Method of fabricating a slot dual damascene structure without middle stop layer
US09/778,064 2001-02-07

Publications (2)

Publication Number Publication Date
WO2002063676A2 true WO2002063676A2 (en) 2002-08-15
WO2002063676A3 WO2002063676A3 (en) 2003-03-13

Family

ID=27119222

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/048149 WO2002063676A2 (en) 2001-02-06 2001-12-12 A slot via filled dual damascene structure without middle stop layer and method for making the same

Country Status (2)

Country Link
TW (1) TW529091B (en)
WO (1) WO2002063676A2 (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000075988A1 (en) * 1999-06-09 2000-12-14 Alliedsignal Inc. Integrated circuits with multiple low dielectric-constant inter-metal dielectrics

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09172067A (en) * 1995-10-16 1997-06-30 Mitsubishi Electric Corp Semiconductor device and its manufacture
JP3798908B2 (en) * 1998-05-07 2006-07-19 三菱電機株式会社 Semiconductor device and manufacturing method thereof
JP2000299377A (en) * 1999-04-14 2000-10-24 Sony Corp Multilayer wiring and forming method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000075988A1 (en) * 1999-06-09 2000-12-14 Alliedsignal Inc. Integrated circuits with multiple low dielectric-constant inter-metal dielectrics

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 1997, no. 10, 31 October 1997 (1997-10-31) -& JP 09 172067 A (MITSUBISHI ELECTRIC CORP), 30 June 1997 (1997-06-30) *
PATENT ABSTRACTS OF JAPAN vol. 2000, no. 02, 29 February 2000 (2000-02-29) -& JP 11 317447 A (MITSUBISHI ELECTRIC CORP), 16 November 1999 (1999-11-16) -& US 6 215 189 B1 (FUKADA TETSUO ET AL) 10 April 2001 (2001-04-10) *
PATENT ABSTRACTS OF JAPAN vol. 2000, no. 13, 5 February 2001 (2001-02-05) -& JP 2000 299377 A (SONY CORP), 24 October 2000 (2000-10-24) *

Also Published As

Publication number Publication date
WO2002063676A3 (en) 2003-03-13
TW529091B (en) 2003-04-21

Similar Documents

Publication Publication Date Title
US6472317B1 (en) Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
US6603206B2 (en) Slot via filled dual damascene interconnect structure without middle etch stop layer
US6291887B1 (en) Dual damascene arrangements for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6468894B1 (en) Metal interconnection structure with dummy vias
US5935868A (en) Interconnect structure and method to achieve unlanded vias for low dielectric constant materials
US6235628B1 (en) Method of forming dual damascene arrangement for metal interconnection with low k dielectric constant materials and oxide middle etch stop layer
US7312532B2 (en) Dual damascene interconnect structure with improved electro migration lifetimes
US6153514A (en) Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US20040232552A1 (en) Air gap dual damascene process and structure
US20100219529A1 (en) Method and apparatus for forming metal-metal oxide etch stop/barrier for integrated circuit interconnects
US6372631B1 (en) Method of making a via filled dual damascene structure without middle stop layer
US6521524B1 (en) Via filled dual damascene structure with middle stop layer and method for making the same
US6576550B1 (en) ‘Via first’ dual damascene process for copper metallization
US6620727B2 (en) Aluminum hardmask for dielectric etch
US6207577B1 (en) Self-aligned dual damascene arrangement for metal interconnection with oxide dielectric layer and low k dielectric constant layer
US6207576B1 (en) Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and oxide etch stop layer
US6383919B1 (en) Method of making a dual damascene structure without middle stop layer
US7087350B2 (en) Method for combining via patterns into a single mask
US6821896B1 (en) Method to eliminate via poison effect
US6638849B2 (en) Method for manufacturing semiconductor devices having copper interconnect and low-K dielectric layer
US6660619B1 (en) Dual damascene metal interconnect structure with dielectric studs
US6429116B1 (en) Method of fabricating a slot dual damascene structure without middle stop layer
KR100338850B1 (en) Embedded wiring structure and method for forming the same
US6465340B1 (en) Via filled dual damascene structure with middle stop layer and method for making the same
US6632707B1 (en) Method for forming an interconnect structure using a CVD organic BARC to mitigate via poisoning

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PH PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PH PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase in:

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP