US8598005B2 - Method and manufacture for embedded flash to achieve high quality spacers for core and high voltage devices and low temperature spacers for high performance logic devices - Google Patents

Method and manufacture for embedded flash to achieve high quality spacers for core and high voltage devices and low temperature spacers for high performance logic devices Download PDF

Info

Publication number
US8598005B2
US8598005B2 US13/185,390 US201113185390A US8598005B2 US 8598005 B2 US8598005 B2 US 8598005B2 US 201113185390 A US201113185390 A US 201113185390A US 8598005 B2 US8598005 B2 US 8598005B2
Authority
US
United States
Prior art keywords
voltage device
device region
low
memory
nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US13/185,390
Other versions
US20130023101A1 (en
Inventor
Simon Siu-Sing Chan
Hidehiko Shiraiwa
Chuan Lin
Lei Xue
Kenichi Ohtsuka
Angela Tai Hui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Morgan Stanley Senior Funding Inc
Infineon Technologies LLC
Original Assignee
Spansion LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Spansion LLC filed Critical Spansion LLC
Priority to US13/185,390 priority Critical patent/US8598005B2/en
Assigned to SPANSION LLC reassignment SPANSION LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHAN, SIMON SIU-SING, HUI, ANGELA TAI, LIN, CHUAN, OHTSUKA, KENICHI, SHIRAIWA, HIDEHIKO, XUE, LEI
Publication of US20130023101A1 publication Critical patent/US20130023101A1/en
Application granted granted Critical
Publication of US8598005B2 publication Critical patent/US8598005B2/en
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CYPRESS SEMICONDUCTOR CORPORATION, SPANSION LLC
Assigned to MUFG UNION BANK, N.A. reassignment MUFG UNION BANK, N.A. ASSIGNMENT AND ASSUMPTION OF SECURITY INTEREST IN INTELLECTUAL PROPERTY Assignors: MORGAN STANLEY SENIOR FUNDING, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. CORRECTIVE ASSIGNMENT TO CORRECT THE 8647899 PREVIOUSLY RECORDED ON REEL 035240 FRAME 0429. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTERST. Assignors: CYPRESS SEMICONDUCTOR CORPORATION, SPANSION LLC
Assigned to SPANSION LLC, CYPRESS SEMICONDUCTOR CORPORATION reassignment SPANSION LLC RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MUFG UNION BANK, N.A.
Assigned to Infineon Technologies LLC reassignment Infineon Technologies LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CYPRESS SEMICONDUCTOR CORPORATION
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer

Definitions

  • the invention is related to computer-readable memory, and in particular, but not exclusively, to a method and manufacture for creating spacers in flash memory in such a way that the spacers in the core section and the high-voltage device sections have high-quality spacers and low-temperature materials are employed for the spacers in the low-voltage, high performance logic devices.
  • EEPROM electrically erasable programmable read only memory
  • EPROM electrically programmable read only memory
  • Flash memory combines the advantages of these two memory types. This type of memory is used in many electronic products, from large electronics like cars, industrial control systems, and etc. to small portable electronics such as laptop computers, portable music players, cell phones, and etc.
  • Flash memory is generally constructed of many memory cells where a single bit is held within each memory cell. Yet a more recent technology known as MirrorBitTM Flash memory doubles the density of conventional Flash memory by storing two physically distinct bits on opposite sides of a memory cell. The reading or writing of a bit occurs independently of the bit on the opposite side of the cell.
  • a memory cell is constructed of bit lines formed in a semiconductor substrate. An oxide-nitride-oxide (ONO) dielectric layer is formed over the top of the substrate and bit lines. The nitride serves as the charge storage layer between two insulating layers. Word lines are then formed over the top of the ONO layer perpendicular to the bit lines.
  • ONO oxide-nitride-oxide
  • MirrorBitTM Flash memory may be applied to different architectures of flash memory, including NOR flash and NAND flash.
  • FIG. 1 illustrates a block diagram of an embodiment of a memory
  • FIG. 2 shows a partial top plan view of an embodiment of core and peripheral sections of a memory that may be employed in the memory of FIG. 1 ;
  • FIG. 3 illustrates a block diagram of an embodiment of a NOR memory array
  • FIG. 4 shows a cross-sectional side view of an embodiment of a core section of FIG. 2 ;
  • FIG. 5 illustrates a flow chart of an embodiment of a process
  • FIG. 6 shows a flow chart of an embodiment of a process for the step of spacer formation and junction formation on the memory cell region and high-voltage device region of FIG. 5 ;
  • FIG. 7 illustrates a flow chart of an embodiment of a process for the step of spacer formation and junction formation on the low-voltage device region of FIG. 5 ;
  • FIG. 8 shows a flow chart of an embodiment of the process of FIG. 5 ;
  • FIGS. 9-14 illustrate embodiments of portions of a flash memory device in fabrication, including the core region, high-voltage device region, and low-voltage device region, as various steps in the process of FIG. 8 are performed;
  • FIG. 15 illustrates a block diagram of an embodiment of a system that includes the memory device of FIG. 1 , in accordance with aspects of the invention.
  • Coupled means at least either a direct electrical connection between the items connected, or an indirect connection through one or more passive or active intermediary devices.
  • signal means at least one current, voltage, charge, temperature, data, or other signal.
  • a method and manufacture for memory device fabrication includes spacer formation and junction formation that is performed on both: a memory cell region in a core section of a memory device in fabrication, and a high-voltage device region in a periphery section of the memory device in fabrication.
  • the spacer formation and junction formation on both the memory cell region and the high-voltage device region includes performing a rapid thermal anneal. After performing the spacer formation and junction formation on both the memory cell region and the high-voltage device region, spacer formation and junction formation is performed on a low-voltage device region in the periphery section.
  • FIG. 1 shows a memory environment in which embodiments of the invention may be employed. Not all the components illustrated in the figures may be required to practice the invention, and variations in the arrangement and type of the components may be made without departing from the spirit or scope of the invention. For example, although some embodiments of the invention described in the context of a MirrorBitTM NOR flash memory, the fabrication described herein may be employed in manufacturing other types of microelectronic memories or devices such as other various types of flash memory.
  • memory 100 includes arrayed memory 110 and memory controller 130 .
  • Memory controller 130 is arranged to communicate addressing data and program data over signal path 106 .
  • signal path 106 can provide 8, 16, or more I/O lines of data.
  • Memory controller 130 is also configured to access arrayed memory 110 over signal path 103 .
  • memory controller 130 can read, write, erase, and perform other operations at portions of arrayed memory 110 via signal path 103 .
  • signal path 103 and/or signal path 106 may be distributed across a plurality of signal lines and/or bus lines.
  • Arrayed memory 110 includes memory sectors 120 (identified individually as sectors 1 - i ) that can be accessed via memory controller 130 .
  • Memory sectors 120 can include, for example, 256, 512, 1024, 2048 or more sectors having memory cells that can be individually or collectively accessed. In other examples, the number and/or arrangement of memory sectors can be different. In one embodiment, for example, sectors 120 can be referred to more generally as memory blocks and/or can be configured to have a configuration that is different than a bit line, word line, and/or sector topology.
  • Memory controller 130 includes decoder component 132 , voltage generator component 134 , and controller component 136 .
  • memory controller 130 may be located on the same chip as arrayed memory 110 .
  • other implementations of memory controller 130 are possible.
  • memory controller 130 can include a programmable microcontroller.
  • Decoder component 132 is arranged to receive memory addresses via addressing signal path 106 and to select individual sectors, arrays, or cells according to the architecture of arrayed memory 110 .
  • Decoder component 132 includes, for example, multiplexer circuits, amplifier circuits, combinational logic, or the like for selecting sectors, arrays, and/or cells based on any of a variety of addressing schemes. For example, a portion of a memory address (or a grouping of bits) can identify a sector within arrayed memory 110 and another portion (or another grouping of bits) can identify a core cell array within a particular sector.
  • Voltage generator component 134 is arranged to receive one or more supply voltages (not shown) and to provide a variety of reference voltages required for reading, writing, erasing, pre-programming, soft programming, and/or under-erase verifying operations.
  • voltage generator component 134 can include one or more cascode circuits, amplifier circuits, regulator circuits, and/or switch circuits that can be controlled by controller component 136
  • Controller component 136 is arranged to coordinate reading, writing, erasing, and other operations of memory 100 .
  • controller component 136 is arranged to receive and transmit data from an upstream system controller (not shown).
  • a system controller can include, for example, a processor and a static random access memory (SRAM) that can be loaded with executable processor instructions for communicating over signal path 106 .
  • SRAM static random access memory
  • controller component 136 as well as other portions of memory controller 130 may be embedded or otherwise incorporated into a system controller or a portion of a system controller.
  • Embodiments of controller component 136 can include a state machine and/or comparator circuits.
  • State machine and comparator circuits can include any of a variety of circuits for invoking any of a myriad of algorithms for performing reading, writing, erasing, or other operations of memory 100 .
  • State machines and comparator circuits can also include, for example, comparators, amplifier circuits, sense amplifiers, combinational logic, or the like.
  • memory 100 is a flash-based memory including flash-based memory cells, such as flash-based NOR cells, NAND cells, or hybrids of the two. In some embodiments, memory 100 is a MirrorBitTM flash memory.
  • FIG. 2 shows a partial top plan view of separate sections of a memory.
  • Core section 201 may be an embodiment of a portion of sector 120 of FIG. 1 and may include arrayed core memory cells.
  • Peripheral section 202 may be an embodiment of memory controller 110 of FIG. 1 or a portion of memory controller 110 of FIG. 1 .
  • Core section 201 includes core polysilicon lines 241 , conductive regions 242 , and a portion of substrate 205 .
  • Portions of core polysilicon lines 241 are coupled to the gates of individual memory cells (not shown in FIG. 2 ) and can be configured as a word line, a source select gate line, and/or a drain select gate line.
  • Portions of conductive regions 242 can include, for example, p-type and/or n-type doped regions of substrate 205 for forming source/drain regions and/or conductive lines.
  • conductive regions 242 can form portions of bit lines and/or other signal lines.
  • individual conductive regions 242 extend at least partially underneath individual core polysilicon lines 241 .
  • core section 201 is arranged in a NOR topology, and individual memory cells can be individually accessed via individual conductive regions 242 .
  • core section 201 is arranged in a NAND topology, and individual memory cells can be accessed though individual conductive regions 242 collectively but not individually.
  • hybrid architectures can be employed.
  • core section 201 can be configured to have a portion that is NAND-based and another portion that is NOR-based.
  • core section 201 may include any of a variety of interconnect and/or passivation layers, such as dielectric, conductive, or other layers.
  • conductive regions 242 can be positioned beneath a dielectric spacer layer.
  • Peripheral section 202 includes peripheral polysilicon lines 251 , conductive regions 252 , and interconnects 253 . Portions of peripheral polysilicon lines 251 are coupled to individual peripheral devices (not shown in FIG. 2 ).
  • Portions of conductive regions 252 can include, for example, p-type and/or n-type doped regions of substrate 205 for forming conductive features, such as a source, a drain, or other type of well.
  • Interconnects 253 can include conductive lines that electrically intercouple portions of peripheral section 202 and/or electrically couple core section 201 with peripheral section 202 .
  • interconnects 253 can include a combination of metal lines and vias.
  • peripheral section 202 may also include any of a variety of other interconnect and/or passivation layers.
  • FIG. 3 illustrates a block diagram of an embodiment of memory device 300 , which may be employed as an embodiment of memory device 100 of FIG. 1 .
  • Memory device 300 includes memory array 302 and individual memory cells 303 located within memory array 302 .
  • Memory cells 303 are arranged in N+1 rows and M+1 columns in memory array 302 .
  • each row of memory array 302 is accessed by two of the bit lines BL 0 to BLN.
  • Each column of memory array 302 is accessed by one of word lines WL 0 to WLM. Accordingly, each of memory cells 303 can be accessed by activating the corresponding bit lines and a corresponding word line of the cell.
  • each column of memory array 302 defines a data word. If N+1 has a value of 8, for example, the cells in each column of memory array 302 define a byte of data.
  • Memory cells 303 may be flash memory cells which store bits in different ways in different embodiments.
  • a single cell may store one or more bits.
  • some memory cells are single cell devices, some memory cells are dual cells devices, and in some embodiments, more than one distinct level of threshold voltage may be used to represent more than one bit per cells, as discussed in greater detail below.
  • flash memory stores information in an array of memory cells made from floating-gate transistors.
  • the transistors resemble a standard metal-oxide-semiconductor field-effect transistor (“MOSFET”) except that the transistor has two gates, a floating gate and a control gate, instead of one.
  • MOSFET metal-oxide-semiconductor field-effect transistor
  • CG control gate
  • FG floating gate
  • V T threshold voltage
  • a voltage is applied to the CG, and the MOSFET channel will become conducting or remain insulating, depending on the V T of the cell, which is in turn controlled by the charge on the FG.
  • the current flow through the MOSFET channel is sensed which permits a determination of the voltage threshold for the device, which in turn provides information about the binary data stored within the device.
  • each control gate of a transistor stores a single charge amount that represents the stored information. In its default or “un-programmed” state, it is logically equivalent to a binary “1” value, because current will flow through the channel under application of an appropriate voltage to the control gate.
  • each control gate stores two charge amounts that represent the stored information. That is, two physically distinct quantities of charge are stored on opposite sides of the floating gate. Reading or writing data on one side of the floating gate occurs independently of the data that is stored on the opposite side of the floating gate.
  • the FG is split into two mirrored or complementary parts, each of which is formulated for storing independent information.
  • Each dual cell like a traditional cell, has a gate with a source and a drain. However, in the dual cell the connections to the source and drain may be reversed in operation to permit the storage of the two bits.
  • Each of the memory cells is comprised of multi-layers. A charge-trapping dielectric layer is formed over a semiconductor substrate.
  • the charge-trapping dielectric layer can generally be composed of three separate layers: a first insulating layer, a charge-trapping layer, and a second insulating layer.
  • Word-lines are formed over the charge-trapping dielectric layer substantially perpendicular to the bit lines.
  • Programming circuitry controls two bits per cell by applying a signal to the word-line which acts as a control gate, and changing bit line connections such that one bit is stored by the source and drain being connected in one arrangement and the complementary bit is stored by the source and drain being connected in another arrangement.
  • each cell stores only one bit of information, either the cell is “un-programmed” (has a “1” value) or “programmed” (has a “0” value).
  • MLC multi-level cell
  • a dual cell device may also be a MLC device that stores four-bits-per-cell so that one transistor equates to 16 different states. This enables greater capacity, smaller die sizes and lower costs for the flash devices.
  • Memory device 300 further includes controller 336 , decoder 381 , decoder 382 , voltage regulator 383 , voltage regulator 384 , and charge pump 386 .
  • voltage regulator 383 is arranged to receive a boosted bit word line voltage from a charge pump 385 , and to provide an adjusted boosted bit line voltage based on control from controller 336 .
  • voltage regulator 384 is arranged to receive a boosted word line voltage from a charge pump, and to provide an adjusted boosted word line voltage based on control from controller 336 .
  • voltage regulators 383 and 383 may be omitted from memory device 300 , and the boosted voltages may be provided directly to the decoders.
  • Decoder 381 and decoder 382 can each receive address bus information from controller 336 and can utilize such information to facilitate accessing or selecting the desired memory cell(s) (e.g., memory location(s)) associated with the command, and to provide the needed voltages to the bit lines (decoder 381 ) and the word lines (decoder 382 ) according to timing that is controlled by controller 336 .
  • desired memory cell(s) e.g., memory location(s)
  • Decoder 381 may also include a sector decoder in some embodiments.
  • decoder 309 may be arranged to facilitate accessing or selection particular column or grouping of columns within memory device 300 .
  • a grouping of columns may define a sector
  • another grouping of columns may define another sector.
  • portion 301 may include an array decoder for to a particular memory array 304 .
  • embodiments of array decoders can be configured to work separately or in conjunction with a sector decoder.
  • decoders such as decoder 381 and decoder 382 may each receive a boosted voltage, which may be received at the gates of one of more transistors in the decoders. These transistors may be referred to as high-voltage (HV) transistors or HV devices due to the boosted voltage that the HV transistors receive at their respective gates. HV transistors may be used in bit line decoding, word line decoding, sector decoding, array decoding, and/or the like. In contrast, logic in the periphery section of the flash memory includes transistors that have gates that receive voltages that are not boosted. These transistors may be referred to as low-voltage (LV) transistors or LV devices. For example, controller 336 may include high-performance logic that includes LV transistors. The HV devices, LV devices, and core memory cells have different requirements, including different poly heights.
  • Memory controller 336 is also configured to control the activation and de-activation of individual word lines WL 0 to WLM for reading, writing, and/or erasing to memory array 302 .
  • memory controller 310 can provide a select signal to decoder 382 to select one of the columns WL 1 to WLM to activate that column.
  • memory controller 336 can provide a select signal to decoder 381 for selecting particular rows BL 0 to BLN (or sector) to be written to or read from.
  • FIG. 4 shows a cross-sectional side view of a memory cell in core section 401 .
  • core section 401 is an embodiment of core section 201 of FIG. 2 .
  • Memory cell 440 includes a portion of substrate 405 , dielectric spacer layer 443 , channel region 444 , source/drain regions 442 a and 442 b , and layered stack 445 , including charge trapping component 446 and a portion of core polysilicon line 441 .
  • Substrate 405 may be an embodiment of substrate 205 of FIG. 2 .
  • Source/drain regions 442 a and 442 b may be an embodiment of one or more conductive regions 242 of FIG. 2 .
  • Core polysilicon line 441 may be an embodiment of an individual core polysilicon line 241 of FIG. 2 .
  • core polysilicon line 441 and source/drain regions 442 a and 442 b are configured to provide electrical potential(s) to memory cell 440 for trapping charge at charge trapping component 446 .
  • a bit is “programmed” when it is trapping a charge and “unprogrammed” when it is not trapping charge.
  • charge trapping component 446 employs tunneling layer 447 , charge trapping layer 448 , and dielectric layer 449 .
  • tunneling layer 447 provides a tunneling barrier
  • charge trapping layer 448 is a layer that is configured to store charge
  • dielectric layer 449 electrically isolates charge trapping layer 448 from core polysilicon line 441 .
  • memory cell 440 is a one bit memory cell that is configured to store up to two logic states. In another embodiment, memory cell 440 can store more than two logic (or bit) states.
  • charge trapping component 446 is an oxide-nitride-oxide (ONO) layer in which dielectric layer 449 is an oxide (such as silicon dioxide), charge trapping layer 448 is a nitride, and tunneling layer 447 is an oxide (such as silicon dioxide).
  • charge trapping layer 448 is a nitride
  • charge trapping layer 448 may be a silicon-rich nitride (SiRN) or a stoichiometric silicon nitride.
  • Dielectric spacer layer 443 may be a nitride spacer, an oxide-nitride spacer, other type of spacer composed of one or more dielectric materials, or the like.
  • Modern semiconductor devices are typically created as integrated circuits manufactured on the surface of a substrate of semiconductor material, which is typically a wafer formed by slicing a single crystal ingot grown by a Czochralski process.
  • Various devices are formed on the wafer using a series of steps that include deposition, removal processes (such as etching), patterning, and doping. Few steps or many hundreds of such steps may be used in various designs.
  • the patterning steps may be performed by photolithography or other lithographic methods.
  • the wafer may be coated with a photoresist, which is exposed with a device that transmits light through a photomask, exposing portions of the wafer not blocked by the photomask to light.
  • the exposed regions are removed so that the photoresist remains only in areas that were not exposed to light. This allows a layer to be etched according to the pattern on the photomask.
  • various back-end processing and packaging is performed, including properly interconnecting the devices and bringing metal lines to the chip edge for attachment to wires.
  • a designer creates the device design in accordance with a set of design rules provided by the fabricator, and creates a series of design files based on the design, which may be stored in a machine-readable medium.
  • Various design tools may be used by the designer in creating the design, simulating the design, and checking the design for layout rules violations.
  • the design files are provided to the fabricator, which are used to generate photomasks for use in the fabricating the device.
  • the design files may be communicated in different ways, including over a network.
  • FIG. 5 illustrates a flow chart of an embodiment of a process ( 580 ).
  • the process proceeds to block 581 , where spacer formation and junction formation are performed on both: a memory cell region in a core section of a memory device in fabrication, and a high-voltage device region in a periphery section of the memory device in fabrication.
  • the spacer formation and junction formation on both the memory cell region and the high-voltage device region includes performing a rapid thermal anneal.
  • the process then moves to block 582 , where spacer formation and junction formation on a low-voltage device region in the periphery section is performed. The process then proceeds to a return block, where other processing is resumed.
  • the spacers are composed of oxide and nitride.
  • FIG. 6 shows a flow chart of an embodiment of a process for step 581 of FIG. 5 .
  • the process proceeds to block 684 , where one or more dopant implants are performed on both: the memory cell region and the high-voltage device region of the memory device in fabrication. For example, in some embodiments, lightly-doped drain (LDD) implants are performed.
  • LDD lightly-doped drain
  • a nitride deposition is performed on the wafer, including the core section and the periphery section.
  • a liner deposition is performed on the entire wafer just prior to the nitride deposition.
  • the deposited liner is an oxide liner.
  • the liner may be composed of a material other than oxide, such as a carbide, or the like. In yet other embodiments, the liner is not included.
  • the process then advances to block 687 , where the nitride (and subsequently the oxide liner, in embodiments that include an oxide liner) is removed from the low-voltage device region.
  • the process then proceeds to block 688 , where nitride spacer etching is performed in the memory cell region and the high-voltage device region while the low-voltage device region is protected by a photoresist mask.
  • the process then moves to block 689 , where one or more additional dopant implants are performed on the memory cell region and the high-voltage device region. For example, in some embodiments, source/drain implants are performed.
  • the process then advances to block 660 , where a rapid thermal anneal (RTA) is performed.
  • RTA rapid thermal anneal
  • FIG. 7 illustrates a flow chart of an embodiment of a process for an embodiment of step 582 of FIG. 5 .
  • the process proceeds to block 762 , where one or more dopant implants are performed on the low-voltage device region of the periphery section of the memory device in fabrication. For example, in some embodiments, lightly-doped drain (LDD) implants are performed.
  • LDD lightly-doped drain
  • a low temperature nitride deposition is performed on the wafer, including the core section and the periphery section.
  • a low temperature oxide liner deposition is performed on the entire wafer just prior to the nitride deposition.
  • liner other than an oxide liner may be deposited, and in yet other embodiments, no liner deposition is performed.
  • the process then advances to block 765 , where the low temperature nitride is removed from the core section and the high-voltage device section. In embodiments that include a low temperature oxide liner deposition, this leaves the oxide liner only in these regions.
  • the process then proceeds to block 766 , where nitride spacer etching is performed in the low-voltage device region.
  • the process then moves to block 767 , where one or more additional dopant implants are performed on the low-voltage device region. For example, in some embodiments, source/drain implants are performed.
  • the process then advances to block 768 , where a rapid thermal anneal (RTA) is performed.
  • RTA rapid thermal anneal
  • FIG. 8 shows a flow chart of an embodiment of process 880 , which may be employed as an embodiment of process 580 of FIG. 5 .
  • FIGS. 9-14 illustrate embodiments of portions of a flash memory device in fabrication, including the core region, high-voltage device region, and low-voltage device region, as various steps in process 880 of FIG. 8 are performed.
  • FIGS. 9-14 illustrate a particular embodiment of a flash memory device which should not be construed as limiting, since they only illustrate one particular embodiment by way of example.
  • FIG. 8 illustrates one particular embodiment of a process which should not be construed as limiting, since it illustrates one particular embodiment by way of example.
  • core cell devices i.e., core memory cells
  • high voltage devices e.g., for sector select and decoders
  • low voltage devices e.g., for high performance logic
  • FIG. 9 illustrates substrate 921 , poly gate 941 , hardmask oxide 923 , charge trapping component 946 , and gate dielectric 935 in an embodiment of portions 900 of the flash memory device in fabrication after poly etching.
  • charge trapping component 946 may be an ONO layer or the like.
  • Gate dielectric 935 may be a gate oxide or the like.
  • the portions 900 include the core region (Core), high-voltage device region (HV) and low-voltage device region (LV).
  • the core devices and/or the high voltage devices may use thicker poly gates than the low voltage devices in the fast logic circuits in order to prevent dopant implant penetration.
  • the thickness of the hardmask oxide remaining on poly after poly etch may differ depending on the time the hardmask oxide has been exposed to poly etching.
  • the core region and/or the high-voltage device region may include transistors in fabrication having an oxide hardmask with a thickness of about 250 ⁇ after poly etch, whereas the low-voltage device region may include low-voltage transistors in fabrication having an oxide hardmask with a greater thickness than the core and/or high-voltage transistors due to a shorter poly etch.
  • some embodiments do not include oxide hardmasks.
  • LDD and halo implants needed for high voltage devices as well as other implants needed for the core cell transistors up to this point are performed.
  • LDD and halo implants for low voltage devices are not performed at this time.
  • the LDD implant is an n implant
  • the halo implant is a p implant.
  • the halo implant is tilted at an angle and implanted around the gate to form a “halo” around the gate.
  • the LDD implant is lightly doped (as opposed to the later source/drain implant, which is heavily doped, and the LDD implant is typically lighter and shallower than the source/drain implant) for minimizing short-channel effects.
  • a high-temperature, high-quality oxide liner is deposited.
  • the deposition is accomplished by low-pressure chemical vapor deposition (LPCVD).
  • the oxide is silicon dioxide about 100-200 ⁇ thick, and the deposition is a high-temperature oxidation (HTO) performed at about 650-800° C.
  • LPCVD low-pressure chemical vapor deposition
  • the nitride spacer material is silicon nitride
  • the deposition is accomplished by low-pressure chemical vapor deposition (LPCVD) from tetrachlorosilane (TCS). In some embodiments, this silicon nitride deposition is about 600-1000 ⁇ , and performed at about 650-800° C.
  • FIG. 10 further illustrates nitride 1024 , photoresist 1025 , high-temperature oxide liner 1027 , and LDD implant 1026 .
  • the process then advances to block 871 , where the high-temperature oxide liner remaining over the low-voltage devices is etched by using a conventional anisotropic oxide etch which is selective to substrate 1121 (which typically comprises of silicon), while the photoresist mask protecting the core and high-voltage devices in block 887 is still in place.
  • substrate 1121 which typically comprises of silicon
  • the photoresist mask protecting the core and high-voltage devices in block 887 is still in place.
  • the etch duration is extended beyond the time needed to remove the high-temperature oxide liner so that most or all of the hardmask oxide on the low-voltage poly gates are also removed.
  • the photoresist mask prevents the oxide etch from affecting the core and high-voltage transistors.
  • nitride spacers for the core and high voltage devices are formed by using a conventional anisotropic nitride etch which is selective to oxide while protecting the low voltage device areas using another photoresist mask.
  • the nitride spacers formed protect, for example, the LDD regions during, for example, a source-drain implant to occur subsequently (block 889 ), and therefore may be referred to as “LDD spacers”.
  • LDD spacers LDD regions during, for example, a source-drain implant to occur subsequently
  • the use of nitride as the spacer material minimizes the erosion during subsequent cleans using dilute hydrofluoric acid.
  • the photoresist mask used for this etch is removed after the nitride spacers are formed.
  • the process then proceeds to block 889 , where source-drain implants are performed for the high voltage devices, as well all remaining implants needed for the core cell devices, using additional photoresist masks.
  • the implants performed at block 889 also include a bit line isolation (BII) implant in core using a photoresist mask.
  • the BII implant is an optional implant that is not performed in some embodiments of the invention.
  • RTA is performed to activate the implants for the core and HV devices.
  • the RTA step diffuses the dopants deeper to maximize the junction breakdown characteristics.
  • the RTA may be performed with a reduced thermal cycle in anticipation of the RTA that will be performed later at step 868 .
  • the RTA at block 860 does not affect the LV devices since there has been no dopant implant there yet.
  • the portions of flash memory device 1100 in fabrication after the step in block 860 are illustrated FIG. 11 .
  • FIG. 11 further illustrates source-drain implants 1142 .
  • the process then proceeds to block 862 , where LDD and halo implants are performed for the low-voltage devices using photoresist masks. The core and high-voltage regions are protected by these photoresist mask during these implants.
  • the portions of flash memory device 1200 in fabrication after the step in block 862 are illustrated FIG. 12 .
  • the process then moves to block 863 , where a low temperature oxide liner is deposited.
  • the oxide deposition is about 100-200 ⁇ of silicon dioxide and is a low-temperature deposition performed at less than 500° C.
  • the process then proceeds to block 864 , where a low temperature nitride spacer material is deposited over the entire wafer.
  • the nitride thickness for the low-voltage devices is significantly thinner than the nitride thickness for the high-voltage devices.
  • the nitride deposition is about 300-500 ⁇ of silicon nitride and is a low-temperature deposition performed at less than 500° C.
  • such low temperature depositions of block 863 and block 864 can be achieved by conventional plasma-enhanced CVD (PECVD) or atomic layer deposition (ALD) processes and will not cause dopant diffusion.
  • PECVD plasma-enhanced CVD
  • ALD atomic layer deposition
  • the process then advances to block 865 , where the low temperature spacer nitride material is removed from the core and high voltage device areas by using an isotropic, highly selective nitride etch process in conjunction with a resist mask. This leaves the low temperature oxide liner in the core and high voltage device areas.
  • Using an isotropic etch at block 865 allows for a complete removal of the nitride from the core and high-voltage device regions.
  • the resist mask is removed after the isotropic nitride etch.
  • the portions of flash memory device 1300 in fabrication after the step in block 865 is illustrated FIG. 13 . Flash memory device 1300 further includes low-temperature liner 1328 .
  • low temperature nitride spacers are formed for the low-voltage devices by using a conventional anisotropic nitride etch which is selective to the low temperature oxide liner.
  • a photoresist mask may be used to protect the core and high-voltage device areas during this etch. If sufficient etch selectivity is achieved, the resist mask may not be necessary since the low temperature oxide liner will be protecting the core and high voltage device areas and the nitride etch is relatively short.
  • block 865 is completely skipped and the etching of the low-temperature nitride is performed without a photoresist mask. This will form low-temperature nitride spacers for the low-voltage devices and small secondary nitride spacers for the core and high-voltage devices. This embodiment may be employed, for example, if the small secondary nitride spacers do not adversely affect the core and high-voltage devices.
  • the process then moves to block 867 , where source-drain implants are performed for the low-voltage devices using photoresist masks.
  • the photoresist masks protect the core and high-voltage device regions during the source-drain implants so that the implants occur only in the low voltage region.
  • the process then advances to block 868 , where the implants for the low-voltage devices are activated by using spike RTA processing or millisecond anneals with flash lamps or lasers.
  • the RTA performed at the step of block 868 is relatively short in duration.
  • the thermal budget for this anneal should be designed not to significantly disturb the core or high-voltage devices.
  • the thermal budget in block 860 may take into account the fact that step 868 will be subsequently performed, so that the steps at block 860 and 868 together provides the total activation of the dopant implants for the core section and the high-voltage devices, where the step at block 868 provides the activation for the low-voltage devices.
  • the portions of flash memory device 1400 in fabrication after the step in block 868 is illustrated FIG. 14 .
  • the process then moves to block 869 , where Dilute Hydrofluoric Acid (DHF) preclean, salicidation, contact and backend interconnect formation may be performed.
  • the DHF preclean is a wet etch which removes the oxide layers on the silicon surfaces, as well as on the poly surfaces. As a result, the low-temperature oxide liner remaining in the core and high-voltage areas will be removed and not result in charge retention concerns.
  • the DHF preclean is accomplished in two parts. First, a longer DHF clean (approximately 100-200 ⁇ oxide removal in some embodiments) is accomplished for the core and high-voltage regions to remove most of the oxide from poly and silicon surfaces, with the low-voltage region protected by a photoresist mask.
  • a shorter DHF clean (50-100 ⁇ oxide removal in one embodiment) is performed for the entire wafer to remove the oxide in the low-voltage region as well any remaining oxide in the core and high-voltage region.
  • the relatively short second DHF clean avoids excessive etching of the low-temperature nitride spacers in the low-voltage region.
  • the process then advances to a return block, where other processing is resumed.
  • Embodiments of the memory device can be incorporated into any of a variety of components and/or systems, including for example, a processor and other components or systems of such components.
  • FIG. 15 shows one embodiment of system 1590 , which may incorporate memory 1520 , which is an embodiment of memory device 100 of FIG. 1 .
  • Memory 1520 can be directly or indirectly connected to any one of processor 1592 , input devices 1593 , and/or output devices 1594 .
  • memory 1520 may be configured such that it is removable from system 1590 .
  • memory 1520 may be permanently connected to the components or a portion of the components of system 1590 .
  • memory 1520 , processor 1592 , input devices 1593 , and/or output devices 1594 of system 1590 are configured in combination to function as part of a larger system.
  • system 1590 may be incorporated into a cell phone, a handheld device, a laptop computer, a personal computer, and/or a server device.
  • system 1590 can perform any of a variety of processing, controller, and/or data storage functions, such as those associated with sensing, imaging, computing, or other functions.
  • system 1590 can be incorporated into any of a wide variety of devices that may employ such functions (e.g., a digital camera, an MP3 player, a GPS unit, and so on).

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

A method and manufacture for memory device fabrication is provided. Spacer formation and junction formation is performed on both: a memory cell region in a core section of a memory device in fabrication, and a high-voltage device region in a periphery section of the memory device in fabrication. The spacer formation and junction formation on both the memory cell region and the high-voltage device region includes performing a rapid thermal anneal. After performing the spacer formation and junction formation on both the memory cell region and the high-voltage device region, spacer formation and junction formation is performed on a low-voltage device region in the periphery section.

Description

TECHNICAL FIELD
The invention is related to computer-readable memory, and in particular, but not exclusively, to a method and manufacture for creating spacers in flash memory in such a way that the spacers in the core section and the high-voltage device sections have high-quality spacers and low-temperature materials are employed for the spacers in the low-voltage, high performance logic devices.
BACKGROUND
Various types of electronic memory have been developed in recent years. Some exemplary memory types are electrically erasable programmable read only memory (EEPROM) and electrically programmable read only memory (EPROM). EEPROM is easily erasable but lacks density in storage capacity, where as EPROM is inexpensive and denser but is not easily erased. “Flash” EEPROM, or Flash memory, combines the advantages of these two memory types. This type of memory is used in many electronic products, from large electronics like cars, industrial control systems, and etc. to small portable electronics such as laptop computers, portable music players, cell phones, and etc.
Flash memory is generally constructed of many memory cells where a single bit is held within each memory cell. Yet a more recent technology known as MirrorBit™ Flash memory doubles the density of conventional Flash memory by storing two physically distinct bits on opposite sides of a memory cell. The reading or writing of a bit occurs independently of the bit on the opposite side of the cell. A memory cell is constructed of bit lines formed in a semiconductor substrate. An oxide-nitride-oxide (ONO) dielectric layer is formed over the top of the substrate and bit lines. The nitride serves as the charge storage layer between two insulating layers. Word lines are then formed over the top of the ONO layer perpendicular to the bit lines. Applying a voltage to the word line, acting as a control gate, along with an applied voltage to the bit line allows for the reading or writing of data from or to that location in the memory cell array. MirrorBit™ Flash memory may be applied to different architectures of flash memory, including NOR flash and NAND flash.
BRIEF DESCRIPTION OF THE DRAWINGS
Non-limiting and non-exhaustive embodiments of the present invention are described with reference to the following drawings, in which:
FIG. 1 illustrates a block diagram of an embodiment of a memory;
FIG. 2 shows a partial top plan view of an embodiment of core and peripheral sections of a memory that may be employed in the memory of FIG. 1;
FIG. 3 illustrates a block diagram of an embodiment of a NOR memory array;
FIG. 4 shows a cross-sectional side view of an embodiment of a core section of FIG. 2;
FIG. 5 illustrates a flow chart of an embodiment of a process;
FIG. 6 shows a flow chart of an embodiment of a process for the step of spacer formation and junction formation on the memory cell region and high-voltage device region of FIG. 5;
FIG. 7 illustrates a flow chart of an embodiment of a process for the step of spacer formation and junction formation on the low-voltage device region of FIG. 5;
FIG. 8 shows a flow chart of an embodiment of the process of FIG. 5;
FIGS. 9-14 illustrate embodiments of portions of a flash memory device in fabrication, including the core region, high-voltage device region, and low-voltage device region, as various steps in the process of FIG. 8 are performed; and
FIG. 15 illustrates a block diagram of an embodiment of a system that includes the memory device of FIG. 1, in accordance with aspects of the invention.
DETAILED DESCRIPTION
Various embodiments of the present invention will be described in detail with reference to the drawings, where like reference numerals represent like parts and assemblies throughout the several views. Reference to various embodiments does not limit the scope of the invention, which is limited only by the scope of the claims attached hereto. Additionally, any examples set forth in this specification are not intended to be limiting and merely set forth some of the many possible embodiments for the claimed invention.
Throughout the specification and claims, the following terms take at least the meanings explicitly associated herein, unless the context dictates otherwise. The meanings identified below do not necessarily limit the terms, but merely provide illustrative examples for the terms. The meaning of “a,” “an,” and “the” includes plural reference, and the meaning of “in” includes “in” and “on.” The phrase “in one embodiment,” as used herein does not necessarily refer to the same embodiment, although it may. Similarly, the phrase “in some embodiments,” as used herein, when used multiple times, does not necessarily refer to the same embodiments, although it may. As used herein, the term “or” is an inclusive “or” operator, and is equivalent to the term “and/or,” unless the context clearly dictates otherwise. The term “based, in part, on”, “based, at least in part, on”, or “based on” is not exclusive and allows for being based on additional factors not described, unless the context clearly dictates otherwise. The term “coupled” means at least either a direct electrical connection between the items connected, or an indirect connection through one or more passive or active intermediary devices. The term “signal” means at least one current, voltage, charge, temperature, data, or other signal.
Briefly stated, a method and manufacture for memory device fabrication includes spacer formation and junction formation that is performed on both: a memory cell region in a core section of a memory device in fabrication, and a high-voltage device region in a periphery section of the memory device in fabrication. The spacer formation and junction formation on both the memory cell region and the high-voltage device region includes performing a rapid thermal anneal. After performing the spacer formation and junction formation on both the memory cell region and the high-voltage device region, spacer formation and junction formation is performed on a low-voltage device region in the periphery section.
FIG. 1 shows a memory environment in which embodiments of the invention may be employed. Not all the components illustrated in the figures may be required to practice the invention, and variations in the arrangement and type of the components may be made without departing from the spirit or scope of the invention. For example, although some embodiments of the invention described in the context of a MirrorBit™ NOR flash memory, the fabrication described herein may be employed in manufacturing other types of microelectronic memories or devices such as other various types of flash memory.
As shown, memory 100 includes arrayed memory 110 and memory controller 130. Memory controller 130 is arranged to communicate addressing data and program data over signal path 106. For example, signal path 106 can provide 8, 16, or more I/O lines of data. Memory controller 130 is also configured to access arrayed memory 110 over signal path 103. For example, memory controller 130 can read, write, erase, and perform other operations at portions of arrayed memory 110 via signal path 103. In addition, although shown as single lines, signal path 103 and/or signal path 106 may be distributed across a plurality of signal lines and/or bus lines.
Arrayed memory 110 includes memory sectors 120 (identified individually as sectors 1-i) that can be accessed via memory controller 130. Memory sectors 120 can include, for example, 256, 512, 1024, 2048 or more sectors having memory cells that can be individually or collectively accessed. In other examples, the number and/or arrangement of memory sectors can be different. In one embodiment, for example, sectors 120 can be referred to more generally as memory blocks and/or can be configured to have a configuration that is different than a bit line, word line, and/or sector topology.
Memory controller 130 includes decoder component 132, voltage generator component 134, and controller component 136. In some embodiments, memory controller 130 may be located on the same chip as arrayed memory 110. In other examples, other implementations of memory controller 130 are possible. For example, memory controller 130 can include a programmable microcontroller.
Decoder component 132 is arranged to receive memory addresses via addressing signal path 106 and to select individual sectors, arrays, or cells according to the architecture of arrayed memory 110.
Decoder component 132 includes, for example, multiplexer circuits, amplifier circuits, combinational logic, or the like for selecting sectors, arrays, and/or cells based on any of a variety of addressing schemes. For example, a portion of a memory address (or a grouping of bits) can identify a sector within arrayed memory 110 and another portion (or another grouping of bits) can identify a core cell array within a particular sector.
Voltage generator component 134 is arranged to receive one or more supply voltages (not shown) and to provide a variety of reference voltages required for reading, writing, erasing, pre-programming, soft programming, and/or under-erase verifying operations. For example, voltage generator component 134 can include one or more cascode circuits, amplifier circuits, regulator circuits, and/or switch circuits that can be controlled by controller component 136
Controller component 136 is arranged to coordinate reading, writing, erasing, and other operations of memory 100. In one embodiment, controller component 136 is arranged to receive and transmit data from an upstream system controller (not shown). Such a system controller can include, for example, a processor and a static random access memory (SRAM) that can be loaded with executable processor instructions for communicating over signal path 106. In another embodiment, controller component 136 as well as other portions of memory controller 130 may be embedded or otherwise incorporated into a system controller or a portion of a system controller.
Embodiments of controller component 136 can include a state machine and/or comparator circuits. State machine and comparator circuits can include any of a variety of circuits for invoking any of a myriad of algorithms for performing reading, writing, erasing, or other operations of memory 100. State machines and comparator circuits can also include, for example, comparators, amplifier circuits, sense amplifiers, combinational logic, or the like.
In some embodiments, memory 100 is a flash-based memory including flash-based memory cells, such as flash-based NOR cells, NAND cells, or hybrids of the two. In some embodiments, memory 100 is a MirrorBit™ flash memory.
FIG. 2 shows a partial top plan view of separate sections of a memory. Core section 201, for example, may be an embodiment of a portion of sector 120 of FIG. 1 and may include arrayed core memory cells. Peripheral section 202, for example, may be an embodiment of memory controller 110 of FIG. 1 or a portion of memory controller 110 of FIG. 1.
Core section 201 includes core polysilicon lines 241, conductive regions 242, and a portion of substrate 205. Portions of core polysilicon lines 241 are coupled to the gates of individual memory cells (not shown in FIG. 2) and can be configured as a word line, a source select gate line, and/or a drain select gate line. Portions of conductive regions 242 can include, for example, p-type and/or n-type doped regions of substrate 205 for forming source/drain regions and/or conductive lines. For example, conductive regions 242 can form portions of bit lines and/or other signal lines. Also, in some embodiments, individual conductive regions 242 extend at least partially underneath individual core polysilicon lines 241.
In one embodiment, core section 201 is arranged in a NOR topology, and individual memory cells can be individually accessed via individual conductive regions 242. In another embodiment, core section 201 is arranged in a NAND topology, and individual memory cells can be accessed though individual conductive regions 242 collectively but not individually. In other embodiments, hybrid architectures can be employed. For example, core section 201 can be configured to have a portion that is NAND-based and another portion that is NOR-based. Also, although not shown if FIG. 2, core section 201 may include any of a variety of interconnect and/or passivation layers, such as dielectric, conductive, or other layers. For example, conductive regions 242 can be positioned beneath a dielectric spacer layer.
Peripheral section 202 includes peripheral polysilicon lines 251, conductive regions 252, and interconnects 253. Portions of peripheral polysilicon lines 251 are coupled to individual peripheral devices (not shown in FIG. 2).
Portions of conductive regions 252 can include, for example, p-type and/or n-type doped regions of substrate 205 for forming conductive features, such as a source, a drain, or other type of well. Interconnects 253 can include conductive lines that electrically intercouple portions of peripheral section 202 and/or electrically couple core section 201 with peripheral section 202. For example, interconnects 253 can include a combination of metal lines and vias. Also, although not shown FIG. 2, peripheral section 202 may also include any of a variety of other interconnect and/or passivation layers.
FIG. 3 illustrates a block diagram of an embodiment of memory device 300, which may be employed as an embodiment of memory device 100 of FIG. 1. Memory device 300 includes memory array 302 and individual memory cells 303 located within memory array 302. Memory cells 303 are arranged in N+1 rows and M+1 columns in memory array 302. In one embodiment, each row of memory array 302 is accessed by two of the bit lines BL0 to BLN. Each column of memory array 302 is accessed by one of word lines WL0 to WLM. Accordingly, each of memory cells 303 can be accessed by activating the corresponding bit lines and a corresponding word line of the cell. In one embodiment, each column of memory array 302 defines a data word. If N+1 has a value of 8, for example, the cells in each column of memory array 302 define a byte of data.
Memory cells 303 may be flash memory cells which store bits in different ways in different embodiments. In various embodiments, a single cell may store one or more bits. For example, some memory cells are single cell devices, some memory cells are dual cells devices, and in some embodiments, more than one distinct level of threshold voltage may be used to represent more than one bit per cells, as discussed in greater detail below. In some embodiments, flash memory stores information in an array of memory cells made from floating-gate transistors. In, for example, a NOR gate flash, the transistors resemble a standard metal-oxide-semiconductor field-effect transistor (“MOSFET”) except that the transistor has two gates, a floating gate and a control gate, instead of one. On top is the control gate (“CG”), as in other metal-oxide-semiconductor transistors, but below this there is a floating gate (“FG”) insulated all around by an oxide layer. The FG is interposed between the CG and the MOSFET channel. Because the FG is electrically isolated by an insulating layer, any electrons placed on it are trapped there and, under normal conditions, will not discharge for many years. When the FG holds a charge, it screens (partially cancels) the electric field from the CG, which modifies the threshold voltage (“VT”) of the cell. The threshold voltage of a MOSFET is usually defined as the gate voltage where an inversion layer forms at the interface between the insulating layer (oxide) and the substrate (body) of the transistor. During read-out, a voltage is applied to the CG, and the MOSFET channel will become conducting or remain insulating, depending on the VT of the cell, which is in turn controlled by the charge on the FG. The current flow through the MOSFET channel is sensed which permits a determination of the voltage threshold for the device, which in turn provides information about the binary data stored within the device.
In a single cell device, each control gate of a transistor stores a single charge amount that represents the stored information. In its default or “un-programmed” state, it is logically equivalent to a binary “1” value, because current will flow through the channel under application of an appropriate voltage to the control gate.
In a dual cell device, each control gate stores two charge amounts that represent the stored information. That is, two physically distinct quantities of charge are stored on opposite sides of the floating gate. Reading or writing data on one side of the floating gate occurs independently of the data that is stored on the opposite side of the floating gate. In this technology, the FG is split into two mirrored or complementary parts, each of which is formulated for storing independent information. Each dual cell, like a traditional cell, has a gate with a source and a drain. However, in the dual cell the connections to the source and drain may be reversed in operation to permit the storage of the two bits. Each of the memory cells is comprised of multi-layers. A charge-trapping dielectric layer is formed over a semiconductor substrate. The charge-trapping dielectric layer can generally be composed of three separate layers: a first insulating layer, a charge-trapping layer, and a second insulating layer. Word-lines are formed over the charge-trapping dielectric layer substantially perpendicular to the bit lines. Programming circuitry controls two bits per cell by applying a signal to the word-line which acts as a control gate, and changing bit line connections such that one bit is stored by the source and drain being connected in one arrangement and the complementary bit is stored by the source and drain being connected in another arrangement.
In a single-level cell (“SLC”) device, each cell stores only one bit of information, either the cell is “un-programmed” (has a “1” value) or “programmed” (has a “0” value). There also exist multi-level cell (“MLC”) devices that can store more than one bit per cell by choosing between multiple levels of electrical charge to apply to the floating gates of its cells. In these devices, the amount of current flow is sensed (rather than simply its presence or absence), to determine more precisely the level of charge on the FG.
As one example, a dual cell device may also be a MLC device that stores four-bits-per-cell so that one transistor equates to 16 different states. This enables greater capacity, smaller die sizes and lower costs for the flash devices.
Memory device 300 further includes controller 336, decoder 381, decoder 382, voltage regulator 383, voltage regulator 384, and charge pump 386.
In some embodiments, voltage regulator 383 is arranged to receive a boosted bit word line voltage from a charge pump 385, and to provide an adjusted boosted bit line voltage based on control from controller 336. Similarly, in some embodiments, voltage regulator 384 is arranged to receive a boosted word line voltage from a charge pump, and to provide an adjusted boosted word line voltage based on control from controller 336. In other embodiments, voltage regulators 383 and 383 may be omitted from memory device 300, and the boosted voltages may be provided directly to the decoders.
Decoder 381 and decoder 382 can each receive address bus information from controller 336 and can utilize such information to facilitate accessing or selecting the desired memory cell(s) (e.g., memory location(s)) associated with the command, and to provide the needed voltages to the bit lines (decoder 381) and the word lines (decoder 382) according to timing that is controlled by controller 336.
Decoder 381 may also include a sector decoder in some embodiments. As such, decoder 309 may be arranged to facilitate accessing or selection particular column or grouping of columns within memory device 300. For example, a grouping of columns may define a sector, and another grouping of columns may define another sector. In another embodiment, portion 301 may include an array decoder for to a particular memory array 304. In addition, embodiments of array decoders can be configured to work separately or in conjunction with a sector decoder.
In some embodiments, decoders such as decoder 381 and decoder 382 may each receive a boosted voltage, which may be received at the gates of one of more transistors in the decoders. These transistors may be referred to as high-voltage (HV) transistors or HV devices due to the boosted voltage that the HV transistors receive at their respective gates. HV transistors may be used in bit line decoding, word line decoding, sector decoding, array decoding, and/or the like. In contrast, logic in the periphery section of the flash memory includes transistors that have gates that receive voltages that are not boosted. These transistors may be referred to as low-voltage (LV) transistors or LV devices. For example, controller 336 may include high-performance logic that includes LV transistors. The HV devices, LV devices, and core memory cells have different requirements, including different poly heights.
Memory controller 336 is also configured to control the activation and de-activation of individual word lines WL0 to WLM for reading, writing, and/or erasing to memory array 302. For example, memory controller 310 can provide a select signal to decoder 382 to select one of the columns WL1 to WLM to activate that column. Further, memory controller 336 can provide a select signal to decoder 381 for selecting particular rows BL0 to BLN (or sector) to be written to or read from.
FIG. 4 shows a cross-sectional side view of a memory cell in core section 401. In one embodiment, core section 401 is an embodiment of core section 201 of FIG. 2.
Memory cell 440 includes a portion of substrate 405, dielectric spacer layer 443, channel region 444, source/ drain regions 442 a and 442 b, and layered stack 445, including charge trapping component 446 and a portion of core polysilicon line 441. Substrate 405 may be an embodiment of substrate 205 of FIG. 2. Source/ drain regions 442 a and 442 b may be an embodiment of one or more conductive regions 242 of FIG. 2. Core polysilicon line 441 may be an embodiment of an individual core polysilicon line 241 of FIG. 2.
In operation, core polysilicon line 441 and source/ drain regions 442 a and 442 b are configured to provide electrical potential(s) to memory cell 440 for trapping charge at charge trapping component 446. A bit is “programmed” when it is trapping a charge and “unprogrammed” when it is not trapping charge. To trap charge, charge trapping component 446 employs tunneling layer 447, charge trapping layer 448, and dielectric layer 449. In general, tunneling layer 447 provides a tunneling barrier, charge trapping layer 448 is a layer that is configured to store charge, and dielectric layer 449 electrically isolates charge trapping layer 448 from core polysilicon line 441. In one embodiment, memory cell 440 is a one bit memory cell that is configured to store up to two logic states. In another embodiment, memory cell 440 can store more than two logic (or bit) states.
In some embodiments, charge trapping component 446 is an oxide-nitride-oxide (ONO) layer in which dielectric layer 449 is an oxide (such as silicon dioxide), charge trapping layer 448 is a nitride, and tunneling layer 447 is an oxide (such as silicon dioxide). In one embodiment in which charge trapping layer 448 is a nitride, charge trapping layer 448 may be a silicon-rich nitride (SiRN) or a stoichiometric silicon nitride. Dielectric spacer layer 443 may be a nitride spacer, an oxide-nitride spacer, other type of spacer composed of one or more dielectric materials, or the like.
Modern semiconductor devices are typically created as integrated circuits manufactured on the surface of a substrate of semiconductor material, which is typically a wafer formed by slicing a single crystal ingot grown by a Czochralski process. Various devices are formed on the wafer using a series of steps that include deposition, removal processes (such as etching), patterning, and doping. Few steps or many hundreds of such steps may be used in various designs. The patterning steps may be performed by photolithography or other lithographic methods. For example, the wafer may be coated with a photoresist, which is exposed with a device that transmits light through a photomask, exposing portions of the wafer not blocked by the photomask to light. The exposed regions are removed so that the photoresist remains only in areas that were not exposed to light. This allows a layer to be etched according to the pattern on the photomask. After the devices have been formed on the wafer, various back-end processing and packaging is performed, including properly interconnecting the devices and bringing metal lines to the chip edge for attachment to wires.
A designer creates the device design in accordance with a set of design rules provided by the fabricator, and creates a series of design files based on the design, which may be stored in a machine-readable medium. Various design tools may be used by the designer in creating the design, simulating the design, and checking the design for layout rules violations. When completed, the design files are provided to the fabricator, which are used to generate photomasks for use in the fabricating the device. The design files may be communicated in different ways, including over a network.
FIG. 5 illustrates a flow chart of an embodiment of a process (580). After a start block, the process proceeds to block 581, where spacer formation and junction formation are performed on both: a memory cell region in a core section of a memory device in fabrication, and a high-voltage device region in a periphery section of the memory device in fabrication. The spacer formation and junction formation on both the memory cell region and the high-voltage device region includes performing a rapid thermal anneal.
The process then moves to block 582, where spacer formation and junction formation on a low-voltage device region in the periphery section is performed. The process then proceeds to a return block, where other processing is resumed.
In this way, two different types of spacers are formed—with one kind of spacer that may be optimized for the core and high-voltage devices, and another kind of spacer that may be optimized for the low-voltage devices, without the formation of one type of spacer adversely affecting the opposite type of devices during its formation. In some embodiments, as discussed in greater detail below, the spacers are composed of oxide and nitride.
FIG. 6 shows a flow chart of an embodiment of a process for step 581 of FIG. 5. After a start block, the process proceeds to block 684, where one or more dopant implants are performed on both: the memory cell region and the high-voltage device region of the memory device in fabrication. For example, in some embodiments, lightly-doped drain (LDD) implants are performed.
The process then moves to block 686, where a nitride deposition is performed on the wafer, including the core section and the periphery section. In some embodiments, a liner deposition is performed on the entire wafer just prior to the nitride deposition. In some embodiments, the deposited liner is an oxide liner. In other embodiments, the liner may be composed of a material other than oxide, such as a carbide, or the like. In yet other embodiments, the liner is not included. The process then advances to block 687, where the nitride (and subsequently the oxide liner, in embodiments that include an oxide liner) is removed from the low-voltage device region. The process then proceeds to block 688, where nitride spacer etching is performed in the memory cell region and the high-voltage device region while the low-voltage device region is protected by a photoresist mask. The process then moves to block 689, where one or more additional dopant implants are performed on the memory cell region and the high-voltage device region. For example, in some embodiments, source/drain implants are performed. The process then advances to block 660, where a rapid thermal anneal (RTA) is performed. The process then proceeds to a return block, where other processing is resumed.
FIG. 7 illustrates a flow chart of an embodiment of a process for an embodiment of step 582 of FIG. 5. After a start block, the process proceeds to block 762, where one or more dopant implants are performed on the low-voltage device region of the periphery section of the memory device in fabrication. For example, in some embodiments, lightly-doped drain (LDD) implants are performed.
The process then moves to block 764, where a low temperature nitride deposition is performed on the wafer, including the core section and the periphery section. In some embodiments, a low temperature oxide liner deposition is performed on the entire wafer just prior to the nitride deposition. In other embodiments, liner other than an oxide liner may be deposited, and in yet other embodiments, no liner deposition is performed. The process then advances to block 765, where the low temperature nitride is removed from the core section and the high-voltage device section. In embodiments that include a low temperature oxide liner deposition, this leaves the oxide liner only in these regions. The process then proceeds to block 766, where nitride spacer etching is performed in the low-voltage device region. The process then moves to block 767, where one or more additional dopant implants are performed on the low-voltage device region. For example, in some embodiments, source/drain implants are performed. The process then advances to block 768, where a rapid thermal anneal (RTA) is performed. The process then proceeds to a return block, where other processing is resumed.
FIG. 8 shows a flow chart of an embodiment of process 880, which may be employed as an embodiment of process 580 of FIG. 5. FIGS. 9-14 illustrate embodiments of portions of a flash memory device in fabrication, including the core region, high-voltage device region, and low-voltage device region, as various steps in process 880 of FIG. 8 are performed. FIGS. 9-14 illustrate a particular embodiment of a flash memory device which should not be construed as limiting, since they only illustrate one particular embodiment by way of example. Similarly, FIG. 8 illustrates one particular embodiment of a process which should not be construed as limiting, since it illustrates one particular embodiment by way of example. After a start block, the process proceeds to block 883, where core cell devices (i.e., core memory cells), high voltage devices (e.g., for sector select and decoders) and low voltage devices (e.g., for high performance logic), are formed up to polysilicon (poly) gate definition, as illustrated in FIG. 9 in one embodiment.
FIG. 9 illustrates substrate 921, poly gate 941, hardmask oxide 923, charge trapping component 946, and gate dielectric 935 in an embodiment of portions 900 of the flash memory device in fabrication after poly etching. As discussed in greater detail above, in some embodiments, charge trapping component 946 may be an ONO layer or the like. Gate dielectric 935 may be a gate oxide or the like. The portions 900 include the core region (Core), high-voltage device region (HV) and low-voltage device region (LV). In some embodiments, the core devices and/or the high voltage devices may use thicker poly gates than the low voltage devices in the fast logic circuits in order to prevent dopant implant penetration. As a consequence, the thickness of the hardmask oxide remaining on poly after poly etch may differ depending on the time the hardmask oxide has been exposed to poly etching. In some embodiments, the core region and/or the high-voltage device region may include transistors in fabrication having an oxide hardmask with a thickness of about 250 Å after poly etch, whereas the low-voltage device region may include low-voltage transistors in fabrication having an oxide hardmask with a greater thickness than the core and/or high-voltage transistors due to a shorter poly etch. However, some embodiments do not include oxide hardmasks.
The process then moves to block 884, where LDD and halo implants needed for high voltage devices as well as other implants needed for the core cell transistors up to this point are performed. LDD and halo implants for low voltage devices are not performed at this time. In embodiments in which the device is an n-type transistor, the LDD implant is an n implant, and the halo implant is a p implant. The halo implant is tilted at an angle and implanted around the gate to form a “halo” around the gate. The LDD implant is lightly doped (as opposed to the later source/drain implant, which is heavily doped, and the LDD implant is typically lighter and shallower than the source/drain implant) for minimizing short-channel effects.
The process then advances to block 885, where a high-temperature, high-quality oxide liner is deposited. In some embodiments, the deposition is accomplished by low-pressure chemical vapor deposition (LPCVD). In some embodiments, the oxide is silicon dioxide about 100-200 Å thick, and the deposition is a high-temperature oxidation (HTO) performed at about 650-800° C. The process then proceeds to block 886, where nitride spacer material is deposited on the entire wafer. In some embodiments, the nitride spacer material is silicon nitride, and the deposition is accomplished by low-pressure chemical vapor deposition (LPCVD) from tetrachlorosilane (TCS). In some embodiments, this silicon nitride deposition is about 600-1000 Å, and performed at about 650-800° C.
The process then moves to block 887, where the high temperature spacer nitride is removed from the low voltage device areas by using an isotropic, highly selective nitride chemical dry etch process in conjunction with a photoresist mask. This will leave the original high temperature oxide liner over the low voltage devices. The portions of flash memory device 1000 in fabrication after the step in block 887 are illustrated FIG. 10. FIG. 10 further illustrates nitride 1024, photoresist 1025, high-temperature oxide liner 1027, and LDD implant 1026.
The process then advances to block 871, where the high-temperature oxide liner remaining over the low-voltage devices is etched by using a conventional anisotropic oxide etch which is selective to substrate 1121 (which typically comprises of silicon), while the photoresist mask protecting the core and high-voltage devices in block 887 is still in place. This results in a thin oxide spacer around the poly gates of the low-voltage devices. In some embodiments, the etch duration is extended beyond the time needed to remove the high-temperature oxide liner so that most or all of the hardmask oxide on the low-voltage poly gates are also removed. The photoresist mask prevents the oxide etch from affecting the core and high-voltage transistors.
The process then advances to block 888, where high-quality nitride spacers for the core and high voltage devices are formed by using a conventional anisotropic nitride etch which is selective to oxide while protecting the low voltage device areas using another photoresist mask. The nitride spacers formed protect, for example, the LDD regions during, for example, a source-drain implant to occur subsequently (block 889), and therefore may be referred to as “LDD spacers”. The use of nitride as the spacer material minimizes the erosion during subsequent cleans using dilute hydrofluoric acid. The photoresist mask used for this etch is removed after the nitride spacers are formed.
The process then proceeds to block 889, where source-drain implants are performed for the high voltage devices, as well all remaining implants needed for the core cell devices, using additional photoresist masks. In some embodiments, the implants performed at block 889 also include a bit line isolation (BII) implant in core using a photoresist mask. However, the BII implant is an optional implant that is not performed in some embodiments of the invention. The process then moves to block 860, where RTA is performed to activate the implants for the core and HV devices. The RTA step diffuses the dopants deeper to maximize the junction breakdown characteristics. The RTA may be performed with a reduced thermal cycle in anticipation of the RTA that will be performed later at step 868. The RTA at block 860 does not affect the LV devices since there has been no dopant implant there yet. The portions of flash memory device 1100 in fabrication after the step in block 860 are illustrated FIG. 11. FIG. 11 further illustrates source-drain implants 1142.
The process then proceeds to block 862, where LDD and halo implants are performed for the low-voltage devices using photoresist masks. The core and high-voltage regions are protected by these photoresist mask during these implants. The portions of flash memory device 1200 in fabrication after the step in block 862 are illustrated FIG. 12.
The process then moves to block 863, where a low temperature oxide liner is deposited. In some embodiments, the oxide deposition is about 100-200 Å of silicon dioxide and is a low-temperature deposition performed at less than 500° C. The process then proceeds to block 864, where a low temperature nitride spacer material is deposited over the entire wafer. The nitride thickness for the low-voltage devices is significantly thinner than the nitride thickness for the high-voltage devices. In some embodiments, the nitride deposition is about 300-500 Å of silicon nitride and is a low-temperature deposition performed at less than 500° C. In some embodiments, such low temperature depositions of block 863 and block 864 can be achieved by conventional plasma-enhanced CVD (PECVD) or atomic layer deposition (ALD) processes and will not cause dopant diffusion.
The process then advances to block 865, where the low temperature spacer nitride material is removed from the core and high voltage device areas by using an isotropic, highly selective nitride etch process in conjunction with a resist mask. This leaves the low temperature oxide liner in the core and high voltage device areas. Using an isotropic etch at block 865 allows for a complete removal of the nitride from the core and high-voltage device regions. The resist mask is removed after the isotropic nitride etch. The portions of flash memory device 1300 in fabrication after the step in block 865 is illustrated FIG. 13. Flash memory device 1300 further includes low-temperature liner 1328.
The process then proceeds to block 866, where low temperature nitride spacers are formed for the low-voltage devices by using a conventional anisotropic nitride etch which is selective to the low temperature oxide liner. A photoresist mask may be used to protect the core and high-voltage device areas during this etch. If sufficient etch selectivity is achieved, the resist mask may not be necessary since the low temperature oxide liner will be protecting the core and high voltage device areas and the nitride etch is relatively short.
In other embodiments, block 865 is completely skipped and the etching of the low-temperature nitride is performed without a photoresist mask. This will form low-temperature nitride spacers for the low-voltage devices and small secondary nitride spacers for the core and high-voltage devices. This embodiment may be employed, for example, if the small secondary nitride spacers do not adversely affect the core and high-voltage devices.
The process then moves to block 867, where source-drain implants are performed for the low-voltage devices using photoresist masks. The photoresist masks protect the core and high-voltage device regions during the source-drain implants so that the implants occur only in the low voltage region.
The process then advances to block 868, where the implants for the low-voltage devices are activated by using spike RTA processing or millisecond anneals with flash lamps or lasers. The RTA performed at the step of block 868 is relatively short in duration. The thermal budget for this anneal should be designed not to significantly disturb the core or high-voltage devices. As previously discussed, the thermal budget in block 860 may take into account the fact that step 868 will be subsequently performed, so that the steps at block 860 and 868 together provides the total activation of the dopant implants for the core section and the high-voltage devices, where the step at block 868 provides the activation for the low-voltage devices. The portions of flash memory device 1400 in fabrication after the step in block 868 is illustrated FIG. 14.
The process then moves to block 869, where Dilute Hydrofluoric Acid (DHF) preclean, salicidation, contact and backend interconnect formation may be performed. The DHF preclean is a wet etch which removes the oxide layers on the silicon surfaces, as well as on the poly surfaces. As a result, the low-temperature oxide liner remaining in the core and high-voltage areas will be removed and not result in charge retention concerns. In some embodiments, the DHF preclean is accomplished in two parts. First, a longer DHF clean (approximately 100-200 Å oxide removal in some embodiments) is accomplished for the core and high-voltage regions to remove most of the oxide from poly and silicon surfaces, with the low-voltage region protected by a photoresist mask. Next, a shorter DHF clean (50-100 Å oxide removal in one embodiment) is performed for the entire wafer to remove the oxide in the low-voltage region as well any remaining oxide in the core and high-voltage region. The relatively short second DHF clean avoids excessive etching of the low-temperature nitride spacers in the low-voltage region.
The process then advances to a return block, where other processing is resumed.
Embodiments of the memory device can be incorporated into any of a variety of components and/or systems, including for example, a processor and other components or systems of such components. FIG. 15 shows one embodiment of system 1590, which may incorporate memory 1520, which is an embodiment of memory device 100 of FIG. 1. Memory 1520 can be directly or indirectly connected to any one of processor 1592, input devices 1593, and/or output devices 1594. In one embodiment, memory 1520 may be configured such that it is removable from system 1590. In another embodiment, memory 1520 may be permanently connected to the components or a portion of the components of system 1590.
In many embodiments, memory 1520, processor 1592, input devices 1593, and/or output devices 1594 of system 1590 are configured in combination to function as part of a larger system. For example, system 1590 may be incorporated into a cell phone, a handheld device, a laptop computer, a personal computer, and/or a server device. In addition or alternatively, system 1590 can perform any of a variety of processing, controller, and/or data storage functions, such as those associated with sensing, imaging, computing, or other functions. Accordingly, system 1590 can be incorporated into any of a wide variety of devices that may employ such functions (e.g., a digital camera, an MP3 player, a GPS unit, and so on).
The above specification, examples and data provide a description of the manufacture and use of the composition of the invention. Since many embodiments of the invention can be made without departing from the spirit and scope of the invention, the invention also resides in the claims hereinafter appended.

Claims (20)

What is claimed is:
1. A method for fabricating a memory device, including:
performing spacer formation and junction formation on both: a memory cell region in a core section of a memory device in fabrication, and a high-voltage device region in a periphery section of the memory device in fabrication, wherein the spacer formation and junction formation on both the memory cell region and the high-voltage device region includes performing a first rapid thermal anneal;
after performing the spacer formation and junction formation on both the memory cell region and the high-voltage device region, performing spacer formation and junction formation on a low-voltage device region in the periphery section, wherein the spacer formation and junction formation on the low-voltage device region includes performing a second rapid thermal anneal having a duration shorter than that of the first rapid thermal anneal.
2. The method of claim 1, wherein the memory cell region, the high-voltage device region, and the low-voltage device region each include a plurality of transistors in fabrication, each of the plurality of transistors in fabrication includes a substrate and a gate, the spacer formation includes the formation of two spacers for each of the transistors in the memory device in fabrication, including a first spacer attached to said one side of the gate and a second spacer attached to another side of the gate.
3. A tangible machine-readable storage medium including an electronic design file that is arranged to control the performance of the method of claim 1.
4. A method, comprising transmitting, over a network, an article of manufacture including a machine-readable medium that includes an electronic design file that is arranged to control the performance of the method of claim 1.
5. The method of claim 1, wherein
performing spacer formation and junction formation on the low-voltage device region includes:
performing a dopant implant on the low-voltage device region;
performing a nitride deposition on the memory device in fabrication;
performing nitride spacer etching; and
performing another dopant implant on the low-voltage device region.
6. The method of claim 5, further comprising depositing a liner after performing the dopant implant on the low-voltage device region and before performing the nitride deposition.
7. The method of claim 6, wherein the liner is an oxide liner, and wherein depositing the liner is performed at a temperature less than about 550 degrees Celsius.
8. The method of claim 6, wherein the nitride deposition is performed at a temperature of less than about 550 degrees Celsius.
9. The method of claim 6, wherein the dopant implant on the low-voltage device region includes a lightly-doped drain implant.
10. The method of claim 6, wherein said another dopant implant on the low-voltage device region includes a source/drain implant.
11. The method of claim 1, wherein
performing spacer formation and junction formation on both the memory cell region and the high-voltage device region includes:
performing a dopant implant on both the memory cell and the high-voltage device region;
performing a nitride deposition on the memory device in fabrication;
removing nitride from the low-voltage device region;
performing nitride spacer etching in the memory cell region and the high-voltage device region;
performing another dopant implant on the memory cell region and the high-voltage device region, wherein the act of performing a first rapid thermal anneal is performed after performing said another dopant implant.
12. The method of claim 11, wherein the dopant implant includes a lightly-doped drain implant.
13. The method of claim 11, wherein said another dopant implant includes a source/drain implant.
14. The method of claim 11, wherein the nitride deposition on the entire memory device in fabrication is performed at a temperature of at least 650 degrees Celsius.
15. The method of claim 11, further comprising:
performing depositing an oxide liner after performing the dopant implant on the memory cell region and the high-voltage device region, and before performing the nitride deposition; and
removing the oxide liner from the low voltage device region after removing the nitride from the low-voltage device region.
16. The method of claim 15, wherein the oxide liner deposition is performed at a temperature of at least 650 degrees Celsius.
17. The method of claim 15, wherein
performing spacer formation and junction formation on the low-voltage device region includes:
performing a dopant implant on the low-voltage device region;
performing another nitride deposition on the memory device in fabrication;
removing the nitride from the memory cell region and the high-voltage device region;
performing nitride spacer etching on the low-voltage device region; and
performing another dopant implant on the low-voltage device region.
18. The method of claim 17, further comprising depositing a liner after performing the dopant implant on the low-voltage device region, and before performing said another nitride deposition.
19. The method of claim 18, wherein the liner is an oxide liner, and wherein said another oxide liner deposition is performed at a temperature of less than about 550 degrees Celsius.
20. The method of claim 18, wherein said another nitride deposition is performed at a temperature of less than about 550 degrees Celsius.
US13/185,390 2011-07-18 2011-07-18 Method and manufacture for embedded flash to achieve high quality spacers for core and high voltage devices and low temperature spacers for high performance logic devices Active US8598005B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/185,390 US8598005B2 (en) 2011-07-18 2011-07-18 Method and manufacture for embedded flash to achieve high quality spacers for core and high voltage devices and low temperature spacers for high performance logic devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/185,390 US8598005B2 (en) 2011-07-18 2011-07-18 Method and manufacture for embedded flash to achieve high quality spacers for core and high voltage devices and low temperature spacers for high performance logic devices

Publications (2)

Publication Number Publication Date
US20130023101A1 US20130023101A1 (en) 2013-01-24
US8598005B2 true US8598005B2 (en) 2013-12-03

Family

ID=47556056

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/185,390 Active US8598005B2 (en) 2011-07-18 2011-07-18 Method and manufacture for embedded flash to achieve high quality spacers for core and high voltage devices and low temperature spacers for high performance logic devices

Country Status (1)

Country Link
US (1) US8598005B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130077381A1 (en) * 2011-09-23 2013-03-28 Euipil Kwon Highly integrated programmable non-volatile memory and manufacturing method thereof
US20140256099A1 (en) * 2013-03-11 2014-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of converting between non-volatile memory technologies and system for implementing the method

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8883624B1 (en) * 2013-09-27 2014-11-11 Cypress Semiconductor Corporation Integration of a memory transistor into high-K, metal gate CMOS process flow
CN104851839B (en) * 2014-02-17 2019-05-28 中芯国际集成电路制造(上海)有限公司 A method of improving memory performance
JP6518485B2 (en) * 2015-03-30 2019-05-22 ルネサスエレクトロニクス株式会社 Semiconductor device manufacturing method
DE102016123406B4 (en) * 2015-12-29 2020-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Process for forming an integrated chip with a uniform tunnel dielectric of an embedded flash memory cell and associated integrated chip
US10269822B2 (en) 2015-12-29 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method to fabricate uniform tunneling dielectric of embedded flash memory cell
TWI732349B (en) * 2019-11-20 2021-07-01 世界先進積體電路股份有限公司 Semiconductor structure and method for forming the same
US11387361B2 (en) 2020-02-06 2022-07-12 Vanguard International Semiconductor Corporation Semiconductor structure and method for forming the same

Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5965464A (en) * 1997-09-01 1999-10-12 United Microelectronics Corp. Manufacturing method of double spacer structure for mixed-mode IC
US6316304B1 (en) * 2000-07-12 2001-11-13 Chartered Semiconductor Manufacturing Ltd. Method of forming spacers of multiple widths
US6403487B1 (en) * 1997-09-13 2002-06-11 United Microelectronics Corp. Method of forming separated spacer structures in mixed-mode integrated circuits
US20030022445A1 (en) * 1998-12-25 2003-01-30 Yasuhiro Taniguchi Semiconductor integrated circuit device and a method of manufacturing the same
US6632745B1 (en) * 2002-08-16 2003-10-14 Chartered Semiconductor Manufacturing Ltd. Method of forming almost L-shaped spacer for improved ILD gap fill
US6670227B1 (en) * 2003-02-10 2003-12-30 Advanced Micro Devices, Inc. Method for fabricating devices in core and periphery semiconductor regions using dual spacers
US6743679B2 (en) * 1999-03-03 2004-06-01 Koninklijke Philips Electronics N.V. Integrated circuit devices with high and low voltage components and processes for manufacturing these devices
US6808985B1 (en) * 2002-02-21 2004-10-26 Taiwan Semiconductor Manufacturing Company Products derived from embedded flash/EEPROM products
US6894356B2 (en) * 2002-03-15 2005-05-17 Integrated Device Technology, Inc. SRAM system having very lightly doped SRAM load transistors for improving SRAM cell stability and method for making the same
US20050130372A1 (en) * 2003-12-15 2005-06-16 Hynix Semiconductor Inc. Method for manufacturing flash memory device
US20060094229A1 (en) * 2004-10-29 2006-05-04 Fujitsu Limited Semiconductor device and method of manufacturing the same
US20070275531A1 (en) * 2006-05-29 2007-11-29 Hynix Semiconductor Inc. Method of manufacturing flash memory device
US7393703B2 (en) * 2006-05-10 2008-07-01 International Business Machines Corporation Method for reducing within chip device parameter variations
US7427791B2 (en) * 1996-04-08 2008-09-23 Renesas Technology Corporation Method of forming a CMOS structure having gate insulation films of different thicknesses
US7456066B2 (en) * 2006-11-03 2008-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Variable width offset spacers for mixed signal and system on chip devices
US20080318387A1 (en) * 2007-06-19 2008-12-25 Texas Instruments Incorporated Activation of CMOS Source/Drain Extensions by Ultra-High Temperature Anneals
US20090004804A1 (en) * 2007-06-26 2009-01-01 Yong-Ho Oh Method of fabricating semiconductor devices
US7883953B2 (en) * 2008-09-30 2011-02-08 Freescale Semiconductor, Inc. Method for transistor fabrication with optimized performance
US20110031554A1 (en) * 2009-08-04 2011-02-10 International Business Machines Corporation Structure and method to improve threshold voltage of mosfets including a high k dielectric
US7897501B2 (en) * 2007-04-25 2011-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a field-effect transistor having robust sidewall spacers
US20110258504A1 (en) * 2010-04-20 2011-10-20 Mentor Graphics Corporation Test access mechanism for diagnosis based on partitioining scan chains
US8044451B2 (en) * 2005-01-12 2011-10-25 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having notched gate MOSFET
US8043916B2 (en) * 2005-11-28 2011-10-25 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device having multiple gate insulating layer
US8076209B2 (en) * 2008-09-29 2011-12-13 Advanced Micro Devices, Inc. Methods for fabricating MOS devices having highly stressed channels
US8080842B2 (en) * 2005-05-18 2011-12-20 Samsung Electronics Co., Ltd. Nonvolatile memory device

Patent Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7427791B2 (en) * 1996-04-08 2008-09-23 Renesas Technology Corporation Method of forming a CMOS structure having gate insulation films of different thicknesses
US5965464A (en) * 1997-09-01 1999-10-12 United Microelectronics Corp. Manufacturing method of double spacer structure for mixed-mode IC
US6403487B1 (en) * 1997-09-13 2002-06-11 United Microelectronics Corp. Method of forming separated spacer structures in mixed-mode integrated circuits
US20030022445A1 (en) * 1998-12-25 2003-01-30 Yasuhiro Taniguchi Semiconductor integrated circuit device and a method of manufacturing the same
US6743679B2 (en) * 1999-03-03 2004-06-01 Koninklijke Philips Electronics N.V. Integrated circuit devices with high and low voltage components and processes for manufacturing these devices
US6316304B1 (en) * 2000-07-12 2001-11-13 Chartered Semiconductor Manufacturing Ltd. Method of forming spacers of multiple widths
US6808985B1 (en) * 2002-02-21 2004-10-26 Taiwan Semiconductor Manufacturing Company Products derived from embedded flash/EEPROM products
US6894356B2 (en) * 2002-03-15 2005-05-17 Integrated Device Technology, Inc. SRAM system having very lightly doped SRAM load transistors for improving SRAM cell stability and method for making the same
US6632745B1 (en) * 2002-08-16 2003-10-14 Chartered Semiconductor Manufacturing Ltd. Method of forming almost L-shaped spacer for improved ILD gap fill
US6670227B1 (en) * 2003-02-10 2003-12-30 Advanced Micro Devices, Inc. Method for fabricating devices in core and periphery semiconductor regions using dual spacers
US20050130372A1 (en) * 2003-12-15 2005-06-16 Hynix Semiconductor Inc. Method for manufacturing flash memory device
US20060094229A1 (en) * 2004-10-29 2006-05-04 Fujitsu Limited Semiconductor device and method of manufacturing the same
US8169017B2 (en) * 2004-10-29 2012-05-01 Fujitsu Semiconductor Limited Semiconductor device and method of manufacturing the same
US7445989B2 (en) * 2004-10-29 2008-11-04 Fujitsu Limited Semiconductor device and method of manufacturing the same
US8044451B2 (en) * 2005-01-12 2011-10-25 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having notched gate MOSFET
US8080842B2 (en) * 2005-05-18 2011-12-20 Samsung Electronics Co., Ltd. Nonvolatile memory device
US8043916B2 (en) * 2005-11-28 2011-10-25 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device having multiple gate insulating layer
US7393703B2 (en) * 2006-05-10 2008-07-01 International Business Machines Corporation Method for reducing within chip device parameter variations
US20070275531A1 (en) * 2006-05-29 2007-11-29 Hynix Semiconductor Inc. Method of manufacturing flash memory device
US7456066B2 (en) * 2006-11-03 2008-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Variable width offset spacers for mixed signal and system on chip devices
US7897501B2 (en) * 2007-04-25 2011-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a field-effect transistor having robust sidewall spacers
US20080318387A1 (en) * 2007-06-19 2008-12-25 Texas Instruments Incorporated Activation of CMOS Source/Drain Extensions by Ultra-High Temperature Anneals
US20090004804A1 (en) * 2007-06-26 2009-01-01 Yong-Ho Oh Method of fabricating semiconductor devices
US8076209B2 (en) * 2008-09-29 2011-12-13 Advanced Micro Devices, Inc. Methods for fabricating MOS devices having highly stressed channels
US7883953B2 (en) * 2008-09-30 2011-02-08 Freescale Semiconductor, Inc. Method for transistor fabrication with optimized performance
US20110031554A1 (en) * 2009-08-04 2011-02-10 International Business Machines Corporation Structure and method to improve threshold voltage of mosfets including a high k dielectric
US20110258504A1 (en) * 2010-04-20 2011-10-20 Mentor Graphics Corporation Test access mechanism for diagnosis based on partitioining scan chains

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130077381A1 (en) * 2011-09-23 2013-03-28 Euipil Kwon Highly integrated programmable non-volatile memory and manufacturing method thereof
US8687408B2 (en) * 2011-09-23 2014-04-01 Rangduru, Inc. Highly integrated programmable non-volatile memory and manufacturing method thereof
US20140256099A1 (en) * 2013-03-11 2014-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of converting between non-volatile memory technologies and system for implementing the method
US8930866B2 (en) * 2013-03-11 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of converting between non-volatile memory technologies and system for implementing the method
US9171120B2 (en) 2013-03-11 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of converting between non-volatile memory technologies and system for implementing the method
US9589095B2 (en) 2013-03-11 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of converting between non-volatile memory technologies and system for implementing the method

Also Published As

Publication number Publication date
US20130023101A1 (en) 2013-01-24

Similar Documents

Publication Publication Date Title
US8598005B2 (en) Method and manufacture for embedded flash to achieve high quality spacers for core and high voltage devices and low temperature spacers for high performance logic devices
US7332773B2 (en) Vertical device 4F2 EEPROM memory
US7075146B2 (en) 4F2 EEPROM NROM memory arrays with vertical devices
US8853763B2 (en) Integrated circuits with sidewall nitridation
US10360985B2 (en) Method and apparatus for staggered start-up of a predefined, random, or dynamic number of flash memory devices
JP2005197624A (en) Nonvolatile storage
US9431503B2 (en) Integrating transistors with different poly-silicon heights on the same die
JP2005184029A (en) Nonvolatile storage element and semiconductor integrated circuit device
US8349685B2 (en) Dual spacer formation in flash memory
US8610199B2 (en) Fabricating method of mirror bit memory device having split ONO film with top oxide film formed by oxidation process
US8809206B2 (en) Patterned dummy wafers loading in batch type CVD
KR101188551B1 (en) Flash memory device and method for manufacturing Flash memory device
US8208296B2 (en) Apparatus and method for extended nitride layer in a flash memory
US20080093643A1 (en) Non-volatile memory device and fabrication method
US8790530B2 (en) Planar cell ONO cut using in-situ polymer deposition and etch
JP7042726B2 (en) Manufacturing method of semiconductor device
Lue 3D NAND flash architectures
US8114756B1 (en) Method and manufacture for high voltage gate oxide formation after shallow trench isolation formation
US20120139023A1 (en) Method and apparatus for nand memory with recessed source/drain region
박세환 3-Dimensional NAND flash memory having Tied Bit-line and Ground Select Transistor (TiGer)
Forbes et al. Vertical device 4F 2 EEPROM memory

Legal Events

Date Code Title Description
AS Assignment

Owner name: SPANSION LLC, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHAN, SIMON SIU-SING;SHIRAIWA, HIDEHIKO;LIN, CHUAN;AND OTHERS;REEL/FRAME:027786/0693

Effective date: 20120227

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., NEW YORK

Free format text: SECURITY INTEREST;ASSIGNORS:CYPRESS SEMICONDUCTOR CORPORATION;SPANSION LLC;REEL/FRAME:035240/0429

Effective date: 20150312

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: MUFG UNION BANK, N.A., CALIFORNIA

Free format text: ASSIGNMENT AND ASSUMPTION OF SECURITY INTEREST IN INTELLECTUAL PROPERTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC.;REEL/FRAME:050896/0366

Effective date: 20190731

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., NEW YORK

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE 8647899 PREVIOUSLY RECORDED ON REEL 035240 FRAME 0429. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTERST;ASSIGNORS:CYPRESS SEMICONDUCTOR CORPORATION;SPANSION LLC;REEL/FRAME:058002/0470

Effective date: 20150312

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

AS Assignment

Owner name: SPANSION LLC, CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MUFG UNION BANK, N.A.;REEL/FRAME:059410/0438

Effective date: 20200416

Owner name: CYPRESS SEMICONDUCTOR CORPORATION, CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MUFG UNION BANK, N.A.;REEL/FRAME:059410/0438

Effective date: 20200416

AS Assignment

Owner name: INFINEON TECHNOLOGIES LLC, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CYPRESS SEMICONDUCTOR CORPORATION;REEL/FRAME:059721/0467

Effective date: 20200315