US20220075260A1 - Vacuum-integrated hardmask processes and apparatus - Google Patents

Vacuum-integrated hardmask processes and apparatus Download PDF

Info

Publication number
US20220075260A1
US20220075260A1 US17/455,185 US202117455185A US2022075260A1 US 20220075260 A1 US20220075260 A1 US 20220075260A1 US 202117455185 A US202117455185 A US 202117455185A US 2022075260 A1 US2022075260 A1 US 2022075260A1
Authority
US
United States
Prior art keywords
euv
film
metal
organometallic film
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/455,185
Inventor
Jeffrey Marks
George Andrew Antonelli
Richard A. Gottscho
Dennis M. Hausmann
Adrien Lavoie
Thomas Joseph Knisley
Sirish K. Reddy
Bhadri N. Varadarajan
Artur Kolics
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US17/455,185 priority Critical patent/US20220075260A1/en
Publication of US20220075260A1 publication Critical patent/US20220075260A1/en
Priority to US18/298,003 priority patent/US20230266662A1/en
Priority to US18/297,989 priority patent/US20230273516A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/14Decomposition by irradiation, e.g. photolysis, particle radiation or by mixed irradiation sources
    • C23C18/143Radiation by light, e.g. photolysis or pyrolysis
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/14Decomposition by irradiation, e.g. photolysis, particle radiation or by mixed irradiation sources
    • C23C18/145Radiation by charged particles, e.g. electron beams or ion irradiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • C23C18/1612Process or apparatus coating on selected surface areas by direct patterning through irradiation means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1813Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by radiant energy
    • C23C18/182Radiation, e.g. UV, laser
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • This disclosure relates generally to the field of semiconductor processing.
  • the disclosure is directed to vacuum-integrated processes for forming metal hardmasks without the use of photoresist.
  • Patterning of thin films in semiconductor processing is often a critical step in the manufacture and fabrication of semiconductors. Patterning involves lithography. In conventional photolithography, such as 193 nm photolithography, patterns are printed by emitting photons from a photon source onto a mask and printing the pattern onto a photosensitive photoresist, thereby causing a chemical reaction in the photoresist that, after development, removes certain portions of the photoresist to form the pattern.
  • Advanced technology nodes include nodes 22 nm, 16 nm, and beyond.
  • the width of a typical via or line in a Damascene structure is typically no greater than about 30 nm. Scaling of features on advanced semiconductor integrated circuits (ICs) and other devices is driving lithography to improve resolution.
  • aspects of the present invention are directed to vacuum-integrated photoresist-less methods and apparatuses for forming metal hardmasks. Such methods and apparatuses can provide sub-30 nm patterning resolution.
  • a metal-containing (e.g., metal salt or organometallic compound) film that is sensitive to patterning agent such as photons, electrons, protons, ions or neutral species such that the film can be patterned by exposure to one of these species is deposited on a semiconductor substrate.
  • the metal-containing film is then patterned directly (i.e., without the use of a photoresist) by exposure to the patterning agent in a vacuum ambient to form the metal mask.
  • the metal-containing film is photosensitive and the patterning is conducted using optical lithography, such as EUV lithography.
  • a EUV-sensitive metal-containing film is deposited on a semiconductor substrate.
  • the metal-containing film is then patterned directly by EUV exposure in a vacuum ambient to form the metal hardmask.
  • EUVL EUV lithography
  • an apparatus for conducting photoresist-less metal hardmask formation can provide the vacuum integration to conduct the described processes.
  • the apparatus includes a metal-containing film deposition module, a metal-containing film patterning module, and a vacuum transfer module connecting the deposition module and the patterning module.
  • FIGS. 1A-E illustrate a representative process flow for a vacuum-integrated photoresist-less hardmask formation process.
  • FIG. 2 provides the emission spectrum of a EUV source which uses excited Sn droplets.
  • FIG. 3 depicts a semiconductor process cluster architecture with metal deposition and patterning modules that interface with a vacuum transfer module, suitable for implementation of the vacuum-integrated processes described herein.
  • EUV lithography can extend lithographic technology beyond its optical limits by moving to smaller imaging source wavelengths achievable with current photolithography methods to pattern small critical dimension features.
  • EUV light sources at approximately 13.5 nm wavelength can be used for leading-edge lithography tools, also referred to as scanners.
  • the EUV radiation is strongly absorbed in a wide range of solid and fluid materials including quartz and water vapor, and so operates in a vacuum.
  • EUV lithography typically makes use of an organic hardmask (e.g., an ashable hardmark of PECVD amorphous hydrogenated carbon) that is patterned using a conventional photoresist process.
  • an organic hardmask e.g., an ashable hardmark of PECVD amorphous hydrogenated carbon
  • EUV radiation is absorbed in the resist and in the substrate below, producing highly energetic photoelectrons (about 100 eV) and in turn a cascade of low-energy secondary electrons (about 10 eV) that diffuse laterally by several nanometers.
  • These electrons increase the extent of chemical reactions in the resist which increases its EUV dose sensitivity.
  • a secondary electron pattern that is random in nature is superimposed on the optical image. This unwanted secondary electron exposure results in loss of resolution, observable line edge roughness (LER) and linewidth variation in the patterned resist. These defects are replicated in the material to be patterned during subsequent pattern transfer etching.
  • a metal is less susceptible to secondary electron exposure effects since the secondary electrons can quickly lose energy and thermalize by scattering with conduction electrons.
  • Suitable metal elements for this process may include but are not limited to: aluminum, silver, palladium, platinum, rhodium, ruthenium, iridium, cobalt, ruthenium, manganese, nickel, copper, hafnium, tantalum, tungsten, gallium, germanium, tin, antimony, or any combination thereof.
  • a vacuum-integrated metal hardmask process and related vacuum-integrated hardware that combines film formation (deposition/condensation) and optical lithography with the result of greatly improved EUV lithography (EUVL) performance—e.g. reduced line edge roughness—is disclosed.
  • EUVL EUV lithography
  • a deposition (e.g., condensation) process e.g., ALD or MOCVD carried out in a PECVD tool, such as the Lam Vector®
  • a metal-containing film such as a photosensitive metal salt or metal-containing organic compound (organometallic compound)
  • a strong absorption in the EUV e.g., at wavelengths on the order of 10-20 nm
  • This film photo-decomposes upon EUV exposure and forms a metal mask that is the pattern transfer layer during subsequent etching (e.g., in a conductor etch tool, such as the Lam 2300® Kiyo®).
  • the metal-containing film can be deposited in a chamber integrated with a lithography platform (e.g., a wafer stepper such as the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL) and transferred under vacuum so as not to react before exposure. Integration with the lithography tool is facilitated by the fact that EUVL also requires a greatly reduced pressure given the strong optical absorption of the incident photons by ambient gases such as H 2 O, O 2 , etc.
  • a lithography platform e.g., a wafer stepper such as the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL
  • a selective film deposition can be carried out after the EUV exposure/decomposition step to increase the thickness of the mask material if needed for optical or mechanical reasons; a process referred to as pattern amplification.
  • the initial hardmask then serves as a seed layer upon which the final mask is formed, similar to the use of a metal seed layer for electroless (ELD) or electrochemical (ECD) deposition.
  • FIGS. 1A-E illustrate a representative process flow for a vacuum-integrated photoresist-less hardmask formation process.
  • a metal-containing film that is sensitive to a patterning agent such as photons, electrons, protons, ions or neutral species such that the film can be patterned by exposure to one of these species is deposited on a semiconductor substrate.
  • the metal-containing film is then patterned directly (i.e., without the use of a photoresist) by exposure to the patterning agent in a vacuum ambient to form the metal mask.
  • EUV lithography EUVL
  • EUV-sensitve films Such films are referred to herein as EUV-sensitve films.
  • EUV-sensitve films it should be understood that other implementations are possible, including different metal-containing films and patterning agents/techniques.
  • a desirable hardmask metal will be a strong absorber and will have a relatively broad absorption profile, high melting point, low malleability/high physical stability and be readily deposited.
  • a material that emits a photon of a given energy will also absorb a photon of that energy. Strongly absorbed light will result in the desired decomposition or will otherwise sensitize the film so that the exposed areas can be removed with heat, wet chemistry, etc.
  • FIG. 2 provides the emission spectrum of a EUV source which uses excited Sn droplets. See, R. W. Coons, et al., “Comparison of EUV spectral and ion emission features from laser produced Sn and Li plasmas”, Proc. Of SPIE Vol.
  • the semiconductor substrate 100 is a silicon wafer including partially-formed integrated circuits.
  • FIG. 1B illustrates a metal-containing film 102 that is sensitive to a patterning agent deposited on the semiconductor substrate 100 .
  • the metal-containing film may be a metal salt, for example a metal halide, or an organometallic compound sensitive to exposure to a patterning agent such that the metal-containing film gets decomposed to the base metal or is rendered sensitive to a subsequent development process.
  • Suitable patterning agents may be photons, electrons, protons, ions or neutral species, such that the metal-containing film 102 can be patterned by exposure to one of these species by decomposition to the base metal or is rendered sensitive to a subsequent development process.
  • an effective metal and patterning agent combination is Sn, deposited as a metal halide (e.g., SnBr 4 ) or organometallic (e.g., Sn(CH 3 ) 4 ), patterned by EUV lithography.
  • a metal halide e.g., SnBr 4
  • organometallic e.g., Sn(CH 3 ) 4
  • a blanket of the metal-containing film 102 can be formed by condensation from a suitable precursor (e.g., in a non-plasma CVD reactor, such as an Altus® CVD tool, available from Lam Research Corporation, Fremont, Calif.).
  • a suitable precursor e.g., in a non-plasma CVD reactor, such as an Altus® CVD tool, available from Lam Research Corporation, Fremont, Calif.
  • tin bromide, SnBr 4 has a normal boiling point of 205° C. and a melting point of 31° C. at 760 Torr, and a vapor pressure of 10 Torr at 10° C. It can be condensed onto the substrate to form a solid SnBr 4 film with a thickness that depends on exposure time and substrate temperature, for example on the order of 5 to 200 nm, e.g., 10 nm.
  • Suitable process conditions for this deposition via condensation include a deposition temperature between about 0 and 30° C., for example about 20° C., and a reactor pressure of less than 20 Torr, for example maintained between 14 and 15 Torr at 20° C. Maintaining the precursor flow rate between about 100 and 1000 sccm allows for control of the deposition rate.
  • An alternative source of Sn metal may be organometallic.
  • tetramethyl tin (Sn(CH 3 ) 4 ) has a normal boiling point of 75° C. and a melting point of ⁇ 54° C. at 760 Torr. It can be also be condensed onto the substrate to form a solid Sn(CH 3 ) 4 film with a thickness that depends on exposure time and substrate temperature, for example on the order of 5 to 200 ⁇ , e.g., 100 ⁇ .
  • Suitable process conditions for this deposition via condensation include a deposition temperature between about ⁇ 54° C. and 30° C., for example about 20° C., and a reactor pressure of less than 20 Torr, for example maintained at about 1 Torr at 20° C. Maintaining the precursor flow rate between about 100 and 1000 sccm allows for control of the deposition rate.
  • Hafnium chloride HfCl 4 (1 Torr vapor pressure at 190° C. with a melting point of 432° C.) can be condensed onto the substrate to form a solid HfCl 4 crystalline film with a thickness that depends on exposure time and substrate temperature, for example on the order of 50 to 2000 nm, e.g., 1000 nm.
  • Suitable process conditions for this deposition via condensation include a deposition temperature between about 0 and 300° C., for example about 100° C., and a reactor pressure of less than 10 Torr, for example maintained between 0.1 and 1 Torr at 100° C. Maintaining the precursor flow rate between about 10 and 100 sccm allows for control of the deposition rate.
  • formation and transfer of the Sn- and Hf-containing films is conducted in a vacuum-ambient.
  • the formed film is then transferred to a EUV patterning tool and patterned via direct exposure, without the use of a photoresist, as illustrated in FIGS. 1C-D .
  • a EUVL tool typically operates at a higher vacuum than a deposition tool. If this is the case, it is desirable to increase the vacuum environment of the substrate during the transfer from the deposition to the patterning tool to allow the substrate and deposited metal-containing film to degas prior to entry into the patterning tool. This is so that the optics of the patterning tool are not contaminated by off-gassing from the substrate.
  • the decomposition chemistry can proceed by:
  • Photons directly decompose the SnBr 4 to Sn (tin metal) and bromine gas (Br 2 ).
  • a reactant X 2 e.g., wherein X is Cl, I or H
  • X is Cl, I or H
  • the byproducts (Br 2 ) and reactants (X 2 ) require containment, such as vacuum.
  • the decomposition chemistry can proceed by:
  • Photons directly decompose the HfCl 4 to Hf metal and chlorine gas (Cl 2 ).
  • a reactant X 2 e.g., wherein X is Br, I or H
  • X could be used to promote a reaction pathway HfCl 4 +X 2 ⁇ HfX 4 +2Cl 2 , and ultimately to Hf by photodecomposition, in particular where HfX 4 is easier to photo-activate than the easily condensed HfCl 4 .
  • the byproducts (Cl 2 ) and reactants (X 2 ) require containment, such as vacuum.
  • the patterning results in exposed metal-containing film regions of formed metal mask 102 a and unexposed regions 102 b of material to be removed by pattern development.
  • the pattern can then be developed. Development of the pattern can occur simply by heating the substrate to volatilize the unexposed regions 102 b of the metal-containing film, so that only the exposed regions 102 a remain as a fully-formed metal mask. It should be noted that this pattern development operation may not require vacuum integration since a thermally and environmentally stable patterned metal mask would have been formed. It may also be desirable to conduct the pattern development outside the patterning tool to avoid contaminating the tool optics with any incompatible byproducts of the metal-containing film decomposition.
  • a pattern amplification can be done.
  • selective ALD or electroless deposition (ELD) may be performed on the patterned substrate following the operations depicted in FIGS. 1C and/or 1D to build up the thickness of the metal mask with additional selectively deposited metal 106 .
  • ELD electroless deposition
  • Such amplification may be accomplished, for example, by adaptation of an electroless deposition process such as that described in U.S. Pat. Nos. 6,911,067, 6,794,288, 6,902,605 and 4,935,312, the disclosures of which in this regard are incorporated by reference herein.
  • an initial 1 nm seed could be amplified to 10 nm in this way.
  • this operation may not require vacuum integration since a thermally and environmentally stable patterned metal mask would have been formed before amplification.
  • a metal-containing EUV-sensitive film could be deposited by a multistep process of metalorganic CVD using a suitable precursor (e.g., in a non-plasma CVD reactor, such as an Altus® CVD tool or PECVD reactor, such a Vector® PECVD tool, both available from Lam Research Corporation, Fremont, Calif.).
  • a plasma deposition of alkyl and amino precursors such as a CH 4 /H 2 plasma deposition followed by an ammonia (NH 3 /H 2 ) plasma, can produce an amino-functionalized self-assembled monolayer (SAM) of aminopropyltriethoxysilane (APTES) on a semiconductor substrate.
  • SAM amino-functionalized self-assembled monolayer
  • APTES aminopropyltriethoxysilane
  • Such amine terminated surfaces enable conformal electroless deposition (ELD).
  • ELD conformal electroless deposition
  • the SAM can then be transferred to a EUV patterning tool and patterned.
  • Selective growth of the patterned SAM by ELD such as by PdCl 2 /H 2 O solution exposure to provide a Pd catalyst, followed by ELD of Ni or Co and then copper (Cu) according to processes known in the art given these parameters, results in a metal-based mask formed without the use of photoresist.
  • ELD electroless deposition
  • FIG. 3 depicts a semiconductor process cluster tool architecture with vacuum-integrated metal deposition and patterning modules that interface with a vacuum transfer module, suitable for implementation of the vacuum-integrated processes described herein.
  • the arrangement of transfer modules to “transfer” wafers among multiple storage facilities and processing modules may be referred to as a “cluster tool architecture” system.
  • Metal deposition and patterning modules are vacuum-integrated, in accordance with the requirements of a particular process.
  • a vacuum transport module (VTM) 338 interfaces with four processing modules 320 a - 320 d, which may be individually optimized to perform various fabrication processes.
  • processing modules 320 a - 320 d may be implemented to perform condensation, deposition, evaporation, ELD, etch, and/or other semiconductor processes.
  • module 320 a may be a non-plasma CVD reactor, such as an Altus® CVD tool, available from Lam Research Corporation, Fremont, Calif. suitable for conducting deposition of metal-containing films, as described herein.
  • module 320 b may be a PECVD tool, such as the Lam Vector®. It should be understood that the figure is not necessarily drawn to scale.
  • Airlocks 342 and 346 also known as a loadlocks or transfer modules, interface with the VTM 338 and a patterning module 340 .
  • a suitable patterning module may be the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL).
  • This tool architecture allows for work pieces, such as substrates with deposited metal-containing films, to be transferred under vacuum so as not to react before exposure. Integration of the deposition modules with the lithography tool is facilitated by the fact that EUVL also requires a greatly reduced pressure given the strong optical absorption of the incident photons by ambient gases such as H 2 O, O 2 , etc.
  • Airlock 342 may be an “outgoing” loadlock, referring to the transfer of a substrate out from the VTM 338 serving a deposition module 320 a to the patterning module 340
  • airlock 346 may be an “ingoing” loadlock, referring to the transfer of a substrate from the patterning module 340 back in to the VTM 338 .
  • the ingoing loadlock 346 may also provide an interface to the exterior of the tool for access and egress of substrates.
  • Each process module has a facet that interfaces the module to VTM 338 .
  • deposition process module 320 a has facet 336 . Inside each facet, sensors, for example, sensors 1 - 18 as shown, are used to detect the passing of wafer 326 when moved between respective stations.
  • Patterning module 340 and airlocks 342 and 346 may be similarly equipped with additional facets and sensors, not shown.
  • Main VTM robot 322 transfers wafer 326 between modules, including airlocks 342 and 346 .
  • robot 322 has one arm, and in another embodiment, robot 322 has two arms, where each arm has an end effector 324 to pick wafers such as wafer 326 for transport.
  • Front-end robot 344 it is used to transfer wafers 326 from outgoing airlock 342 into the patterning module 340 , from the patterning module 340 into ingoing airlock 346 .
  • Front-end robot 344 may also transport wafers 326 between the ingoing loadlock and the exterior of the tool for access and egress of substrates. Because ingoing airlock module 346 has the ability to match the environment between atmospheric and vacuum, the wafer 326 is able to move between the two pressure environments without being damaged.
  • a EUVL tool typically operates at a higher vacuum than a deposition tool. If this is the case, it is desirable to increase the vacuum environment of the substrate during the transfer from the deposition to the patterning tool to allow the substrate and deposited metal-containing film to degas prior to entry into the patterning tool.
  • Outgoing airlock 342 may provide this function by holding the transferred wafers at a lower pressure, no higher than the pressure in the patterning module 340 , for a period of time and exhausting any off-gassing, so that the optics of the patterning tool 340 are not contaminated by off-gassing from the substrate.
  • a suitable pressure for the outgoing, off-gassing airlock is no more than 1E-8 Torr.
  • a system controller 350 (which may include one or more physical or logical controllers) controls some or all of the operations of the cluster tool and/or its separate modules. It should be noted that the controller can be local to the cluster architecture, or can be located external to the cluster architecture in the manufacturing floor, or in a remote location and connected to the cluster architecture via a network.
  • the system controller 350 may include one or more memory devices and one or more processors.
  • the processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller or they may be provided over a network. In certain embodiments, the system controller executes system control software.
  • the system control software may include instructions for controlling the timing of application and/or magnitude of any aspect of tool or module operation.
  • System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operations of the process tool components necessary to carry out various process tool processes.
  • System control software may be coded in any suitable compute readable programming language.
  • system control software includes input/output control (IOC) sequencing instructions for controlling the various parameters described above.
  • each phase of a semiconductor fabrication process may include one or more instructions for execution by the system controller.
  • the instructions for setting process conditions for condensation, deposition, evaporation, patterning and/or etching phase may be included in a corresponding recipe phase, for example.
  • the vacuum-integration of film deposition and lithography processes and apparatus described herein provides EUV-sensitive metal film deposition and subsequently patterning directly by direct EUV exposure in a vacuum ambient to prevent their decomposition or degradation.
  • EUVL is done in a vacuum to avoid degradation of the incident 13.5 nm light flux by optical absorption of ambient gases.
  • Vacuum operation of the EUV system opens up the possibility of using compounds that are oxygen and moisture sensitive; vacuum integration of the deposition system with the EUV system in an apparatus enables use of these materials.
  • Photo decomposition of a metal precursor creates a non-linear reaction where the photo decomposition is enhanced by the increased adsorption of the metal film.
  • Metals are better at thermalization of high energy secondary electrons than photoresist, thereby improving contrast or LER.
  • Using metal film directly as masks or with pattern amplification allows much thinner films and reduce required exposure times.
  • Metal films make better hardmasks for etch and decrease the thickness required from a mask perspective.
  • further development and optimization of materials compatible with the EUV vacuum and optics, organometalic precursors with appropriate dose thresholds for metal deposition, and nucleation films with multiple photo decomposition events to eliminate a nucleation site in a given space may proceed in accordance with the processes described herein.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Vacuum-integrated photoresist-less methods and apparatuses for forming metal hardmasks can provide sub-30 nm patterning resolution. A metal-containing (e.g., metal salt or organometallic compound) film that is sensitive to a patterning agent is deposited on a semiconductor substrate. The metal-containing film is then patterned directly (i.e., without the use of a photoresist) by exposure to the patterning agent in a vacuum ambient to form the metal mask. For example, the metal-containing film is photosensitive and the patterning is conducted using sub-30 nm wavelength optical lithography, such as EUV lithography.

Description

    INCORPORATION BY REFERENCE
  • An Application Data Sheet is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed Application Data Sheet is incorporated by reference herein in its entirety and for all purposes.
  • BACKGROUND
  • This disclosure relates generally to the field of semiconductor processing. In particular, the disclosure is directed to vacuum-integrated processes for forming metal hardmasks without the use of photoresist.
  • Patterning of thin films in semiconductor processing is often a critical step in the manufacture and fabrication of semiconductors. Patterning involves lithography. In conventional photolithography, such as 193 nm photolithography, patterns are printed by emitting photons from a photon source onto a mask and printing the pattern onto a photosensitive photoresist, thereby causing a chemical reaction in the photoresist that, after development, removes certain portions of the photoresist to form the pattern.
  • Advanced technology nodes (as defined by the International Technology Roadmap for Semiconductors) include nodes 22 nm, 16 nm, and beyond. In the 16 nm node, for example, the width of a typical via or line in a Damascene structure is typically no greater than about 30 nm. Scaling of features on advanced semiconductor integrated circuits (ICs) and other devices is driving lithography to improve resolution.
  • SUMMARY
  • Aspects of the present invention are directed to vacuum-integrated photoresist-less methods and apparatuses for forming metal hardmasks. Such methods and apparatuses can provide sub-30 nm patterning resolution. Generally, a metal-containing (e.g., metal salt or organometallic compound) film that is sensitive to patterning agent such as photons, electrons, protons, ions or neutral species such that the film can be patterned by exposure to one of these species is deposited on a semiconductor substrate. The metal-containing film is then patterned directly (i.e., without the use of a photoresist) by exposure to the patterning agent in a vacuum ambient to form the metal mask. For example, the metal-containing film is photosensitive and the patterning is conducted using optical lithography, such as EUV lithography.
  • In one implementation, a EUV-sensitive metal-containing film is deposited on a semiconductor substrate. The metal-containing film is then patterned directly by EUV exposure in a vacuum ambient to form the metal hardmask. In this way, a vacuum-integrated metal hardmask process and related vacuum-integrated hardware that combine steps of film formation (condensation/deposition) and optical lithography with the result of greatly improved EUV lithography (EUVL) performance—e.g. reduced line edge roughness—is provided. By using a metal-containing hardmask and by directly patterning the metal-containing film using the EUV photon flux, the process entirely avoids the need for photoresist.
  • In another implementation, an apparatus for conducting photoresist-less metal hardmask formation can provide the vacuum integration to conduct the described processes. The apparatus includes a metal-containing film deposition module, a metal-containing film patterning module, and a vacuum transfer module connecting the deposition module and the patterning module.
  • These and other features and advantages of the invention will be described in more detail below with reference to the associated drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-E illustrate a representative process flow for a vacuum-integrated photoresist-less hardmask formation process.
  • FIG. 2 provides the emission spectrum of a EUV source which uses excited Sn droplets.
  • FIG. 3 depicts a semiconductor process cluster architecture with metal deposition and patterning modules that interface with a vacuum transfer module, suitable for implementation of the vacuum-integrated processes described herein.
  • DETAILED DESCRIPTION
  • Reference will now be made in detail to specific embodiments of the invention. Examples of the specific embodiments are illustrated in the accompanying drawings. While the invention will be described in conjunction with these specific embodiments, it will be understood that it is not intended to limit the invention to such specific embodiments. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the invention. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail so as to not unnecessarily obscure the present invention.
  • Introduction
  • Extreme ultraviolet (EUV) lithography can extend lithographic technology beyond its optical limits by moving to smaller imaging source wavelengths achievable with current photolithography methods to pattern small critical dimension features. EUV light sources at approximately 13.5 nm wavelength can be used for leading-edge lithography tools, also referred to as scanners. The EUV radiation is strongly absorbed in a wide range of solid and fluid materials including quartz and water vapor, and so operates in a vacuum.
  • EUV lithography typically makes use of an organic hardmask (e.g., an ashable hardmark of PECVD amorphous hydrogenated carbon) that is patterned using a conventional photoresist process. During photoresist exposure, EUV radiation is absorbed in the resist and in the substrate below, producing highly energetic photoelectrons (about 100 eV) and in turn a cascade of low-energy secondary electrons (about 10 eV) that diffuse laterally by several nanometers. These electrons increase the extent of chemical reactions in the resist which increases its EUV dose sensitivity. However, a secondary electron pattern that is random in nature is superimposed on the optical image. This unwanted secondary electron exposure results in loss of resolution, observable line edge roughness (LER) and linewidth variation in the patterned resist. These defects are replicated in the material to be patterned during subsequent pattern transfer etching.
  • Unlike an insulator such as photoresist, a metal is less susceptible to secondary electron exposure effects since the secondary electrons can quickly lose energy and thermalize by scattering with conduction electrons. Suitable metal elements for this process may include but are not limited to: aluminum, silver, palladium, platinum, rhodium, ruthenium, iridium, cobalt, ruthenium, manganese, nickel, copper, hafnium, tantalum, tungsten, gallium, germanium, tin, antimony, or any combination thereof.
  • However, electron scattering in the photoresist used to pattern a blanket metal film into a mask would still lead to unacceptable effects such as LER.
  • A vacuum-integrated metal hardmask process and related vacuum-integrated hardware that combines film formation (deposition/condensation) and optical lithography with the result of greatly improved EUV lithography (EUVL) performance—e.g. reduced line edge roughness—is disclosed. By using a metal-containing hardmask film and by directly patterning the metal-containing film using the EUV photon flux, the process entirely avoids the need for photoresist.
  • In various embodiments, a deposition (e.g., condensation) process (e.g., ALD or MOCVD carried out in a PECVD tool, such as the Lam Vector®) can be used to form a thin film of a metal-containing film, such a photosensitive metal salt or metal-containing organic compound (organometallic compound), with a strong absorption in the EUV (e.g., at wavelengths on the order of 10-20 nm), for example at the wavelength of the EUVL light source (e.g., 13.5 nm=91.8 eV). This film photo-decomposes upon EUV exposure and forms a metal mask that is the pattern transfer layer during subsequent etching (e.g., in a conductor etch tool, such as the Lam 2300® Kiyo®).
  • The metal-containing film can be deposited in a chamber integrated with a lithography platform (e.g., a wafer stepper such as the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL) and transferred under vacuum so as not to react before exposure. Integration with the lithography tool is facilitated by the fact that EUVL also requires a greatly reduced pressure given the strong optical absorption of the incident photons by ambient gases such as H2O, O2, etc.
  • In some embodiments, a selective film deposition can be carried out after the EUV exposure/decomposition step to increase the thickness of the mask material if needed for optical or mechanical reasons; a process referred to as pattern amplification. Viewed in this context, the initial hardmask then serves as a seed layer upon which the final mask is formed, similar to the use of a metal seed layer for electroless (ELD) or electrochemical (ECD) deposition.
  • Vacuum-Integrated Photoresist-Less Metal Hardmask Formation Processes
  • FIGS. 1A-E illustrate a representative process flow for a vacuum-integrated photoresist-less hardmask formation process. Generally, a metal-containing film that is sensitive to a patterning agent such as photons, electrons, protons, ions or neutral species such that the film can be patterned by exposure to one of these species is deposited on a semiconductor substrate. The metal-containing film is then patterned directly (i.e., without the use of a photoresist) by exposure to the patterning agent in a vacuum ambient to form the metal mask. This description references primarily metal-containing films, particularly where the metal is Sn, that are patterned by extreme ultraviolet lithography (EUV lithography (EUVL)), particularly EUVL having an EUV source which uses excited Sn droplets. Such films are referred to herein as EUV-sensitve films. However, it should be understood that other implementations are possible, including different metal-containing films and patterning agents/techniques.
  • A desirable hardmask metal will be a strong absorber and will have a relatively broad absorption profile, high melting point, low malleability/high physical stability and be readily deposited. For the purposes of this disclosure, it is important to note that a material that emits a photon of a given energy will also absorb a photon of that energy. Strongly absorbed light will result in the desired decomposition or will otherwise sensitize the film so that the exposed areas can be removed with heat, wet chemistry, etc. FIG. 2 provides the emission spectrum of a EUV source which uses excited Sn droplets. See, R. W. Coons, et al., “Comparison of EUV spectral and ion emission features from laser produced Sn and Li plasmas”, Proc. Of SPIE Vol. 7636 73636-1 (2010); R. C. Spitzer, et al., “Conversion efficiencies from laser-produced plasmas in the extreme ultraviolet region”, 79 J. Appl. Phys., 2251 (1996); and H. C. Gerritsen, et al., “Laser-generated plasma as soft x-ray source”, J. Appl. Phys. 59 2337 (1986), incorporated herein by reference for their disclosure relating to the emission/absorption properties of various metals. The emitted photons are on the order of 13.5 nm or 91.8 eV. Therefore, Sn is a desirable hardmask metal for this application.
  • Referring to FIG. 1A, a semiconductor substrate to be patterned 100 is shown. In a typical example, the semiconductor substrate 100 is a silicon wafer including partially-formed integrated circuits.
  • FIG. 1B illustrates a metal-containing film 102 that is sensitive to a patterning agent deposited on the semiconductor substrate 100. The metal-containing film may be a metal salt, for example a metal halide, or an organometallic compound sensitive to exposure to a patterning agent such that the metal-containing film gets decomposed to the base metal or is rendered sensitive to a subsequent development process. Suitable patterning agents may be photons, electrons, protons, ions or neutral species, such that the metal-containing film 102 can be patterned by exposure to one of these species by decomposition to the base metal or is rendered sensitive to a subsequent development process. As further explained below, a particular example of an effective metal and patterning agent combination is Sn, deposited as a metal halide (e.g., SnBr4) or organometallic (e.g., Sn(CH3)4), patterned by EUV lithography. In general, prior to the deposition, the semiconductor substrate 100 is placed in a reactor chamber for metal-containing film deposition under vacuum.
  • A blanket of the metal-containing film 102 can be formed by condensation from a suitable precursor (e.g., in a non-plasma CVD reactor, such as an Altus® CVD tool, available from Lam Research Corporation, Fremont, Calif.). For example, tin bromide, SnBr4, has a normal boiling point of 205° C. and a melting point of 31° C. at 760 Torr, and a vapor pressure of 10 Torr at 10° C. It can be condensed onto the substrate to form a solid SnBr4 film with a thickness that depends on exposure time and substrate temperature, for example on the order of 5 to 200 nm, e.g., 10 nm. Suitable process conditions for this deposition via condensation include a deposition temperature between about 0 and 30° C., for example about 20° C., and a reactor pressure of less than 20 Torr, for example maintained between 14 and 15 Torr at 20° C. Maintaining the precursor flow rate between about 100 and 1000 sccm allows for control of the deposition rate.
  • An alternative source of Sn metal may be organometallic. For example, tetramethyl tin (Sn(CH3)4) has a normal boiling point of 75° C. and a melting point of −54° C. at 760 Torr. It can be also be condensed onto the substrate to form a solid Sn(CH3)4 film with a thickness that depends on exposure time and substrate temperature, for example on the order of 5 to 200 Å, e.g., 100 Å. Suitable process conditions for this deposition via condensation include a deposition temperature between about −54° C. and 30° C., for example about 20° C., and a reactor pressure of less than 20 Torr, for example maintained at about 1 Torr at 20° C. Maintaining the precursor flow rate between about 100 and 1000 sccm allows for control of the deposition rate.
  • Another suitable metal for formation of the metal mask is hafnium (Hf). Hafnium chloride, HfCl4 (1 Torr vapor pressure at 190° C. with a melting point of 432° C.) can be condensed onto the substrate to form a solid HfCl4 crystalline film with a thickness that depends on exposure time and substrate temperature, for example on the order of 50 to 2000 nm, e.g., 1000 nm. Suitable process conditions for this deposition via condensation include a deposition temperature between about 0 and 300° C., for example about 100° C., and a reactor pressure of less than 10 Torr, for example maintained between 0.1 and 1 Torr at 100° C. Maintaining the precursor flow rate between about 10 and 100 sccm allows for control of the deposition rate.
  • To prevent degradation due to water vapor, formation and transfer of the Sn- and Hf-containing films is conducted in a vacuum-ambient. The formed film is then transferred to a EUV patterning tool and patterned via direct exposure, without the use of a photoresist, as illustrated in FIGS. 1C-D.
  • It should be noted that a EUVL tool typically operates at a higher vacuum than a deposition tool. If this is the case, it is desirable to increase the vacuum environment of the substrate during the transfer from the deposition to the patterning tool to allow the substrate and deposited metal-containing film to degas prior to entry into the patterning tool. This is so that the optics of the patterning tool are not contaminated by off-gassing from the substrate.
  • Referring to FIG. 1C, for metal halide Sn-based metal-containing films patterned by EUVL, the decomposition chemistry can proceed by:

  • SnBr4→Sn+2Br2.
  • Photons directly decompose the SnBr4 to Sn (tin metal) and bromine gas (Br2). Alternatively, a reactant X2 (e.g., wherein X is Cl, I or H) could be used to promote a reaction pathway SnBr4+X2→SnX4+2Br2, and ultimately to Sn by photodecomposition, in particular where SnX4 is easier to photo-activate than the easily condensed SnBr4. In either case, the byproducts (Br2) and reactants (X2) require containment, such as vacuum.
  • For organometallic Sn-based metal-containing films patterned by EUVL, photons directly decompose the Sn(CH3)4 to Sn (tin metal) and ethane gas, the decomposition chemistry proceeding by:

  • Sn(CH3)4→Sn+2C2H6.
  • For metal halide Hf-based metal-containing films patterned by EUVL, the decomposition chemistry can proceed by:

  • HfCl4→Hf+2Cl2.
  • Photons directly decompose the HfCl4 to Hf metal and chlorine gas (Cl2). Alternatively, a reactant X2 (e.g., wherein X is Br, I or H) could be used to promote a reaction pathway HfCl4+X2→HfX4+2Cl2, and ultimately to Hf by photodecomposition, in particular where HfX4 is easier to photo-activate than the easily condensed HfCl4. In either case, the byproducts (Cl2) and reactants (X2) require containment, such as vacuum.
  • As shown in FIG. 1C, the patterning results in exposed metal-containing film regions of formed metal mask 102 a and unexposed regions 102 b of material to be removed by pattern development.
  • Referring to FIG. 1D, the pattern can then be developed. Development of the pattern can occur simply by heating the substrate to volatilize the unexposed regions 102 b of the metal-containing film, so that only the exposed regions 102 a remain as a fully-formed metal mask. It should be noted that this pattern development operation may not require vacuum integration since a thermally and environmentally stable patterned metal mask would have been formed. It may also be desirable to conduct the pattern development outside the patterning tool to avoid contaminating the tool optics with any incompatible byproducts of the metal-containing film decomposition.
  • Referring to FIG. 1E, as an optional step, a pattern amplification can be done. For example selective ALD or electroless deposition (ELD) may be performed on the patterned substrate following the operations depicted in FIGS. 1C and/or 1D to build up the thickness of the metal mask with additional selectively deposited metal 106. This may be helpful to reduce optical transmission of the mask or make it more mechanically robust. Such amplification may be accomplished, for example, by adaptation of an electroless deposition process such as that described in U.S. Pat. Nos. 6,911,067, 6,794,288, 6,902,605 and 4,935,312, the disclosures of which in this regard are incorporated by reference herein.
  • For example, an initial 1 nm seed could be amplified to 10 nm in this way. Like the pattern development discussed with reference to FIG. 1D, this operation may not require vacuum integration since a thermally and environmentally stable patterned metal mask would have been formed before amplification.
  • Alternative Process Embodiments
  • As an alternative to the metal salt or organometallic metal-containing film depositions, a metal-containing EUV-sensitive film could be deposited by a multistep process of metalorganic CVD using a suitable precursor (e.g., in a non-plasma CVD reactor, such as an Altus® CVD tool or PECVD reactor, such a Vector® PECVD tool, both available from Lam Research Corporation, Fremont, Calif.). For example, a plasma deposition of alkyl and amino precursors, such as a CH4/H2plasma deposition followed by an ammonia (NH3/H2) plasma, can produce an amino-functionalized self-assembled monolayer (SAM) of aminopropyltriethoxysilane (APTES) on a semiconductor substrate. Such amine terminated surfaces enable conformal electroless deposition (ELD). The SAM can then be transferred to a EUV patterning tool and patterned. Selective growth of the patterned SAM by ELD, such as by PdCl2/H2O solution exposure to provide a Pd catalyst, followed by ELD of Ni or Co and then copper (Cu) according to processes known in the art given these parameters, results in a metal-based mask formed without the use of photoresist. Such a SAM-based approach can also be used for pattern amplification as an alternative to the ELD technique described with ref to FIG. 1E for that purpose.
  • It should also be noted that while this disclosure primarily references EUVL as a patterning technique, alternative embodiments could use a focused beam of electrons, ions or neutral species to directly write the pattern onto the blanket mask, these steps also performed in vacuum. In-situ chamber cleaning may be used if byproducts condense on the reflective optics of the EUVL system.
  • Apparatus
  • FIG. 3 depicts a semiconductor process cluster tool architecture with vacuum-integrated metal deposition and patterning modules that interface with a vacuum transfer module, suitable for implementation of the vacuum-integrated processes described herein. The arrangement of transfer modules to “transfer” wafers among multiple storage facilities and processing modules may be referred to as a “cluster tool architecture” system. Metal deposition and patterning modules are vacuum-integrated, in accordance with the requirements of a particular process. A vacuum transport module (VTM) 338 interfaces with four processing modules 320 a-320 d, which may be individually optimized to perform various fabrication processes. By way of example, processing modules 320 a-320 d may be implemented to perform condensation, deposition, evaporation, ELD, etch, and/or other semiconductor processes. For example, module 320 a may be a non-plasma CVD reactor, such as an Altus® CVD tool, available from Lam Research Corporation, Fremont, Calif. suitable for conducting deposition of metal-containing films, as described herein. And module 320 b may be a PECVD tool, such as the Lam Vector®. It should be understood that the figure is not necessarily drawn to scale.
  • Airlocks 342 and 346, also known as a loadlocks or transfer modules, interface with the VTM 338 and a patterning module 340. For example, a suitable patterning module may be the TWINSCAN NXE: 3300B® platform supplied by ASML of Veldhoven, NL). This tool architecture allows for work pieces, such as substrates with deposited metal-containing films, to be transferred under vacuum so as not to react before exposure. Integration of the deposition modules with the lithography tool is facilitated by the fact that EUVL also requires a greatly reduced pressure given the strong optical absorption of the incident photons by ambient gases such as H2O, O2, etc.
  • Airlock 342 may be an “outgoing” loadlock, referring to the transfer of a substrate out from the VTM 338 serving a deposition module 320 a to the patterning module 340, and airlock 346 may be an “ingoing” loadlock, referring to the transfer of a substrate from the patterning module 340 back in to the VTM 338. The ingoing loadlock 346 may also provide an interface to the exterior of the tool for access and egress of substrates. Each process module has a facet that interfaces the module to VTM 338. For example, deposition process module 320 a has facet 336. Inside each facet, sensors, for example, sensors 1-18 as shown, are used to detect the passing of wafer 326 when moved between respective stations. Patterning module 340 and airlocks 342 and 346 may be similarly equipped with additional facets and sensors, not shown.
  • Main VTM robot 322 transfers wafer 326 between modules, including airlocks 342 and 346. In one embodiment, robot 322 has one arm, and in another embodiment, robot 322 has two arms, where each arm has an end effector 324 to pick wafers such as wafer 326 for transport. Front-end robot 344, it is used to transfer wafers 326 from outgoing airlock 342 into the patterning module 340, from the patterning module 340 into ingoing airlock 346. Front-end robot 344 may also transport wafers 326 between the ingoing loadlock and the exterior of the tool for access and egress of substrates. Because ingoing airlock module 346 has the ability to match the environment between atmospheric and vacuum, the wafer 326 is able to move between the two pressure environments without being damaged.
  • It should be noted that a EUVL tool typically operates at a higher vacuum than a deposition tool. If this is the case, it is desirable to increase the vacuum environment of the substrate during the transfer from the deposition to the patterning tool to allow the substrate and deposited metal-containing film to degas prior to entry into the patterning tool. Outgoing airlock 342 may provide this function by holding the transferred wafers at a lower pressure, no higher than the pressure in the patterning module 340, for a period of time and exhausting any off-gassing, so that the optics of the patterning tool 340 are not contaminated by off-gassing from the substrate. A suitable pressure for the outgoing, off-gassing airlock is no more than 1E-8 Torr.
  • In some embodiments, a system controller 350 (which may include one or more physical or logical controllers) controls some or all of the operations of the cluster tool and/or its separate modules. It should be noted that the controller can be local to the cluster architecture, or can be located external to the cluster architecture in the manufacturing floor, or in a remote location and connected to the cluster architecture via a network. The system controller 350 may include one or more memory devices and one or more processors. The processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller or they may be provided over a network. In certain embodiments, the system controller executes system control software.
  • The system control software may include instructions for controlling the timing of application and/or magnitude of any aspect of tool or module operation. System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operations of the process tool components necessary to carry out various process tool processes. System control software may be coded in any suitable compute readable programming language. In some embodiments, system control software includes input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of a semiconductor fabrication process may include one or more instructions for execution by the system controller. The instructions for setting process conditions for condensation, deposition, evaporation, patterning and/or etching phase may be included in a corresponding recipe phase, for example.
  • CONCLUSION
  • The vacuum-integration of film deposition and lithography processes and apparatus described herein provides EUV-sensitive metal film deposition and subsequently patterning directly by direct EUV exposure in a vacuum ambient to prevent their decomposition or degradation. EUVL is done in a vacuum to avoid degradation of the incident 13.5 nm light flux by optical absorption of ambient gases. Among the advantages of described vacuum-integrated hardmask processes are: Vacuum operation of the EUV system opens up the possibility of using compounds that are oxygen and moisture sensitive; vacuum integration of the deposition system with the EUV system in an apparatus enables use of these materials. Photo decomposition of a metal precursor creates a non-linear reaction where the photo decomposition is enhanced by the increased adsorption of the metal film. Metals are better at thermalization of high energy secondary electrons than photoresist, thereby improving contrast or LER. Using metal film directly as masks or with pattern amplification allows much thinner films and reduce required exposure times. Metal films make better hardmasks for etch and decrease the thickness required from a mask perspective. Moreover, further development and optimization of materials compatible with the EUV vacuum and optics, organometalic precursors with appropriate dose thresholds for metal deposition, and nucleation films with multiple photo decomposition events to eliminate a nucleation site in a given space may proceed in accordance with the processes described herein.
  • It is understood that the examples and embodiments described herein are for illustrative purposes only and that various modifications or changes in light thereof will be suggested to persons skilled in the art. Although various details have been omitted for clarity's sake, various design alternatives may be implemented. Therefore, the present examples are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified within the scope of the appended claims.

Claims (16)

What is claimed is:
1. A semiconductor processing apparatus, comprising:
a dry deposition module comprising a reactor chamber for dry depositing an EUV-sensitive organometallic film on a semiconductor substrate; and
a dry development module for removing an unexposed portion of a pattern formed in the organometallic film on the substrate by EUV exposure of a portion of the organometallic film;
a controller including one or more memory devices, one or more processors and system control software coded with instructions for conducting photoresist-less metal mask formation, the instructions comprising instructions for,
in the deposition module, dry depositing the EUV-sensitive organometallic film on a semiconductor substrate; and
in the dry development module, obtaining the semiconductor substrate following EUV lithographic patterning of the organometallic film by exposure of a portion of the organometallic film to EUV radiation, resulting in a pattern of exposed and unexposed portions in the organometallic film, and dry developing the pattern in the organometallic film to remove the unexposed portion of the organometallic film to form a metal-containing hardmask.
2. The apparatus of claim 1, further comprising vacuum transfer module interfaces connecting the deposition and development modules of the processing apparatus.
3. The apparatus of claim 1, further comprising an organometallic film patterning module comprising an Extreme Ultraviolet (EUV) photolithography tool with a source of sub-30 nm wavelength radiation.
4. The apparatus of claim 3, further comprising vacuum transfer module interfaces connecting the deposition, patterning and development modules of the processing apparatus.
5. The apparatus of claim 4, wherein the controller further comprises system control software coded with instructions for, following the dry deposition, transferring the substrate under vacuum to the patterning module comprising the Extreme Ultraviolet (EUV) photolithography tool and exposing the portion of the organometallic film on the substrate to EUV radiation to form the pattern.
6. The apparatus of claim 3, wherein the EUV photolithography tool source emits radiation having a wavelength in the range of 10 to 20 nm.
7. The apparatus of claim 6, wherein the EUV photolithography tool source emits radiation having a wavelength of 13.5 nm.
8. The apparatus of claim 1, wherein the organometallic film is an organotin film.
9. The apparatus of claim 1, wherein the dry development module further comprises a heater to heat the substrate to volatilize unexposed regions of the organometallic film.
10. A method of processing a semiconductor substrate, comprising:
dry depositing an EUV-sensitive an organometallic film on a semiconductor substrate;
obtaining EUV lithographic patterning of the organometallic film by exposure of a portion of the organometallic film to EUV radiation, resulting in a pattern of exposed and unexposed portions in the organometallic film; and
dry developing the pattern in the organometallic film to remove the unexposed portion of the organometallic film to form a metal-containing hardmask.
11. The method of claim 10, wherein the semiconductor substrate is a silicon wafer including partially-formed integrated circuits, and the method further comprising:
prior to the deposition, providing the semiconductor substrate in a first reactor chamber for the organometallic film deposition; and
following the deposition, transferring the substrate under vacuum to a EUV lithography processing chamber for the patterning.
12. The method of claim 11, further comprising, prior to entering the EUV lithography processing chamber, outgassing the substrate.
13. The method of claim 10, wherein the EUV lithography processing chamber has a EUV photolithography source that emits radiation having a wavelength in the range of 10 to 20 nm.
14. The method of claim 13, wherein the EUV photolithography tool source emits radiation having a wavelength of 13.5 nm.
15. The method of claim 10, wherein the organometallic film is an organotin film.
16. The method of claim 10, wherein the dry development of the pattern comprises heating the substrate to volatilize unexposed regions of the organometallic film.
US17/455,185 2014-01-31 2021-11-16 Vacuum-integrated hardmask processes and apparatus Pending US20220075260A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US17/455,185 US20220075260A1 (en) 2014-01-31 2021-11-16 Vacuum-integrated hardmask processes and apparatus
US18/298,003 US20230266662A1 (en) 2014-01-31 2023-04-10 Vacuum-integrated hardmask processes and apparatus
US18/297,989 US20230273516A1 (en) 2014-01-31 2023-04-10 Vacuum-integrated hardmask processes and apparatus

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461934514P 2014-01-31 2014-01-31
US14/610,038 US9778561B2 (en) 2014-01-31 2015-01-30 Vacuum-integrated hardmask processes and apparatus
US15/691,659 US10514598B2 (en) 2014-01-31 2017-08-30 Vacuum-integrated hardmask processes and apparatus
US16/691,508 US11209729B2 (en) 2014-01-31 2019-11-21 Vacuum-integrated hardmask processes and apparatus
US17/455,185 US20220075260A1 (en) 2014-01-31 2021-11-16 Vacuum-integrated hardmask processes and apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/691,508 Continuation US11209729B2 (en) 2014-01-31 2019-11-21 Vacuum-integrated hardmask processes and apparatus

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US18/298,003 Continuation US20230266662A1 (en) 2014-01-31 2023-04-10 Vacuum-integrated hardmask processes and apparatus
US18/297,989 Continuation US20230273516A1 (en) 2014-01-31 2023-04-10 Vacuum-integrated hardmask processes and apparatus

Publications (1)

Publication Number Publication Date
US20220075260A1 true US20220075260A1 (en) 2022-03-10

Family

ID=53755444

Family Applications (7)

Application Number Title Priority Date Filing Date
US14/610,038 Active 2035-08-26 US9778561B2 (en) 2014-01-31 2015-01-30 Vacuum-integrated hardmask processes and apparatus
US15/691,659 Active US10514598B2 (en) 2014-01-31 2017-08-30 Vacuum-integrated hardmask processes and apparatus
US16/206,959 Active US10831096B2 (en) 2014-01-31 2018-11-30 Vacuum-integrated hardmask processes and apparatus
US16/691,508 Active 2035-02-06 US11209729B2 (en) 2014-01-31 2019-11-21 Vacuum-integrated hardmask processes and apparatus
US17/455,185 Pending US20220075260A1 (en) 2014-01-31 2021-11-16 Vacuum-integrated hardmask processes and apparatus
US18/297,989 Pending US20230273516A1 (en) 2014-01-31 2023-04-10 Vacuum-integrated hardmask processes and apparatus
US18/298,003 Pending US20230266662A1 (en) 2014-01-31 2023-04-10 Vacuum-integrated hardmask processes and apparatus

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US14/610,038 Active 2035-08-26 US9778561B2 (en) 2014-01-31 2015-01-30 Vacuum-integrated hardmask processes and apparatus
US15/691,659 Active US10514598B2 (en) 2014-01-31 2017-08-30 Vacuum-integrated hardmask processes and apparatus
US16/206,959 Active US10831096B2 (en) 2014-01-31 2018-11-30 Vacuum-integrated hardmask processes and apparatus
US16/691,508 Active 2035-02-06 US11209729B2 (en) 2014-01-31 2019-11-21 Vacuum-integrated hardmask processes and apparatus

Family Applications After (2)

Application Number Title Priority Date Filing Date
US18/297,989 Pending US20230273516A1 (en) 2014-01-31 2023-04-10 Vacuum-integrated hardmask processes and apparatus
US18/298,003 Pending US20230266662A1 (en) 2014-01-31 2023-04-10 Vacuum-integrated hardmask processes and apparatus

Country Status (5)

Country Link
US (7) US9778561B2 (en)
JP (1) JP6495025B2 (en)
KR (1) KR102306612B1 (en)
CN (2) CN105047541B (en)
TW (1) TWI639179B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023211989A1 (en) * 2022-04-27 2023-11-02 Tokyo Electron Limited Dry developing metal-free photoresists
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11988965B2 (en) 2020-01-15 2024-05-21 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6495025B2 (en) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation Vacuum integrated hard mask processing and equipment
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6784670B2 (en) 2014-10-23 2020-11-11 インプリア・コーポレイションInpria Corporation High resolution patterning compositions based on organometallic solutions and corresponding methods
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
EP3391148B1 (en) 2015-10-13 2021-09-15 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10224202B2 (en) * 2016-03-31 2019-03-05 Tokyo Electron Limited Forming method of hard mask, forming apparatus of hard mask and recording medium
JP6762831B2 (en) * 2016-03-31 2020-09-30 東京エレクトロン株式会社 Hardmask forming method, hardmask forming device and storage medium
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859127B1 (en) * 2016-06-10 2018-01-02 Lam Research Corporation Line edge roughness improvement with photon-assisted plasma process
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10622211B2 (en) * 2016-08-05 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR20190071833A (en) * 2016-11-13 2019-06-24 어플라이드 머티어리얼스, 인코포레이티드 Surface treatment for EUV lithography
CN106444934B (en) * 2016-11-14 2019-02-19 北京北方华创微电子装备有限公司 A kind of process control system dispatching device and method based on condition managing
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10163633B2 (en) * 2017-03-13 2018-12-25 Globalfoundries Inc. Non-mandrel cut formation
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
CN107365958B (en) * 2017-07-13 2020-01-07 上海天马有机发光显示技术有限公司 Preparation method of metal mask plate
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
KR102374206B1 (en) 2017-12-05 2022-03-14 삼성전자주식회사 Method of fabricating semiconductor device
EP3503164A1 (en) * 2017-12-21 2019-06-26 IMEC vzw Selective deposition of metal-organic frameworks
US10109517B1 (en) 2018-01-10 2018-10-23 Lam Research Corporation Rotational indexer with additional rotational axes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
TW202016279A (en) 2018-10-17 2020-05-01 美商英培雅股份有限公司 Patterned organometallic photoresists and methods of patterning
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
CN113227909A (en) * 2018-12-20 2021-08-06 朗姆研究公司 Dry development of resists
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
KR20220132638A (en) * 2020-01-31 2022-09-30 램 리써치 코포레이션 alloy film etching
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
EP4100793A4 (en) * 2020-02-04 2024-03-13 Lam Res Corp Post application/exposure treatments to improve dry development performance of metal-containing euv resist
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20220147617A (en) 2020-03-02 2022-11-03 인프리아 코포레이션 Process Environment for Inorganic Resist Patterning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20220162765A (en) * 2020-03-31 2022-12-08 램 리써치 코포레이션 APPARATUS AND PROCESS FOR EUV DRY RESIST SENSITIZATION BY GAS PHASE INJECTION OF SENSITIZER
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210137276A (en) * 2020-05-07 2021-11-17 삼성전자주식회사 Semiconductor device
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
US20220005688A1 (en) * 2020-07-02 2022-01-06 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
US20230045336A1 (en) * 2020-07-07 2023-02-09 Lam Research Corporation Integrated dry processes for patterning radiation photoresist patterning
US20230266664A1 (en) * 2020-07-17 2023-08-24 Lam Research Corporation Photoresists from sn(ii) precursors
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
KR20230051769A (en) * 2020-07-17 2023-04-18 램 리써치 코포레이션 Photoresists containing tantalum
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11562904B2 (en) 2020-07-21 2023-01-24 Applied Materials, Inc. Deposition of semiconductor integration films
US11886120B2 (en) 2020-07-21 2024-01-30 Applied Materials, Inc. Deposition of semiconductor integration films
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
KR20230113400A (en) * 2020-12-08 2023-07-28 램 리써치 코포레이션 Photoresist development using organic vapors
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
JPWO2022209816A1 (en) * 2021-04-01 2022-10-06
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024070535A1 (en) * 2022-09-28 2024-04-04 Jsr株式会社 Resist pattern formation method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4834834A (en) * 1987-11-20 1989-05-30 Massachusetts Institute Of Technology Laser photochemical etching using surface halogenation
US6162577A (en) * 1995-09-21 2000-12-19 Felter; T. E. Method for extreme ultraviolet lithography
US20100131093A1 (en) * 1993-07-15 2010-05-27 Renesas Technology Corp. Fabrication system and fabrication method
US20120202357A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In Situ Vapor Phase Surface Activation Of SiO2
US20140268082A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3576755A (en) * 1964-09-24 1971-04-27 American Cyanamid Co Photochromism in plastic film containing inorganic materials
US3442648A (en) * 1965-06-16 1969-05-06 American Cyanamid Co Photographic dodging method
US3513010A (en) * 1966-07-11 1970-05-19 Kalvar Corp Conversion foil
US3529963A (en) * 1966-08-23 1970-09-22 Du Pont Image-yielding elements and processes
US3720515A (en) * 1971-10-20 1973-03-13 Trw Inc Microelectronic circuit production
US4241165A (en) * 1978-09-05 1980-12-23 Motorola, Inc. Plasma development process for photoresist
US4328298A (en) * 1979-06-27 1982-05-04 The Perkin-Elmer Corporation Process for manufacturing lithography masks
JPS60115222A (en) * 1983-11-28 1985-06-21 Tokyo Ohka Kogyo Co Ltd Ultra-fine pattern formation
JPH0778629B2 (en) 1986-12-19 1995-08-23 ミノルタ株式会社 Positive resist film and method for forming resist pattern thereof
US5077085A (en) * 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US4845053A (en) * 1988-01-25 1989-07-04 John Zajac Flame ashing process for stripping photoresist
US4940854A (en) * 1988-07-13 1990-07-10 Minnesota Mining And Manufacturing Company Organic thin film controlled molecular epitaxy
US5322765A (en) 1991-11-22 1994-06-21 International Business Machines Corporation Dry developable photoresist compositions and method for use thereof
GEP20002074B (en) * 1992-05-19 2000-05-10 Westaim Tech Inc Ca Modified Material and Method for its Production
EP0635884A1 (en) 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Method for forming a trench in a substrate and application to smart-power-technology
JPH07106224A (en) 1993-10-01 1995-04-21 Hitachi Ltd Pattern forming method
US5534312A (en) * 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
US6261938B1 (en) * 1997-02-12 2001-07-17 Quantiscript, Inc. Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography
WO1999004911A1 (en) * 1997-07-28 1999-02-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
US6290779B1 (en) 1998-06-12 2001-09-18 Tokyo Electron Limited Systems and methods for dry cleaning process chambers
US6348239B1 (en) * 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
WO2001059825A1 (en) 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Method for removing photoresist and residues from semiconductor device surfaces
US20040191423A1 (en) * 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
US20060001064A1 (en) 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
KR100406174B1 (en) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 Showerhead used chemically enhanced chemical vapor deposition equipment
JP2002015971A (en) * 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd Pattern-forming method and manufacturing apparatus for semiconductor device
KR100398312B1 (en) 2000-06-30 2003-09-19 한국과학기술원 Organometal-containing norbornene monomer, photoresist containing its polymer, manufacturing methods thereof, and method of forming photoresist patterns
US6797439B1 (en) * 2001-03-30 2004-09-28 Schott Lithotec Ag Photomask with back-side anti-reflective layer and method of manufacture
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US6933673B2 (en) * 2001-04-27 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Luminescent device and process of manufacturing the same
US6926957B2 (en) 2001-06-29 2005-08-09 3M Innovative Properties Company Water-based ink-receptive coating
JP2003213001A (en) 2001-11-13 2003-07-30 Sekisui Chem Co Ltd Photoreactive composition
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
JP3806702B2 (en) * 2002-04-11 2006-08-09 Hoya株式会社 REFLECTIVE MASK BLANK, REFLECTIVE MASK, MANUFACTURING METHOD THEREOF, AND SEMICONDUCTOR MANUFACTURING METHOD
KR100922463B1 (en) * 2002-04-11 2009-10-21 호야 가부시키가이샤 Reflection type mask blank and reflection type mask and production methods for them
DE10219173A1 (en) * 2002-04-30 2003-11-20 Philips Intellectual Property Process for the generation of extreme ultraviolet radiation
DE60325629D1 (en) * 2002-10-21 2009-02-12 Nanoink Inc METHOD FOR PRODUCING NUCLEAR STRUCTURES FOR USE IN THE FIELD OF MASK REPAIR
JP4153783B2 (en) * 2002-12-09 2008-09-24 株式会社東芝 X-ray flat panel detector
EP1609175A1 (en) 2003-03-31 2005-12-28 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
GB0323805D0 (en) * 2003-10-10 2003-11-12 Univ Southampton Synthesis of germanium sulphide and related compounds
JP4313749B2 (en) * 2003-10-10 2009-08-12 エーエスエムエル ネザーランズ ビー.ブイ. Method for placing a substrate on a support member and substrate handler
US7126128B2 (en) * 2004-02-13 2006-10-24 Kabushiki Kaisha Toshiba Flat panel x-ray detector
JP2006253282A (en) * 2005-03-09 2006-09-21 Ebara Corp Pattern forming method of metal film
US20060068173A1 (en) * 2004-09-30 2006-03-30 Ebara Corporation Methods for forming and patterning of metallic films
US7885387B2 (en) * 2004-12-17 2011-02-08 Osaka University Extreme ultraviolet light and X-ray source target and manufacturing method thereof
KR100601979B1 (en) 2004-12-30 2006-07-18 삼성전자주식회사 Baking apparatus for semiconductor wafer
KR100607201B1 (en) * 2005-01-04 2006-08-01 삼성전자주식회사 method of correcting a deviation of critical dimension on wafer in EUVL process
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
US7868304B2 (en) * 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
US7482280B2 (en) 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US20070117040A1 (en) 2005-11-21 2007-05-24 International Business Machines Corporation Water castable-water strippable top coats for 193 nm immersion lithography
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
JP2007207530A (en) * 2006-01-31 2007-08-16 Toshiba Corp Anisotropic conductive film, and x-ray plane detector, infrared ray plane detector, and display device
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
EP2203943A4 (en) * 2007-10-12 2015-10-14 Omnipv Inc Solar modules with enhanced efficiencies via use of spectral concentrators
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR100921932B1 (en) 2007-10-25 2009-10-15 포항공과대학교 산학협력단 Patterning method using polyatomic molecule
US8153348B2 (en) * 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
US7985513B2 (en) * 2008-03-18 2011-07-26 Advanced Micro Devices, Inc. Fluorine-passivated reticles for use in lithography and methods for fabricating the same
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
JP2009294439A (en) * 2008-06-05 2009-12-17 Toshiba Corp Resist pattern forming method
JP5171422B2 (en) 2008-06-19 2013-03-27 ルネサスエレクトロニクス株式会社 Photosensitive composition, pattern forming method using the same, and method for producing semiconductor element
US20090321707A1 (en) 2008-06-25 2009-12-31 Matthew Metz Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films
US20090325387A1 (en) 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
KR20110050427A (en) 2008-07-14 2011-05-13 아사히 가라스 가부시키가이샤 Reflective mask blank for euv lithography and reflective mask for euv lithography
WO2010011974A1 (en) 2008-07-24 2010-01-28 Kovio, Inc. Aluminum inks and methods of making the same, methods for depositing aluminum inks, and films formed by printing and/or depositing an aluminum ink
US7977235B2 (en) * 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
JP5193121B2 (en) * 2009-04-17 2013-05-08 東京エレクトロン株式会社 Resist coating and development method
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US20100304027A1 (en) * 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
CN102656517B (en) 2009-12-28 2014-05-14 旭硝子株式会社 Photosensitive composition, partition wall, color filter and organic EL element
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8470711B2 (en) 2010-11-23 2013-06-25 International Business Machines Corporation Tone inversion with partial underlayer etch for semiconductor device formation
US8836082B2 (en) 2011-01-31 2014-09-16 Brewer Science Inc. Reversal lithography approach by selective deposition of nanoparticles
JP5708522B2 (en) 2011-02-15 2015-04-30 信越化学工業株式会社 Resist material and pattern forming method using the same
US9281207B2 (en) * 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
FR2975823B1 (en) 2011-05-27 2014-11-21 Commissariat Energie Atomique METHOD FOR MAKING A PATTERN ON THE SURFACE OF A BLOCK OF A SUBSTRATE USING BLOCK COPOLYMERS
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
CN108594599B (en) 2011-07-08 2022-04-22 Asml荷兰有限公司 Resist material, lithographic patterning method and use of oxides
CN102610516B (en) 2011-07-22 2015-01-21 上海华力微电子有限公司 Method for improving adhesion force between photoresist and metal/metallic compound surface
KR102061919B1 (en) 2011-11-21 2020-01-02 브레우어 사이언스 인코포레이션 Assist layers for euv lithography
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
US20130177847A1 (en) 2011-12-12 2013-07-11 Applied Materials, Inc. Photoresist for improved lithographic control
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
SG193093A1 (en) * 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
WO2013172359A1 (en) * 2012-05-14 2013-11-21 コニカミノルタ株式会社 Gas barrier film, manufacturing method for gas barrier film, and electronic device
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
JP5913077B2 (en) * 2012-12-18 2016-04-27 信越化学工業株式会社 Positive resist material and pattern forming method using the same
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
JP6134522B2 (en) 2013-01-30 2017-05-24 株式会社ニューフレアテクノロジー Vapor growth apparatus and vapor growth method
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9607904B2 (en) * 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US10074544B2 (en) 2013-04-23 2018-09-11 Massachusetts Institute Of Technology Developer free positive tone lithography by thermal direct write
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9405204B2 (en) 2013-09-18 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of overlay in extreme ultra-violet (EUV) lithography
JP5917477B2 (en) 2013-11-29 2016-05-18 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
JP6495025B2 (en) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation Vacuum integrated hard mask processing and equipment
US10685846B2 (en) 2014-05-16 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit fabrication with pattern-reversing process
WO2016007303A1 (en) 2014-07-08 2016-01-14 Tokyo Electron Limited Negative tone developer compatible photoresist composition and methods of use
GB201412201D0 (en) * 2014-07-09 2014-08-20 Isis Innovation Two-step deposition process
JP6784670B2 (en) 2014-10-23 2020-11-11 インプリア・コーポレイションInpria Corporation High resolution patterning compositions based on organometallic solutions and corresponding methods
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9551924B2 (en) * 2015-02-12 2017-01-24 International Business Machines Corporation Structure and method for fixing phase effects on EUV mask
EP3268997A1 (en) 2015-03-09 2018-01-17 Versum Materials US, LLC Process for depositing porous organosilicate glass films for use as resistive random access memory
JP6404757B2 (en) 2015-03-27 2018-10-17 信越化学工業株式会社 Polymer for resist underlayer film material, resist underlayer film material, and pattern forming method
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
JP6617297B2 (en) 2015-07-01 2019-12-11 パナソニックIpマネジメント株式会社 Airgel and member using the same
EP3391148B1 (en) 2015-10-13 2021-09-15 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
JP6603115B2 (en) 2015-11-27 2019-11-06 信越化学工業株式会社 Silicon-containing condensate, silicon-containing resist underlayer film forming composition, and pattern forming method
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
JP6993982B2 (en) 2016-03-11 2022-02-04 インプリア・コーポレイション Pre-patterned lithography templates, radiation patterning based processes using the templates, and processes for forming the templates.
KR20190010618A (en) 2016-05-19 2019-01-30 에이에스엠엘 네델란즈 비.브이. Resist composition
WO2018004551A1 (en) 2016-06-28 2018-01-04 Intel Corporation Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
TWI759147B (en) 2016-08-12 2022-03-21 美商因普利亞公司 Methods of reducing metal residue in edge bead region from metal-containing resists
US10755942B2 (en) 2016-11-02 2020-08-25 Massachusetts Institute Of Technology Method of forming topcoat for patterning
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
KR102047538B1 (en) 2017-02-03 2019-11-21 삼성에스디아이 주식회사 Resist underlayer composition, and method of forming patterns using the composition
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US20180308687A1 (en) 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR20240019399A (en) 2017-11-20 2024-02-14 인프리아 코포레이션 Organotin clusters, solutions of organotin clusters, and application to high resolution patterning
TW202348612A (en) 2018-04-05 2023-12-16 美商英培雅股份有限公司 Composition comprising tin compound and uses of the same
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US20210013034A1 (en) 2018-05-11 2021-01-14 Lam Research Corporation Methods for making euv patternable hard masks
TW202404985A (en) 2018-06-21 2024-02-01 美商英培雅股份有限公司 Solution comprising a mixture of a solvent and a monoalkyl tin trialkoxide
TW202016279A (en) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 Patterned organometallic photoresists and methods of patterning
KR20210076999A (en) 2018-11-14 2021-06-24 램 리써치 코포레이션 Methods for making useful hard masks in next-generation lithography
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
WO2020263750A1 (en) 2019-06-27 2020-12-30 Lam Research Corporation Apparatus for photoresist dry deposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4834834A (en) * 1987-11-20 1989-05-30 Massachusetts Institute Of Technology Laser photochemical etching using surface halogenation
US20100131093A1 (en) * 1993-07-15 2010-05-27 Renesas Technology Corp. Fabrication system and fabrication method
US6162577A (en) * 1995-09-21 2000-12-19 Felter; T. E. Method for extreme ultraviolet lithography
US20120202357A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In Situ Vapor Phase Surface Activation Of SiO2
US20140268082A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11988965B2 (en) 2020-01-15 2024-05-21 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
WO2023211989A1 (en) * 2022-04-27 2023-11-02 Tokyo Electron Limited Dry developing metal-free photoresists

Also Published As

Publication number Publication date
JP2015201622A (en) 2015-11-12
US20190094685A1 (en) 2019-03-28
KR102306612B1 (en) 2021-09-29
US20180004083A1 (en) 2018-01-04
TW201539538A (en) 2015-10-16
US10831096B2 (en) 2020-11-10
JP6495025B2 (en) 2019-04-03
US11209729B2 (en) 2021-12-28
KR20150091260A (en) 2015-08-10
US9778561B2 (en) 2017-10-03
CN105047541B (en) 2018-08-14
US10514598B2 (en) 2019-12-24
US20230273516A1 (en) 2023-08-31
US20230266662A1 (en) 2023-08-24
CN109216170A (en) 2019-01-15
TWI639179B (en) 2018-10-21
CN105047541A (en) 2015-11-11
US20200089104A1 (en) 2020-03-19
US20150221519A1 (en) 2015-08-06

Similar Documents

Publication Publication Date Title
US11209729B2 (en) Vacuum-integrated hardmask processes and apparatus
US9996004B2 (en) EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
TWI772422B (en) Eliminating yield impact of stochastics in lithography
US20220342301A1 (en) Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient
JP2022538554A (en) Chamber dry cleaning of photoresist film
JP7382512B2 (en) Integrated dry process for irradiated photoresist patterning
US20220404713A1 (en) Dry Resist System and Method of Using
TWI790594B (en) Method for manufacturing semiconductor device and method for preventing outgassing of metal-containing photoresist
TW202401131A (en) Post-development treatment of metal-containing photoresist

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCV Information on status: appeal procedure

Free format text: NOTICE OF APPEAL FILED

STCV Information on status: appeal procedure

Free format text: APPEAL BRIEF (OR SUPPLEMENTAL BRIEF) ENTERED AND FORWARDED TO EXAMINER