US20190259725A1 - Manufacturing method of die-stack structure - Google Patents

Manufacturing method of die-stack structure Download PDF

Info

Publication number
US20190259725A1
US20190259725A1 US16/402,058 US201916402058A US2019259725A1 US 20190259725 A1 US20190259725 A1 US 20190259725A1 US 201916402058 A US201916402058 A US 201916402058A US 2019259725 A1 US2019259725 A1 US 2019259725A1
Authority
US
United States
Prior art keywords
die
pad
substrate
contact conductor
material layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US16/402,058
Inventor
Ming-Tse Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US16/402,058 priority Critical patent/US20190259725A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIN, MING-TSE
Publication of US20190259725A1 publication Critical patent/US20190259725A1/en
Priority to US17/075,530 priority patent/US20210057368A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4602Manufacturing multilayer circuits characterized by a special circuit board as base or central core whereon additional circuit layers are built or additional circuit boards are laminated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08121Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the connected bonding areas being not aligned with respect to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08146Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08147Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a bonding area disposed in a recess of the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/041Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L31/00
    • H01L25/043Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/074Stacked arrangements of non-apertured devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/075Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00
    • H01L25/0756Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/11Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/117Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1511Structure

Definitions

  • the invention relates to a semiconductor structure and a manufacturing method thereof, and more particularly, to a chip-stack structure and a manufacturing method of a die-stack structure.
  • 3D IC not only faces relevant technical issues such as wafer thinning and chip stacking, the front-end and back-end processes of the IC also have issues hidden in manufacture details, and the high cost and low production yield thereof are the main issues of this technology. Therefore, how to reduce the production cost of 3D IC and increase the process yield thereof is an important topic for those skilled in the art.
  • the invention provides a chip-stack structure and a manufacturing method of a die-stack structure having a simple process and high process yield.
  • An embodiment of the invention provides a chip-stack structure including a first chip and a second chip.
  • the second chip is located on the first chip.
  • the first chip includes a first substrate, a first interconnect structure, a first pad, and a first contact conductor.
  • the first interconnect structure is located on a first surface of the first substrate.
  • the first pad is located on the first interconnect structure.
  • the first contact conductor is located in the first substrate and exposed on a second surface of the first substrate opposite to the first surface.
  • the second chip includes a second substrate, a second interconnect structure, a second pad, and a second contact conductor.
  • the second interconnect structure is located on the second substrate.
  • the second pad is located on the second interconnect structure.
  • the second contact conductor is located in the second substrate, wherein the first contact conductor is directly physically in contact with the second pad.
  • the first contact conductor does not cover the second surface of the first substrate.
  • a carrier plate located below the first chip is further included.
  • the carrier plate includes a carrier chip, and the first pad of the first chip is connected to a pad of the carrier chip.
  • the thickness of the carrier chip is greater than the thickness of the first chip.
  • a dielectric layer located between the first chip and the second chip is further included.
  • the active surface of the second chip faces the back of the first chip.
  • the second chip is located on the first chip.
  • the first chip includes a first substrate, a first interconnect structure, a first pad, and a first contact conductor.
  • the first interconnect structure is located on a first surface of the first substrate.
  • the first pad is located on the first interconnect structure.
  • the first contact conductor is located in the first substrate and exposed on a second surface of the first substrate opposite to the first surface.
  • the second chip includes a second substrate, a second interconnect structure, a second pad, and a second contact conductor.
  • the second interconnect structure is located on the second substrate.
  • the second pad is located on the second interconnect structure.
  • the second contact conductor is located in the second substrate, wherein the first contact conductor is directly physically in contact with the second pad, the first contact conductor has a width A, the second pad has a width B, and 5 ⁇ B/A.
  • the first contact conductor does not cover the second surface of the first substrate.
  • a carrier plate located below the first chip is further included.
  • the carrier plate includes a carrier chip, and the first pad of the first chip is connected to a pad of the carrier chip.
  • the thickness of the carrier chip is greater than the thickness of the first chip.
  • a dielectric layer located between the first chip and the second chip is further included.
  • the active surface of the second chip faces the back of the first chip.
  • An embodiment of the invention provides a manufacturing method of a die-stack structure including the following steps.
  • a first wafer including a first die is provided, wherein the first die includes a first substrate material layer and a first interconnect structure and a first pad formed on the first substrate material layer in order, and the first substrate material has a first contact conductor disposed therein.
  • a second wafer including a second die is provided, wherein the second die includes a second substrate material layer and a second interconnect structure and a second pad formed on the second substrate material layer in order, and the second substrate material has a second contact conductor disposed therein.
  • a portion of the first substrate material layer is removed to form a first substrate, and the first contact conductor is exposed to the surface of the first substrate away from the first interconnect structure.
  • the second wafer is covered on the first substrate such that the first contact conductor is directly physically in contact with the second pad.
  • the first contact conductor does not cover the surface of the first substrate away from the first interconnect structure.
  • the first wafer before a portion of the first substrate material layer is removed, the first wafer is further disposed on the carrier plate.
  • the carrier plate includes a carrier wafer, and the carrier wafer includes a third die, wherein the first pad of the first die is connected to a pad of the third die.
  • the active surface of the second die faces the back of the first die.
  • a dielectric layer is further formed on the surface of the first substrate away from the first interconnect structure, wherein the dielectric layer exposes the first contact conductor.
  • the first contact conductor is directly physically in contact with the second pad, a pad for connecting the first contact conductor and the second pad does not need to be formed on the second surface of the first substrate, such that the process can be simplified, and the process yield of the chip-stack structure can be increased and production cost thereof can be reduced.
  • FIG. 1A to FIG. 1E are cross sections of a manufacturing method of a die-stack structure according to an embodiment of the invention.
  • FIG. 2 is a cross section of a die-stack structure according to another embodiment of the invention.
  • FIG. 3 is a cross section of a chip-stack structure according to another embodiment of the invention.
  • FIG. 1A to FIG. 1E are cross sections of a manufacturing method of a die-stack structure according to an embodiment of the invention.
  • FIG. 2 is a cross section of a die-stack structure according to another embodiment of the invention.
  • FIG. 3 is a cross section of a chip-stack structure according to another embodiment of the invention.
  • the wafer 100 includes a plurality of dies, and FIG. 1A only shows one of the dies 101 (i.e., first die).
  • the die 101 includes a substrate material layer 102 , an interconnect structure 108 , a pad 110 , a contact conductor 112 , and a dielectric layer 114 .
  • the substrate material layer 102 includes a semiconductor substrate.
  • the semiconductor substrate is, for instance, a doped silicon substrate, an undoped silicon substrate, or a silicon-on-insulator (SOI) substrate.
  • the doped silicon substrate can be P-type doped, N-type doped, or a combination thereof.
  • an active device such as a charge-coupled device (CCD), P-type metal-oxide-semiconductor (PMOS) transistor, N-type metal-oxide-semiconductor (NMOS) transistor, complementary metal-oxide-semiconductor (CMOS) transistor, photodiode, or a combination thereof can be disposed in and/or on the substrate material layer 102 .
  • a passive device such as a capacitor, resistor, inductor, or a combination can also be disposed on the substrate material layer 102 .
  • the substrate material layer 102 further includes an inter-layer dielectric, (ILD) and/or a contact, but the invention is not limited thereto.
  • ILD inter-layer dielectric
  • the interconnect structure 108 is formed on the substrate material layer 102 .
  • the interconnect structure 108 includes a dielectric layer 104 and a plurality of wires 106 formed in the dielectric layer 104 .
  • the dielectric layer 104 is, for instance, an inter-metal dielectric (IMD) layer, and the material thereof can be a dielectric material.
  • the dielectric material can be silicon oxide, tetraethoxysilane (TEOS) silicon oxide, silicon nitride, silicon oxynitride, undoped silica glass (USG), borophosphosilicate glass (BPSG), phosphosilicate glass (PSG), a low-k material having a dielectric constant less than 4, or a combination thereof.
  • the low-k material is, for instance, fluorosilicate glass (FSG), silsesquioxnane, aromatic hydrocarbon, organosilicate glass, parylene, fluoro-polymer, poly(arylether), porous polymer, or a combination thereof.
  • the silsesquioxnane is, for instance, hydrogen silsesquioxnane (HSQ), methyl silsesquioxane (MSQ), or hybrido-organosiloxane polymer (HOSP).
  • the aromatic hydrocarbon is, for instance, SiLK.
  • the organosilicate glass is, for instance, carbon black (e.g., black diamond, BD), 3MS, or 4MS.
  • the fluorinated polymer is, for instance, PFCB, CYTOP, or Teflon.
  • the poly(arylether) is, for instance, PAE-2 or FLARE.
  • the porous polymer is, for instance, XLK, nanofoam, Awrogel, or Coral.
  • the forming method of the dielectric layer 104 is, for instance, atomic layer deposition (ALD), chemical vapor deposition (CVD), spin coating (SOG), or a combination thereof.
  • the wires 106 include a conductive layer and/or a via, and the material thereof can be a conductive material.
  • the conductive material can be metal, metal alloy, metal nitride, metal silicide, or a combination thereof.
  • the metal and metal alloy are, for instance, Cu, Al, Ti, Ta, W, Pt, Cr, Mo, or an alloy thereof.
  • the metal nitride is, for instance, titanium nitride, tungsten nitride, tantalum nitride, tantalum silicon nitride (TaSiN), titanium silicon nitride (TiSiN), tungsten silicon nitride (WSiN), or a combination thereof.
  • the metal silicide is, for instance, tungsten silicide, titanium silicide, cobalt silicide, zirconium silicide, platinum silicide, molybdenum silicide, copper silicide, nickel silicide, or a combination thereof.
  • the forming method of the wires 106 can be a single damascene process, a dual damascene process, or a combination thereof.
  • the wires 106 electrically connect a(n) active device/passive device to a subsequent contact conductor 112 and/or pad 110 .
  • a contact conductor 112 is disposed in the substrate material layer 102 .
  • the material of the contact conductor 112 can be a conductive material.
  • the conductive material is metal alloy, metal nitride, metal silicide, or a combination thereof.
  • the metal and metal alloy are, for instance, Cu, Al, Ti, Ta, W, Pt, Cr, Mo, or an alloy thereof.
  • the metal nitride is, for instance, titanium nitride, tungsten nitride, tantalum nitride, tantalum silicon nitride (TaSiN), titanium silicon nitride (TiSiN), tungsten silicon nitride (WSiN), or a combination thereof.
  • the metal silicide is, for instance, tungsten silicide, titanium silicide, cobalt silicide, zirconium silicide, platinum silicide, molybdenum silicide, copper silicide, nickel silicide, or a combination thereof.
  • the contact conductor 112 is a through-silicon via (TSV), and based on the forming order, the forming method thereof can be substantially divided into a via-first process, a via-middle process, and a via-last process.
  • TSV through-silicon via
  • the contact conductor 112 is formed in the substrate material layer 102 before the front-end-of-line (FEOL) process of the wafer; in the via-last process, the contact conductor 112 is formed in the substrate material layer 102 after the back-end-of-the-line (BEOL) process of the wafer; and in the via-middle process, the contact conductor 112 is formed in the substrate material layer 102 between the FEOL and BEOL processes (i.e., middle-end-of-the-line (MEOL) process).
  • FEOL front-end-of-line
  • BEOL back-end-of-the-line
  • MEOL middle-end-of-the-line
  • the contact conductor 112 is formed in the substrate material layer 102 via a via-middle process and electrically insulated from the substrate material layer 102 via a dielectric material (not shown in figures), but the invention is not limited thereto, and the contact conductor 112 can also be formed in the substrate material layer 102 via a via-first process or a via-last process.
  • the pad 110 is formed on the interconnect structure 108 .
  • the material of the pad 110 can be a conductive material.
  • the conductive material is, for instance, the metal, metal alloy, metal nitride, metal silicide, or a combination thereof as for contact conductor 112 described above.
  • the forming method of the pad 110 is, for instance, a metal patterning process or a metal damascene process.
  • the dielectric layer 114 is formed on the interconnect structure 108 and exposes the pad 110 .
  • the material of the dielectric layer 114 can be the dielectric material as for the dielectric layer 104 described above.
  • the forming method of the dielectric layer 114 can include first forming a dielectric material layer (not shown) covering the pad 110 on the interconnect structure 108 . Next, a portion of the dielectric material layer located on the pad 110 is removed to form the dielectric layer 114 exposing the pad 110 .
  • the forming method of the dielectric layer 114 can include first forming a dielectric material layer (not shown) on the interconnect structure 108 , then removing the portion of the dielectric material layer where the pad 110 to be formed, and then forming the pad 110 .
  • the dielectric material layer located on the pad 110 can be removed using a planarization process.
  • the planarization process is, for instance, a chemical-mechanical polishing (CMP) process.
  • a carrier plate 10 is provided.
  • the carrier plate 10 can be a carrier wafer similar to the wafer 100 .
  • the carrier plate 10 can also include a plurality of dies, and FIG. 1A shows one of the dies 11 (i.e., third die).
  • the die 11 includes a substrate material layer 12 , an interconnect structure 18 (including a dielectric layer 14 and wires 16 ), a pad 20 , and a dielectric layer 22 , and the relative positions, materials, and forming methods thereof are respectively as provided for the substrate material layer 102 , the interconnect structure 108 , the pad 110 , and the dielectric layer 114 of the die 101 and are not repeated herein.
  • a contact conductor does not need to be formed in the substrate material layer 12 , but the invention is not limited thereto.
  • the carrier plate 10 is covered by the wafer 100 .
  • the carrier plate 10 can be a carrier wafer similar to the wafer 100 , wherein the pad 110 of the die 101 faces the carrier plate 10 and is connected to the pad 20 of the die 11 of the carrier plate 10 (i.e., carrier wafer).
  • the carrier plate 10 since the carrier plate 10 is a wafer, the carrier plate 10 does not need to be removed in a subsequent process (a regular carrier plate for carrying a wafer does not have an active device and/or an interconnect structure, and is therefore removed in a subsequent process), and therefore not only can process be simplified and the cost of the carrier be eliminated, stacking density of the chip can be further increased.
  • the pad 110 of the die 101 can be connected to the pad 20 of the die 11 in the carrier plate 10 (i.e., carrier wafer) and the dielectric layer 114 of the die 101 can be connected to the dielectric layer 22 of the carrier plate 10 using a hybrid bond (HB) method.
  • HB hybrid bond
  • a portion of the substrate material layer 102 is removed to form a substrate 102 a , wherein the contact conductor 112 is exposed on and protruded from a second surface S 2 of the substrate 102 a .
  • the method of removing a portion of the substrate material layer 102 includes, in order, performing a thinning process and an etching process on the surface (i.e., back of the die 101 ) of the substrate material layer 102 away from the interconnect structure 108 such that the contact conductor 112 is exposed on and protruded from the second surface S 2 of the substrate 102 a .
  • the thinning process includes, for instance, performing a grinding process on the surface of the substrate material layer 102 away from the interconnect structure 108 .
  • the etching process is, for instance, dry etching, wet etching, or a combination thereof.
  • the contact conductor 112 does not cover the surface (i.e., the second surface S 2 ) of the substrate 102 a away from the interconnect structure 108 .
  • the thickness of the substrate 102 a is less than the thicknesses of the substrate material layers 12 and 102 .
  • the substrate 102 a has a thickness t 1 , and 3 ⁇ m ⁇ t 1 ⁇ 100 ⁇ m; and the substrate material layers 12 and 102 have a thickness t 2 , and t 2 is about 775 ⁇ m.
  • the thickness of the substrate material layer 12 thereof is greater than the thickness of the substrate 102 a (i.e., the thickness of the carrier wafer (thickness of the die 11 ) is greater than the thickness of the die 101 a ), and therefore when the die 101 a is carried thereon, the issue of difficult subsequent process thereon due to an insufficient thickness of the die 101 a can still be prevented.
  • a dielectric layer 116 is formed on the second surface S 2 of the substrate 102 a , wherein the dielectric layer 116 exposes the first contact conductor 112 .
  • the material of the dielectric layer 116 is, for instance, a dielectric material.
  • the dielectric material is, for instance, silicon oxide, tetraethoxysiloxane (TEOS) silicon oxide, undoped silica glass (USG), or a combination thereof.
  • the forming method of the dielectric layer 116 includes first forming a dielectric material layer (not shown) covering the contact conductor 112 on the second surface S 2 of the substrate 102 a .
  • a portion of the dielectric material layer located on the contact conductor 112 is removed to form a dielectric layer 116 exposing the contact conductor 112 .
  • the forming method of the dielectric material layer is, for instance, ALD, CVD, SOG, or a combination thereof.
  • the method of removing the dielectric material layer located on the contact conductor 112 can be a planarization process such as CMP.
  • the top surface of the dielectric layer 116 and the top surface of the contact conductor 112 are coplanar, and the dielectric layer 116 surrounds the contact conductor 112 protruded from the second surface S 2 .
  • the wafer 200 includes a plurality of dies, and FIG. 1E only shows one of the dies 201 (i.e., second die).
  • the die 201 includes a substrate material layer 202 , an interconnect structure 208 (including a dielectric layer 204 and wires 206 ), a pad 210 , a contact conductor 212 , and a dielectric layer 214 .
  • the wafer 200 is similar to the wafer 100 , and therefore the relative positions, materials, and forming methods of the substrate material layer 202 , the interconnect structure 208 , the pad 210 , the contact conductor 212 , and the dielectric layer 214 in the die 201 thereof are substantially similar to those of the substrate material layer 102 , the interconnect structure 108 , the pad 110 , the contact conductor 112 , and the dielectric layer 114 of the die 101 and are not repeated herein.
  • the wafer 200 covers the wafer 100 a such that the die 201 is docked with the die 101 a , and the contact conductor 112 of the die 101 a is directly physically in contact with the pad 210 of the die 201 .
  • another pad for connecting the contact conductor 112 and the pad 210 does not need to be formed on the dielectric layer 116 , such that the process can be simplified and the process yield of the chip-stack structure can be increased and production cost thereof can be reduced as a result.
  • the contact conductor 112 of the die 101 a can be directly physically connected to the pad 210 of the die 201 using a hybrid bonding method.
  • the contact conductor 112 has a width A; the pad 210 has a width B, and 5 ⁇ B/A, in particular 5 ⁇ B/A ⁇ 10, or even B/A>10.
  • the contact conductor 112 can still be electrically connected to the pad 210 well without affecting other adjacent contact conductors 112 and/or pads 210 (for instance, being too close to the adjacent contact conductor 112 and/or the pad 210 results in a risk from an electron migration (EM) effect, such that a risk of short circuit is present).
  • EM electron migration
  • the active surface of the die 201 faces the back of the die 101 a , that is, in the present embodiment, a back-to-front stacking method is exemplified, but the invention is not limited thereto. In some embodiments, a front-to-front or back-to-back stacking method can also be used.
  • a front-to-front or back-to-back stacking method can also be used.
  • two wafers (wafers 100 and 200 ) are stacked on the carrier plate 10 as an example, but the invention is not limited thereto, and the process of, for instance, FIG. 1C to FIG. 1E , can be further performed on the wafer 200 to form a wafer 200 a and stack one or a plurality of wafers thereon.
  • the die 201 is the top-most die of the die-stack structure, but the invention is not limited thereto.
  • the process of, for instance, FIG. 1C to FIG. 1D is performed on the die 201 to form a die 201 a , and the contact conductor 212 thereof is exposed on and protruded from the surface of the substrate 202 a away from the interconnect structure 208 , and the dielectric layer 216 is formed on the surface of the substrate 102 a away from the interconnect structure 208 and exposes the contact conductor 212 .
  • the material and the forming method of the dielectric layer 216 are substantially similar to those of the dielectric layer 116 and are not repeated herein.
  • a redistribution layer (RDL) 218 is formed on the dielectric layer 216 to define the pad of the top-most die of a die-stack structure 300 .
  • the redistribution layer 218 is electrically connected to the corresponding contact conductor 212 .
  • the material of the redistribution layer 218 can be the conductive material as for the contact conductor 112 set forth above.
  • the conductive material can be the metal, metal alloy, metal nitride, metal silicide, or a combination thereof.
  • a singulation process is performed on the die-stack structure 300 to cut the die-stack structure 300 into a plurality of chip-stack structures 300 a separated from one another.
  • the chip-stack structure 300 a of the present embodiment is described via FIG. 3 .
  • the manufacturing method of the chip-stack structure 300 a of the present embodiment is exemplified by the manufacturing method above, the manufacturing method of the chip-stack structure 300 a of the invention is not limited thereto.
  • the chip-stack structure 300 a includes a chip 101 b (i.e., first chip) and a chip 201 b (i.e., second chip).
  • the chip 101 b and the chip 201 b respectively correspond to the die 101 a and the die 201 a (as shown in FIG. 2 ).
  • the chip 201 b is located on the chip 101 b .
  • the chip 101 b includes a substrate 102 a (first substrate), an interconnect structure 108 (first interconnect structure), a pad 110 (first pad), a contact conductor 112 (first contact conductor), and a dielectric layer 114 .
  • the interconnect structure 108 is located on a first surface S 1 of the substrate 102 a .
  • the pad 110 is located on the interconnect structure 108 .
  • the contact conductor 112 is located in the substrate 102 a and exposed on a second surface S 2 of the substrate 102 a opposite to the first surface S 1 .
  • the chip 201 b includes a substrate 202 a (second substrate), an interconnect structure 208 (second interconnect structure), a pad 210 (second pad), a contact conductor 212 (second contact conductor), and a dielectric layer 214 .
  • the interconnect structure 208 is located on the substrate 202 a .
  • the pad 210 is located on the interconnect structure 208 .
  • the contact conductor 212 is located in the substrate 202 a , wherein the contact conductor 112 of the chip 101 b is directly physically in contact with the pad 210 of the chip 201 b .
  • the contact conductor 112 has a width A
  • the pad 210 has a width B
  • 5 ⁇ B/A such as 5 ⁇ B/A ⁇ 10, or even B/A>10.
  • the contact conductor 112 does not cover the second surface S 2 of the substrate 102 a .
  • the chip-stack structure 300 a further includes a carrier plate 10 located below the chip 101 b .
  • the carrier plate 10 is a carrier chip.
  • the pad 110 of the chip 101 b is connected to the pad 20 of the carrier plate 10 (i.e., the carrier chip), wherein the thickness of the carrier chip is greater than the thickness of the chip 101 b .
  • the chip-stack structure 300 a further includes a dielectric layer 116 located between the chip 101 b and the chip 201 b .
  • the active surface of the chip 201 b faces the back of the chip 101 b.
  • the first contact conductor of the first chip is directly physically in contact with the second pad of the second chip, a pad for connecting the first contact conductor and the second pad does not need to be formed on the second surface of the first substrate, such that the process can be simplified, and the process yield of the chip-stack structure can be increased and production cost thereof can be reduced.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The present disclosure provides a manufacturing method of a die-stack structure including follow steps. A first wafer including a first die is provided, wherein the first die includes a first substrate material layer, a first interconnect structure, and a first pad, and the first interconnect structure and the first pad are formed on the first substrate material layer in order, and the first substrate material layer has a first contact conductor disposed therein. The first contact conductor is disposed in the first substrate material layer. A second wafer including a second die is provided, wherein the second die includes a second substrate material layer, a second interconnect structure, and a second pad, and the second interconnect structure and the second pad are formed on the second substrate material layer in order, and the second substrate material layer has a second contact conductor disposed therein. A portion of the first substrate material layer is removed to form a first substrate, wherein the first contact conductor is exposed to a surface of the first substrate away from the first interconnect structure. The second wafer is covered on the first substrate such that the first contact conductor is directly physically in contact with the second pad.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application is a divisional application of and claims the priority benefit of U.S. application Ser. No. 15/673,223, filed on Aug. 9, 2017, now allowed, which claims the priority benefit of China application serial no. 201710600400.X, filed on Jul. 21, 2017. The entirety of each of the above-mentioned patent applications is hereby incorporated by reference herein and made a part of specification.
  • BACKGROUND OF THE INVENTION Field of the Invention
  • The invention relates to a semiconductor structure and a manufacturing method thereof, and more particularly, to a chip-stack structure and a manufacturing method of a die-stack structure.
  • Description of Related Art
  • With the advancement of electronic manufacturing techniques, more and more electronic products are developed to be portable, highly functional, and compact and lightweight, such that the functionality of the chips used in conjunction and the electronic devices thereof are also bound to be more numerous and complex. Under this requirement, the design of a three-dimensional integrated circuit (3D IC) is becoming popular.
  • However, 3D IC not only faces relevant technical issues such as wafer thinning and chip stacking, the front-end and back-end processes of the IC also have issues hidden in manufacture details, and the high cost and low production yield thereof are the main issues of this technology. Therefore, how to reduce the production cost of 3D IC and increase the process yield thereof is an important topic for those skilled in the art.
  • SUMMARY OF THE INVENTION
  • The invention provides a chip-stack structure and a manufacturing method of a die-stack structure having a simple process and high process yield.
  • An embodiment of the invention provides a chip-stack structure including a first chip and a second chip. The second chip is located on the first chip. The first chip includes a first substrate, a first interconnect structure, a first pad, and a first contact conductor. The first interconnect structure is located on a first surface of the first substrate. The first pad is located on the first interconnect structure. The first contact conductor is located in the first substrate and exposed on a second surface of the first substrate opposite to the first surface. The second chip includes a second substrate, a second interconnect structure, a second pad, and a second contact conductor. The second interconnect structure is located on the second substrate. The second pad is located on the second interconnect structure. The second contact conductor is located in the second substrate, wherein the first contact conductor is directly physically in contact with the second pad.
  • In an embodiment of the invention, the first contact conductor does not cover the second surface of the first substrate.
  • In an embodiment of the invention, a carrier plate located below the first chip is further included.
  • In an embodiment of the invention, the carrier plate includes a carrier chip, and the first pad of the first chip is connected to a pad of the carrier chip.
  • In an embodiment of the invention, the thickness of the carrier chip is greater than the thickness of the first chip.
  • In an embodiment of the invention, a dielectric layer located between the first chip and the second chip is further included.
  • In an embodiment of the invention, the active surface of the second chip faces the back of the first chip.
  • Another embodiment of the invention provides a chip-stack structure including a first chip and a second chip. The second chip is located on the first chip. The first chip includes a first substrate, a first interconnect structure, a first pad, and a first contact conductor. The first interconnect structure is located on a first surface of the first substrate. The first pad is located on the first interconnect structure. The first contact conductor is located in the first substrate and exposed on a second surface of the first substrate opposite to the first surface. The second chip includes a second substrate, a second interconnect structure, a second pad, and a second contact conductor. The second interconnect structure is located on the second substrate. The second pad is located on the second interconnect structure. The second contact conductor is located in the second substrate, wherein the first contact conductor is directly physically in contact with the second pad, the first contact conductor has a width A, the second pad has a width B, and 5≤B/A.
  • In an embodiment of the invention, the first contact conductor does not cover the second surface of the first substrate.
  • In an embodiment of the invention, a carrier plate located below the first chip is further included.
  • In an embodiment of the invention, the carrier plate includes a carrier chip, and the first pad of the first chip is connected to a pad of the carrier chip.
  • In an embodiment of the invention, the thickness of the carrier chip is greater than the thickness of the first chip.
  • In an embodiment of the invention, a dielectric layer located between the first chip and the second chip is further included.
  • In an embodiment of the invention, the active surface of the second chip faces the back of the first chip.
  • An embodiment of the invention provides a manufacturing method of a die-stack structure including the following steps. A first wafer including a first die is provided, wherein the first die includes a first substrate material layer and a first interconnect structure and a first pad formed on the first substrate material layer in order, and the first substrate material has a first contact conductor disposed therein. A second wafer including a second die is provided, wherein the second die includes a second substrate material layer and a second interconnect structure and a second pad formed on the second substrate material layer in order, and the second substrate material has a second contact conductor disposed therein. A portion of the first substrate material layer is removed to form a first substrate, and the first contact conductor is exposed to the surface of the first substrate away from the first interconnect structure. The second wafer is covered on the first substrate such that the first contact conductor is directly physically in contact with the second pad.
  • In an embodiment of the invention, the first contact conductor does not cover the surface of the first substrate away from the first interconnect structure.
  • In an embodiment of the invention, before a portion of the first substrate material layer is removed, the first wafer is further disposed on the carrier plate.
  • In an embodiment of the invention, the carrier plate includes a carrier wafer, and the carrier wafer includes a third die, wherein the first pad of the first die is connected to a pad of the third die.
  • In an embodiment of the invention, the active surface of the second die faces the back of the first die.
  • In an embodiment of the invention, after a portion of the first substrate material layer is removed, a dielectric layer is further formed on the surface of the first substrate away from the first interconnect structure, wherein the dielectric layer exposes the first contact conductor.
  • Based on the above, in the chip-stack structure and the manufacturing method of the die-stack structure provided in the embodiments of the invention, since the first contact conductor is directly physically in contact with the second pad, a pad for connecting the first contact conductor and the second pad does not need to be formed on the second surface of the first substrate, such that the process can be simplified, and the process yield of the chip-stack structure can be increased and production cost thereof can be reduced.
  • In order to make the aforementioned features and advantages of the disclosure more comprehensible, embodiments accompanied with figures are described in detail below.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention.
  • FIG. 1A to FIG. 1E are cross sections of a manufacturing method of a die-stack structure according to an embodiment of the invention.
  • FIG. 2 is a cross section of a die-stack structure according to another embodiment of the invention.
  • FIG. 3 is a cross section of a chip-stack structure according to another embodiment of the invention.
  • DESCRIPTION OF THE EMBODIMENTS
  • The invention is more comprehensively described with reference to the figures of the present embodiments. However, the invention can also be implemented in various different forms, and is not limited to the embodiments in the present specification. The thicknesses of the layers and regions in the figures are enlarged for clarity. The same or similar reference numerals represent the same or similar devices and are not repeated in the following paragraphs.
  • FIG. 1A to FIG. 1E are cross sections of a manufacturing method of a die-stack structure according to an embodiment of the invention. FIG. 2 is a cross section of a die-stack structure according to another embodiment of the invention. FIG. 3 is a cross section of a chip-stack structure according to another embodiment of the invention.
  • Referring to FIG. 1A, a wafer 100 is provided. The wafer 100 includes a plurality of dies, and FIG. 1A only shows one of the dies 101 (i.e., first die). The die 101 includes a substrate material layer 102, an interconnect structure 108, a pad 110, a contact conductor 112, and a dielectric layer 114. The substrate material layer 102 includes a semiconductor substrate. The semiconductor substrate is, for instance, a doped silicon substrate, an undoped silicon substrate, or a silicon-on-insulator (SOI) substrate. The doped silicon substrate can be P-type doped, N-type doped, or a combination thereof. In some embodiments, an active device such as a charge-coupled device (CCD), P-type metal-oxide-semiconductor (PMOS) transistor, N-type metal-oxide-semiconductor (NMOS) transistor, complementary metal-oxide-semiconductor (CMOS) transistor, photodiode, or a combination thereof can be disposed in and/or on the substrate material layer 102. A passive device such as a capacitor, resistor, inductor, or a combination can also be disposed on the substrate material layer 102. In some embodiments, the substrate material layer 102 further includes an inter-layer dielectric, (ILD) and/or a contact, but the invention is not limited thereto.
  • The interconnect structure 108 is formed on the substrate material layer 102. The interconnect structure 108 includes a dielectric layer 104 and a plurality of wires 106 formed in the dielectric layer 104. The dielectric layer 104 is, for instance, an inter-metal dielectric (IMD) layer, and the material thereof can be a dielectric material. For instance, the dielectric material can be silicon oxide, tetraethoxysilane (TEOS) silicon oxide, silicon nitride, silicon oxynitride, undoped silica glass (USG), borophosphosilicate glass (BPSG), phosphosilicate glass (PSG), a low-k material having a dielectric constant less than 4, or a combination thereof. The low-k material is, for instance, fluorosilicate glass (FSG), silsesquioxnane, aromatic hydrocarbon, organosilicate glass, parylene, fluoro-polymer, poly(arylether), porous polymer, or a combination thereof. The silsesquioxnane is, for instance, hydrogen silsesquioxnane (HSQ), methyl silsesquioxane (MSQ), or hybrido-organosiloxane polymer (HOSP). The aromatic hydrocarbon is, for instance, SiLK. The organosilicate glass is, for instance, carbon black (e.g., black diamond, BD), 3MS, or 4MS. The fluorinated polymer is, for instance, PFCB, CYTOP, or Teflon. The poly(arylether) is, for instance, PAE-2 or FLARE. The porous polymer is, for instance, XLK, nanofoam, Awrogel, or Coral. The forming method of the dielectric layer 104 is, for instance, atomic layer deposition (ALD), chemical vapor deposition (CVD), spin coating (SOG), or a combination thereof. The wires 106 include a conductive layer and/or a via, and the material thereof can be a conductive material. For instance, the conductive material can be metal, metal alloy, metal nitride, metal silicide, or a combination thereof. In some exemplary embodiments, the metal and metal alloy are, for instance, Cu, Al, Ti, Ta, W, Pt, Cr, Mo, or an alloy thereof. The metal nitride is, for instance, titanium nitride, tungsten nitride, tantalum nitride, tantalum silicon nitride (TaSiN), titanium silicon nitride (TiSiN), tungsten silicon nitride (WSiN), or a combination thereof. The metal silicide is, for instance, tungsten silicide, titanium silicide, cobalt silicide, zirconium silicide, platinum silicide, molybdenum silicide, copper silicide, nickel silicide, or a combination thereof. In some embodiments, the forming method of the wires 106 can be a single damascene process, a dual damascene process, or a combination thereof. The wires 106 electrically connect a(n) active device/passive device to a subsequent contact conductor 112 and/or pad 110.
  • A contact conductor 112 is disposed in the substrate material layer 102. The material of the contact conductor 112 can be a conductive material. For instance, the conductive material is metal alloy, metal nitride, metal silicide, or a combination thereof. In some exemplary embodiments, the metal and metal alloy are, for instance, Cu, Al, Ti, Ta, W, Pt, Cr, Mo, or an alloy thereof. The metal nitride is, for instance, titanium nitride, tungsten nitride, tantalum nitride, tantalum silicon nitride (TaSiN), titanium silicon nitride (TiSiN), tungsten silicon nitride (WSiN), or a combination thereof. The metal silicide is, for instance, tungsten silicide, titanium silicide, cobalt silicide, zirconium silicide, platinum silicide, molybdenum silicide, copper silicide, nickel silicide, or a combination thereof. In some embodiments, the contact conductor 112 is a through-silicon via (TSV), and based on the forming order, the forming method thereof can be substantially divided into a via-first process, a via-middle process, and a via-last process. For instance, in the via-first process, the contact conductor 112 is formed in the substrate material layer 102 before the front-end-of-line (FEOL) process of the wafer; in the via-last process, the contact conductor 112 is formed in the substrate material layer 102 after the back-end-of-the-line (BEOL) process of the wafer; and in the via-middle process, the contact conductor 112 is formed in the substrate material layer 102 between the FEOL and BEOL processes (i.e., middle-end-of-the-line (MEOL) process). In the present embodiment, the contact conductor 112 is formed in the substrate material layer 102 via a via-middle process and electrically insulated from the substrate material layer 102 via a dielectric material (not shown in figures), but the invention is not limited thereto, and the contact conductor 112 can also be formed in the substrate material layer 102 via a via-first process or a via-last process.
  • The pad 110 is formed on the interconnect structure 108. The material of the pad 110 can be a conductive material. For instance, the conductive material is, for instance, the metal, metal alloy, metal nitride, metal silicide, or a combination thereof as for contact conductor 112 described above. The forming method of the pad 110 is, for instance, a metal patterning process or a metal damascene process.
  • The dielectric layer 114 is formed on the interconnect structure 108 and exposes the pad 110. The material of the dielectric layer 114 can be the dielectric material as for the dielectric layer 104 described above. In some embodiments, the forming method of the dielectric layer 114 can include first forming a dielectric material layer (not shown) covering the pad 110 on the interconnect structure 108. Next, a portion of the dielectric material layer located on the pad 110 is removed to form the dielectric layer 114 exposing the pad 110. Alternatively, the forming method of the dielectric layer 114 can include first forming a dielectric material layer (not shown) on the interconnect structure 108, then removing the portion of the dielectric material layer where the pad 110 to be formed, and then forming the pad 110. In some embodiments, the dielectric material layer located on the pad 110 can be removed using a planarization process. The planarization process is, for instance, a chemical-mechanical polishing (CMP) process.
  • Referring further to FIG. 1A, a carrier plate 10 is provided. In some embodiments, the carrier plate 10 can be a carrier wafer similar to the wafer 100. In other words, the carrier plate 10 can also include a plurality of dies, and FIG. 1A shows one of the dies 11 (i.e., third die). The die 11 includes a substrate material layer 12, an interconnect structure 18 (including a dielectric layer 14 and wires 16), a pad 20, and a dielectric layer 22, and the relative positions, materials, and forming methods thereof are respectively as provided for the substrate material layer 102, the interconnect structure 108, the pad 110, and the dielectric layer 114 of the die 101 and are not repeated herein. In some embodiments, in the carrier plate 10 (carrier wafer), a contact conductor does not need to be formed in the substrate material layer 12, but the invention is not limited thereto.
  • Referring to both FIG. 1A and FIG. 1B, the carrier plate 10 is covered by the wafer 100. In some embodiments, the carrier plate 10 can be a carrier wafer similar to the wafer 100, wherein the pad 110 of the die 101 faces the carrier plate 10 and is connected to the pad 20 of the die 11 of the carrier plate 10 (i.e., carrier wafer). As a result, since the carrier plate 10 is a wafer, the carrier plate 10 does not need to be removed in a subsequent process (a regular carrier plate for carrying a wafer does not have an active device and/or an interconnect structure, and is therefore removed in a subsequent process), and therefore not only can process be simplified and the cost of the carrier be eliminated, stacking density of the chip can be further increased. In some embodiments, the pad 110 of the die 101 can be connected to the pad 20 of the die 11 in the carrier plate 10 (i.e., carrier wafer) and the dielectric layer 114 of the die 101 can be connected to the dielectric layer 22 of the carrier plate 10 using a hybrid bond (HB) method.
  • Referring to both FIG. 1B and FIG. 1C, a portion of the substrate material layer 102 is removed to form a substrate 102 a, wherein the contact conductor 112 is exposed on and protruded from a second surface S2 of the substrate 102 a. In some embodiments, the method of removing a portion of the substrate material layer 102 includes, in order, performing a thinning process and an etching process on the surface (i.e., back of the die 101) of the substrate material layer 102 away from the interconnect structure 108 such that the contact conductor 112 is exposed on and protruded from the second surface S2 of the substrate 102 a. The thinning process includes, for instance, performing a grinding process on the surface of the substrate material layer 102 away from the interconnect structure 108. The etching process is, for instance, dry etching, wet etching, or a combination thereof. In some embodiments, the contact conductor 112 does not cover the surface (i.e., the second surface S2) of the substrate 102 a away from the interconnect structure 108. Moreover, the thickness of the substrate 102 a is less than the thicknesses of the substrate material layers 12 and 102. In some embodiments, the substrate 102 a has a thickness t1, and 3 μm<t1<100 μm; and the substrate material layers 12 and 102 have a thickness t2, and t2 is about 775 μm. In other words, in the embodiment in which the carrier plate 10 is a carrier wafer, the thickness of the substrate material layer 12 thereof is greater than the thickness of the substrate 102 a (i.e., the thickness of the carrier wafer (thickness of the die 11) is greater than the thickness of the die 101 a), and therefore when the die 101 a is carried thereon, the issue of difficult subsequent process thereon due to an insufficient thickness of the die 101 a can still be prevented.
  • Referring to FIG. 1D, a dielectric layer 116 is formed on the second surface S2 of the substrate 102 a, wherein the dielectric layer 116 exposes the first contact conductor 112. The material of the dielectric layer 116 is, for instance, a dielectric material. The dielectric material is, for instance, silicon oxide, tetraethoxysiloxane (TEOS) silicon oxide, undoped silica glass (USG), or a combination thereof. In some embodiments, the forming method of the dielectric layer 116 includes first forming a dielectric material layer (not shown) covering the contact conductor 112 on the second surface S2 of the substrate 102 a. Next, a portion of the dielectric material layer located on the contact conductor 112 is removed to form a dielectric layer 116 exposing the contact conductor 112. The forming method of the dielectric material layer is, for instance, ALD, CVD, SOG, or a combination thereof. The method of removing the dielectric material layer located on the contact conductor 112 can be a planarization process such as CMP. In some embodiments, the top surface of the dielectric layer 116 and the top surface of the contact conductor 112 are coplanar, and the dielectric layer 116 surrounds the contact conductor 112 protruded from the second surface S2.
  • Referring to FIG. 1E, a wafer 200 is provided. The wafer 200 includes a plurality of dies, and FIG. 1E only shows one of the dies 201 (i.e., second die). The die 201 includes a substrate material layer 202, an interconnect structure 208 (including a dielectric layer 204 and wires 206), a pad 210, a contact conductor 212, and a dielectric layer 214. In some embodiments, the wafer 200 is similar to the wafer 100, and therefore the relative positions, materials, and forming methods of the substrate material layer 202, the interconnect structure 208, the pad 210, the contact conductor 212, and the dielectric layer 214 in the die 201 thereof are substantially similar to those of the substrate material layer 102, the interconnect structure 108, the pad 110, the contact conductor 112, and the dielectric layer 114 of the die 101 and are not repeated herein.
  • Next, the wafer 200 covers the wafer 100 a such that the die 201 is docked with the die 101 a, and the contact conductor 112 of the die 101 a is directly physically in contact with the pad 210 of the die 201. As a result, another pad for connecting the contact conductor 112 and the pad 210 does not need to be formed on the dielectric layer 116, such that the process can be simplified and the process yield of the chip-stack structure can be increased and production cost thereof can be reduced as a result. In some embodiments, the contact conductor 112 of the die 101 a can be directly physically connected to the pad 210 of the die 201 using a hybrid bonding method. In some embodiments, the contact conductor 112 has a width A; the pad 210 has a width B, and 5≤B/A, in particular 5≤B/A≤10, or even B/A>10. As a result, even if misalignment occurs to the contact conductor 112 and the pad 210, the contact conductor 112 can still be electrically connected to the pad 210 well without affecting other adjacent contact conductors 112 and/or pads 210 (for instance, being too close to the adjacent contact conductor 112 and/or the pad 210 results in a risk from an electron migration (EM) effect, such that a risk of short circuit is present). In the present embodiment, the active surface of the die 201 faces the back of the die 101 a, that is, in the present embodiment, a back-to-front stacking method is exemplified, but the invention is not limited thereto. In some embodiments, a front-to-front or back-to-back stacking method can also be used. Moreover, referring to FIG. 1A and FIG. 1E, in the present embodiment, two wafers (wafers 100 and 200) are stacked on the carrier plate 10 as an example, but the invention is not limited thereto, and the process of, for instance, FIG. 1C to FIG. 1E, can be further performed on the wafer 200 to form a wafer 200 a and stack one or a plurality of wafers thereon.
  • Referring to both FIG. 1E and FIG. 2, in the present embodiment, two wafers are stacked on the carrier plate 10 as an example, and therefore, the die 201 is the top-most die of the die-stack structure, but the invention is not limited thereto. The process of, for instance, FIG. 1C to FIG. 1D is performed on the die 201 to form a die 201 a, and the contact conductor 212 thereof is exposed on and protruded from the surface of the substrate 202 a away from the interconnect structure 208, and the dielectric layer 216 is formed on the surface of the substrate 102 a away from the interconnect structure 208 and exposes the contact conductor 212. In an embodiment, the material and the forming method of the dielectric layer 216 are substantially similar to those of the dielectric layer 116 and are not repeated herein. Next, a redistribution layer (RDL) 218 is formed on the dielectric layer 216 to define the pad of the top-most die of a die-stack structure 300. The redistribution layer 218 is electrically connected to the corresponding contact conductor 212. The material of the redistribution layer 218 can be the conductive material as for the contact conductor 112 set forth above. For instance, the conductive material can be the metal, metal alloy, metal nitride, metal silicide, or a combination thereof.
  • Referring to FIG. 3, a singulation process is performed on the die-stack structure 300 to cut the die-stack structure 300 into a plurality of chip-stack structures 300 a separated from one another. In the following, the chip-stack structure 300 a of the present embodiment is described via FIG. 3. Moreover, although the manufacturing method of the chip-stack structure 300 a of the present embodiment is exemplified by the manufacturing method above, the manufacturing method of the chip-stack structure 300 a of the invention is not limited thereto.
  • Referring to FIG. 3, the chip-stack structure 300 a includes a chip 101 b (i.e., first chip) and a chip 201 b (i.e., second chip). The chip 101 b and the chip 201 b respectively correspond to the die 101 a and the die 201 a (as shown in FIG. 2). The chip 201 b is located on the chip 101 b. The chip 101 b includes a substrate 102 a (first substrate), an interconnect structure 108 (first interconnect structure), a pad 110 (first pad), a contact conductor 112 (first contact conductor), and a dielectric layer 114. The interconnect structure 108 is located on a first surface S1 of the substrate 102 a. The pad 110 is located on the interconnect structure 108. The contact conductor 112 is located in the substrate 102 a and exposed on a second surface S2 of the substrate 102 a opposite to the first surface S1. The chip 201 b includes a substrate 202 a (second substrate), an interconnect structure 208 (second interconnect structure), a pad 210 (second pad), a contact conductor 212 (second contact conductor), and a dielectric layer 214. The interconnect structure 208 is located on the substrate 202 a. The pad 210 is located on the interconnect structure 208. The contact conductor 212 is located in the substrate 202 a, wherein the contact conductor 112 of the chip 101 b is directly physically in contact with the pad 210 of the chip 201 b. The contact conductor 112 has a width A, the pad 210 has a width B, and 5≤B/A, such as 5≤B/A≤10, or even B/A>10. Moreover, the contact conductor 112 does not cover the second surface S2 of the substrate 102 a. In some embodiments, the chip-stack structure 300 a further includes a carrier plate 10 located below the chip 101 b. In some embodiments, the carrier plate 10 is a carrier chip. The pad 110 of the chip 101 b is connected to the pad 20 of the carrier plate 10 (i.e., the carrier chip), wherein the thickness of the carrier chip is greater than the thickness of the chip 101 b. In some embodiments, the chip-stack structure 300 a further includes a dielectric layer 116 located between the chip 101 b and the chip 201 b. In some embodiments, the active surface of the chip 201 b faces the back of the chip 101 b.
  • Based on the above, in the chip-stack structure and the manufacturing method thereof of the embodiments, since the first contact conductor of the first chip is directly physically in contact with the second pad of the second chip, a pad for connecting the first contact conductor and the second pad does not need to be formed on the second surface of the first substrate, such that the process can be simplified, and the process yield of the chip-stack structure can be increased and production cost thereof can be reduced.
  • Although the invention has been described with reference to the above embodiments, it will be apparent to one of ordinary skill in the art that modifications to the described embodiments may be made without departing from the spirit of the invention. Accordingly, the scope of the invention is defined by the attached claims not by the above detailed descriptions.

Claims (6)

What is claimed is:
1. A manufacturing method of a die-stack structure, comprising:
providing a first wafer comprising a first die, wherein the first die comprises a first substrate material layer, a first interconnect structure, and a first pad, and the first interconnect structure and the first pad are formed on the first substrate material layer in order, and the first substrate material layer has a first contact conductor disposed therein;
providing a second wafer comprising a second die, wherein the second die comprises a second substrate material layer, a second interconnect structure, and a second pad, and the second interconnect structure and the second pad are formed on the second substrate material layer in order, and the second substrate material layer has a second contact conductor disposed therein;
removing a portion of the first substrate material layer to form a first substrate, wherein the first contact conductor is exposed to a surface of the first substrate away from the first interconnect structure; and
covering the second wafer on the first substrate such that the first contact conductor is directly physically in contact with the second pad.
2. The manufacturing method of the die-stack structure of claim 1, wherein the first contact conductor does not cover the surface of the first substrate away from the first interconnect structure.
3. The manufacturing method of the die-stack structure of claim 1, further comprising:
disposing the first wafer on a carrier plate before the portion of the first substrate material layer is removed.
4. The manufacturing method of the die-stack structure of claim 3, wherein the carrier plate comprises a carrier wafer, the carrier wafer comprises a third die, and the first pad of the first die is connected to a pad of the third die.
5. The manufacturing method of the die-stack structure of claim 1, wherein an active surface of the second die faces a back of the first die.
6. The manufacturing method of the die-stack structure of claim 1, further comprising:
forming a dielectric layer on the surface of the first substrate away from the first interconnect structure after the portion of the first substrate material layer is removed, wherein the dielectric layer exposes the first contact conductor.
US16/402,058 2017-07-21 2019-05-02 Manufacturing method of die-stack structure Pending US20190259725A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US16/402,058 US20190259725A1 (en) 2017-07-21 2019-05-02 Manufacturing method of die-stack structure
US17/075,530 US20210057368A1 (en) 2017-07-21 2020-10-20 Chip-stack structure

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
CN201710600400.XA CN109285825B (en) 2017-07-21 2017-07-21 Chip stacking structure and manufacturing method of tube core stacking structure
CN201710600400.X 2017-07-21
US15/673,223 US10325873B2 (en) 2017-07-21 2017-08-09 Chip-stack structure
US16/402,058 US20190259725A1 (en) 2017-07-21 2019-05-02 Manufacturing method of die-stack structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/673,223 Division US10325873B2 (en) 2017-07-21 2017-08-09 Chip-stack structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/075,530 Continuation US20210057368A1 (en) 2017-07-21 2020-10-20 Chip-stack structure

Publications (1)

Publication Number Publication Date
US20190259725A1 true US20190259725A1 (en) 2019-08-22

Family

ID=65023433

Family Applications (3)

Application Number Title Priority Date Filing Date
US15/673,223 Active 2037-11-23 US10325873B2 (en) 2017-07-21 2017-08-09 Chip-stack structure
US16/402,058 Pending US20190259725A1 (en) 2017-07-21 2019-05-02 Manufacturing method of die-stack structure
US17/075,530 Pending US20210057368A1 (en) 2017-07-21 2020-10-20 Chip-stack structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/673,223 Active 2037-11-23 US10325873B2 (en) 2017-07-21 2017-08-09 Chip-stack structure

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/075,530 Pending US20210057368A1 (en) 2017-07-21 2020-10-20 Chip-stack structure

Country Status (2)

Country Link
US (3) US10325873B2 (en)
CN (2) CN109285825B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI716203B (en) * 2019-10-14 2021-01-11 南亞科技股份有限公司 Semiconductor structure and manufacturing method thereof
TWI750546B (en) * 2019-09-11 2021-12-21 大陸商長江存儲科技有限責任公司 Semiconductor device including processer and static random access memory (sram) bonding and forming method thereof

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2372755B1 (en) * 2010-03-31 2013-03-20 EV Group E. Thallner GmbH Method for permanently connecting two metal surfaces
KR102214176B1 (en) * 2017-03-21 2021-02-09 후지필름 가부시키가이샤 Lamination device, lamination body, and manufacturing method of lamination device
CN109285825B (en) * 2017-07-21 2021-02-05 联华电子股份有限公司 Chip stacking structure and manufacturing method of tube core stacking structure
US10910345B2 (en) * 2019-05-02 2021-02-02 Nanya Technology Corporation Semiconductor device with stacked die device
US11217560B2 (en) * 2019-10-28 2022-01-04 Nanya Technology Corporation Die assembly and method of manufacturing the same
CN111430336A (en) * 2020-04-07 2020-07-17 长江存储科技有限责任公司 Integrated semiconductor device, method of manufacturing the same, and semiconductor device
CN112071762B (en) * 2020-08-10 2022-11-22 长江存储科技有限责任公司 Semiconductor device manufacturing method, semiconductor structure and semiconductor device
CN113471083B (en) * 2021-09-03 2021-11-02 南通汇丰电子科技有限公司 Semiconductor stack packaging structure and preparation method thereof
CN114005778B (en) * 2021-12-24 2022-03-22 湖北三维半导体集成创新中心有限责任公司 Bonding system and bonding compensation method

Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6087719A (en) * 1997-04-25 2000-07-11 Kabushiki Kaisha Toshiba Chip for multi-chip semiconductor device and method of manufacturing the same
US20010019178A1 (en) * 1999-05-18 2001-09-06 International Business Machines Corporation Method of interconnecting electronic components using a plurality of conductive studs
US20020109236A1 (en) * 2001-02-09 2002-08-15 Samsung Electronics Co., Ltd. Three-dimensional multi-chip package having chip selection pads and manufacturing method thereof
US20020163072A1 (en) * 2001-05-01 2002-11-07 Subhash Gupta Method for bonding wafers to produce stacked integrated circuits
US20030193076A1 (en) * 2002-04-11 2003-10-16 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US20040207089A1 (en) * 2003-03-27 2004-10-21 Seiko Epson Corporation Semiconductor device, method of manufacturing three-dimensional stacking type semiconductor device, circuit board, and electronic instrument
US6841469B2 (en) * 2001-12-27 2005-01-11 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20050046002A1 (en) * 2003-08-26 2005-03-03 Kang-Wook Lee Chip stack package and manufacturing method thereof
US20060121690A1 (en) * 2002-12-20 2006-06-08 Pogge H B Three-dimensional device fabrication method
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7111149B2 (en) * 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
US7157787B2 (en) * 2002-02-20 2007-01-02 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US20070207592A1 (en) * 2006-03-03 2007-09-06 Lu James J Wafer bonding of damascene-patterned metal/adhesive redistribution layers
US20070296073A1 (en) * 2006-06-27 2007-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and method of making the same
US20080124845A1 (en) * 2006-11-28 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of fabricating stacked structures
US20080315421A1 (en) * 2007-06-19 2008-12-25 Shanggar Periaman Die backside metallization and surface activated bonding for stacked die packages
US20090001598A1 (en) * 2007-06-27 2009-01-01 Wen-Chih Chiou Formation of Through Via before Contact Processing
US20090008790A1 (en) * 2007-07-02 2009-01-08 Samsung Electronics Co., Ltd. Semiconductor device having through electrode and method of fabricating the same
US20090014891A1 (en) * 2007-07-11 2009-01-15 Industrial Technology Research Institute Three-dimensional die-stacking package structure and method for manufacturing the same
US20090032960A1 (en) * 2007-07-31 2009-02-05 Micron Technology, Inc. Semiconductor devices and methods of manufacturing semiconductor devices
US7494845B2 (en) * 2004-06-22 2009-02-24 Samsung Electronics Co., Ltd. Method of forming a thin wafer stack for a wafer level package
US20090051046A1 (en) * 2007-08-24 2009-02-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method for the same
US20090160050A1 (en) * 2005-08-26 2009-06-25 Honda Motor Co., Ltd. Semiconductor device manufacturing method, semiconductor device and wafer
US20090224371A1 (en) * 2008-03-05 2009-09-10 Chen-Hua Yu Protection for Bonding Pads and Methods of Formation
US20090283871A1 (en) * 2008-05-14 2009-11-19 Hung-Pin Chang System, Structure, and Method of Manufacturing a Semiconductor Substrate Stack
US20090283898A1 (en) * 2008-05-15 2009-11-19 Janzen Jeffery W Disabling electrical connections using pass-through 3d interconnects and associated systems and methods
US20090305502A1 (en) * 2008-06-10 2009-12-10 Ho-Jin Lee Methods of Forming Integrated Circuit Chips Having Vertically Extended Through-Substrate Vias Therein and Chips Formed Thereby
US20100096759A1 (en) * 2008-10-16 2010-04-22 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US20100144094A1 (en) * 2008-12-05 2010-06-10 Ming-Fa Chen Method of Forming Stacked Dies
US7750488B2 (en) * 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US20100171226A1 (en) * 2008-12-29 2010-07-08 Texas Instruments, Inc. Ic having tsv arrays with reduced tsv induced stress
US7759221B2 (en) * 2005-12-29 2010-07-20 Micron Technology, Inc. Methods for packaging microelectronic devices and microelectronic devices formed using such methods
US20100182040A1 (en) * 2009-01-22 2010-07-22 International Business Machines Corporation Programmable through silicon via
US20100225002A1 (en) * 2009-03-06 2010-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Three-Dimensional System-in-Package Architecture
US20100230794A1 (en) * 2009-03-12 2010-09-16 Micron Technology, Inc Method For Fabricating Semiconductor Components Using Maskless Back Side Alignment To Conductive Vias
US20100267217A1 (en) * 2009-04-20 2010-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Backside Process for a Substrate
US20100330743A1 (en) * 2006-12-19 2010-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Three-Dimensional Integrated Circuits with Protection Layers
US20110049694A1 (en) * 2009-08-26 2011-03-03 Qualcomm Incorporated Semiconductor Wafer-To-Wafer Bonding For Dissimilar Semiconductor Dies And/Or Wafers
US20110068479A1 (en) * 2009-09-22 2011-03-24 Sun Microsystems, Inc. Assembly of multi-chip modules using sacrificial features
US20110095435A1 (en) * 2009-10-28 2011-04-28 International Business Machines Corporation Coaxial through-silicon via
US20110198721A1 (en) * 2010-02-12 2011-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
US20110241185A1 (en) * 2010-04-05 2011-10-06 International Business Machines Corporation Signal shielding through-substrate vias for 3d integration
US20110304999A1 (en) * 2010-06-10 2011-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interposer-on-Glass Package Structures
US8089161B2 (en) * 2008-05-12 2012-01-03 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
US20120056315A1 (en) * 2010-09-02 2012-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment Marks in Substrate Having Through-Substrate Via (TSV)
US20120068355A1 (en) * 2010-09-21 2012-03-22 Hitachi, Ltd. Semiconductor device and method for manufacturing the same
US20120086120A1 (en) * 2010-10-07 2012-04-12 Advanced Semiconductor Engineering, Inc. Stacked semiconductor package having conductive vias and method for making the same
US8198174B2 (en) * 2009-08-05 2012-06-12 International Business Machines Corporation Air channel interconnects for 3-D integration
US8222121B2 (en) * 2006-03-20 2012-07-17 Tezzaron Semiconductor, Inc. Fiducial scheme adapted for stacked integrated circuits
US8227295B2 (en) * 2008-10-16 2012-07-24 Texas Instruments Incorporated IC die having TSV and wafer level underfill and stacked IC devices comprising a workpiece solder connected to the TSV
US8314483B2 (en) * 2009-01-26 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. On-chip heat spreader
US8466059B2 (en) * 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8546886B2 (en) * 2011-08-24 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the device performance by forming a stressed backside dielectric layer
US8552563B2 (en) * 2009-04-07 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional semiconductor architecture
US8563403B1 (en) * 2012-06-27 2013-10-22 International Business Machines Corporation Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last
US20140035158A1 (en) * 2012-07-31 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Semiconductor Device and Wafer Level Method of Fabricating the Same
US8647925B2 (en) * 2009-10-01 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Surface modification for handling wafer thinning process
US8736039B2 (en) * 2006-10-06 2014-05-27 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of forming stacked structures
US20140151895A1 (en) * 2012-12-05 2014-06-05 Texas Instruments Incorporated Die having through-substrate vias with deformation protected tips
US8791549B2 (en) * 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US20140239457A1 (en) * 2013-02-28 2014-08-28 International Business Machines Corporation Thermal via for 3d integrated circuits structures
US20140327105A1 (en) * 2013-05-06 2014-11-06 Qualcomm Incorporated Electrostatic discharge diode
US8900994B2 (en) * 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US20140353828A1 (en) * 2013-05-30 2014-12-04 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US20150069570A1 (en) * 2013-09-09 2015-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Circuit Structure with Active and Passive Devices in Different Tiers
US20150069520A1 (en) * 2013-09-09 2015-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Backside Contacts for Integrated Circuit Devices
US20150091092A1 (en) * 2013-10-02 2015-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dynamic Threshold MOS and Methods of Forming the Same
US20150091066A1 (en) * 2013-10-02 2015-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Double Sided NMOS/PMOS Structure and Methods of Forming the Same
US20150137382A1 (en) * 2013-11-15 2015-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Self-alignment for redistribution layer
US20150179605A1 (en) * 2013-12-19 2015-06-25 Imec Vzw Method for Aligning Micro-Electronic Components
US20150187642A1 (en) * 2013-12-30 2015-07-02 International Business Machines Corporation Double-sided segmented line architecture in 3d integration
US20150187733A1 (en) * 2013-12-27 2015-07-02 International Business Machines Corporation Combination of tsv and back side wiring in 3d integration
US9087821B2 (en) * 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US20150270304A1 (en) * 2012-12-14 2015-09-24 Olympus Corporation Semiconductor device, imaging device and semiconductor device manufacturing method
US9165974B2 (en) * 2013-09-16 2015-10-20 Samsung Electronics Co., Ltd. Electronic devices including multiple semiconductor layers
US9190325B2 (en) * 2010-09-30 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation
US20160099228A1 (en) * 2014-10-02 2016-04-07 HGST Netherlands B.V. Method and apparatus for die-to-die pad contact
US9312225B2 (en) * 2008-12-10 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structure for stacked dies
US20160181228A1 (en) * 2013-09-17 2016-06-23 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device and method for manufacturing same
US20160190101A1 (en) * 2014-02-20 2016-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Functional block stacked 3dic and method of making same
US9406561B2 (en) * 2009-04-20 2016-08-02 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
US20160260687A1 (en) * 2015-03-05 2016-09-08 Invensas Corporation Embedded graphite heat spreader for 3dic
US9449898B2 (en) * 2013-07-31 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having backside interconnect structure through substrate via and method of forming the same
US9461017B1 (en) * 2015-04-13 2016-10-04 Globalfoundries Inc. Electronic package that includes a plurality of integrated circuit devices bonded in a three-dimensional stack arrangement
US20160379958A1 (en) * 2014-03-12 2016-12-29 Thruchip Japan Inc. Multilayer semiconductor integrated circuit device
US20170125387A1 (en) * 2013-10-16 2017-05-04 Samsung Electronics Co., Ltd. Chip-stacked semiconductor package and method of manufacturing the same
US20170141079A1 (en) * 2015-11-12 2017-05-18 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of forming the same
US9666573B1 (en) * 2016-10-26 2017-05-30 Micron Technology, Inc. Methods of forming integrated circuitry
US20170330855A1 (en) * 2016-05-13 2017-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Immersion Bonding
US20180138164A1 (en) * 2016-11-14 2018-05-17 Samsung Electronics Co., Ltd. Method for fabricating substrate structure and substrate structure fabricated by using the method
US10050018B2 (en) * 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US10163864B1 (en) * 2017-08-16 2018-12-25 Globalfoundries Inc. Vertically stacked wafers and methods of forming same
US20190131276A1 (en) * 2017-11-01 2019-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Die stack structure and method of fabricating the same
US10297550B2 (en) * 2010-02-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC architecture with interposer and interconnect structure for bonding dies
US10325873B2 (en) * 2017-07-21 2019-06-18 United Microelectronics Corp. Chip-stack structure
US20190221547A1 (en) * 2017-05-16 2019-07-18 Raytheon Company Die encapsulation in oxide bonded wafer stack
US20200066584A1 (en) * 2016-07-25 2020-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit (ic) structure for high performance and functional density
US20200303361A1 (en) * 2019-03-21 2020-09-24 Nanya Technology Corporation Semiconductor package structure and method for preparing the same
US20220319941A1 (en) * 2018-05-18 2022-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuit Package and Method of Forming Same

Family Cites Families (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429509B1 (en) * 1999-05-03 2002-08-06 United Microelectronics Corporation Integrated circuit with improved interconnect structure and process for making same
US6593645B2 (en) * 1999-09-24 2003-07-15 United Microelectronics Corp. Three-dimensional system-on-chip structure
JP3891299B2 (en) * 2003-05-06 2007-03-14 セイコーエプソン株式会社 Semiconductor device manufacturing method, semiconductor device, semiconductor device, electronic device
JP4340517B2 (en) * 2003-10-30 2009-10-07 Okiセミコンダクタ株式会社 Semiconductor device and manufacturing method thereof
KR100574957B1 (en) * 2003-11-21 2006-04-28 삼성전자주식회사 Vertically stacked integrated circuits device comprising multi-substrates and method of manufacturing the same
WO2006019156A1 (en) * 2004-08-20 2006-02-23 Zycube Co., Ltd. Method for manufacturing semiconductor device having three-dimensional multilayer structure
US7326629B2 (en) * 2004-09-10 2008-02-05 Agency For Science, Technology And Research Method of stacking thin substrates by transfer bonding
JP4433298B2 (en) * 2004-12-16 2010-03-17 パナソニック株式会社 Multistage semiconductor module
US7485968B2 (en) * 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US20070145367A1 (en) * 2005-12-27 2007-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structure
US7626257B2 (en) 2006-01-18 2009-12-01 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7427803B2 (en) * 2006-09-22 2008-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Electromagnetic shielding using through-silicon vias
KR100880242B1 (en) * 2007-01-16 2009-01-28 삼성전자주식회사 Stacked Semiconductor Device Package and Method of Fabricating the Same
US7494846B2 (en) * 2007-03-09 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Design techniques for stacking identical memory dies
US7598523B2 (en) * 2007-03-19 2009-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Test structures for stacking dies having through-silicon vias
US7795735B2 (en) * 2007-03-21 2010-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming single dies with multi-layer interconnect structures and structures formed therefrom
EP2075828A1 (en) * 2007-12-27 2009-07-01 Interuniversitair Microelektronica Centrum (IMEC) Semiconductor device and a method for aligining and bonding a first and second element for the fabrication of a semiconductor device
JP2009239256A (en) * 2008-03-03 2009-10-15 Panasonic Corp Semiconductor device and method of fabricating same
US7803714B2 (en) * 2008-03-31 2010-09-28 Freescale Semiconductor, Inc. Semiconductor through silicon vias of variable size and method of formation
US8035198B2 (en) * 2008-08-08 2011-10-11 International Business Machines Corporation Through wafer via and method of making same
US8618670B2 (en) * 2008-08-15 2013-12-31 Qualcomm Incorporated Corrosion control of stacked integrated circuits
US8053900B2 (en) * 2008-10-21 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias (TSVs) electrically connected to a bond pad design with reduced dishing effect
KR20100045857A (en) * 2008-10-24 2010-05-04 삼성전자주식회사 Semiconductor chip, stack module, memory card, and method of fabricating the semiconductor chip
US8227889B2 (en) * 2008-12-08 2012-07-24 United Microelectronics Corp. Semiconductor device
US7943428B2 (en) * 2008-12-24 2011-05-17 International Business Machines Corporation Bonded semiconductor substrate including a cooling mechanism
US8749027B2 (en) * 2009-01-07 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Robust TSV structure
US8501587B2 (en) * 2009-01-13 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated chips and methods of fabrication thereof
US8299583B2 (en) * 2009-03-05 2012-10-30 International Business Machines Corporation Two-sided semiconductor structure
HUE048827T2 (en) * 2009-07-30 2020-08-28 Qualcomm Inc System-in packages
EP2302403A1 (en) * 2009-09-28 2011-03-30 Imec Method and device for testing TSVs in a 3D chip stack
US8698321B2 (en) * 2009-10-07 2014-04-15 Qualcomm Incorporated Vertically stackable dies having chip identifier structures
US8841777B2 (en) * 2010-01-12 2014-09-23 International Business Machines Corporation Bonded structure employing metal semiconductor alloy bonding
US9219023B2 (en) * 2010-01-19 2015-12-22 Globalfoundries Inc. 3D chip stack having encapsulated chip-in-chip
US8748288B2 (en) * 2010-02-05 2014-06-10 International Business Machines Corporation Bonded structure with enhanced adhesion strength
JP5853351B2 (en) * 2010-03-25 2016-02-09 ソニー株式会社 SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND ELECTRONIC DEVICE
US8546188B2 (en) * 2010-04-09 2013-10-01 International Business Machines Corporation Bow-balanced 3D chip stacking
KR20110134198A (en) * 2010-06-08 2011-12-14 삼성전자주식회사 Semiconductor device having through-silicon-via(tsv)
JP5606182B2 (en) * 2010-06-30 2014-10-15 キヤノン株式会社 Solid-state imaging device
WO2012013162A1 (en) * 2010-07-30 2012-02-02 昆山智拓达电子科技有限公司 Tsv interconnect structure and manufacturing method thereof
KR101690487B1 (en) * 2010-11-08 2016-12-28 삼성전자주식회사 Semiconductor device and fabrication method thereof
TWI416706B (en) * 2010-12-20 2013-11-21 Univ Nat Chiao Tung Esd structure for 3d ic
US8546961B2 (en) * 2011-01-10 2013-10-01 International Business Machines Corporation Alignment marks to enable 3D integration
US8921976B2 (en) * 2011-01-25 2014-12-30 Stmicroelectronics, Inc. Using backside passive elements for multilevel 3D wafers alignment applications
US8563396B2 (en) * 2011-01-29 2013-10-22 International Business Machines Corporation 3D integration method using SOI substrates and structures produced thereby
US20120193785A1 (en) * 2011-02-01 2012-08-02 Megica Corporation Multichip Packages
TWI467695B (en) * 2011-03-24 2015-01-01 Sony Corp Semiconductor device and method for manufacturing same
TWI509713B (en) * 2011-03-31 2015-11-21 Soitec Silicon On Insulator Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
KR20120133057A (en) * 2011-05-30 2012-12-10 삼성전자주식회사 Semiconductor package and fabrication method of the same
US8669780B2 (en) * 2011-10-31 2014-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit connection structure and method
JP5925006B2 (en) * 2012-03-26 2016-05-25 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
US9142517B2 (en) * 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8772946B2 (en) * 2012-06-08 2014-07-08 Invensas Corporation Reduced stress TSV and interposer structures
JP6128787B2 (en) * 2012-09-28 2017-05-17 キヤノン株式会社 Semiconductor device
US8912844B2 (en) * 2012-10-09 2014-12-16 United Microelectronics Corp. Semiconductor structure and method for reducing noise therein
KR101334220B1 (en) * 2012-11-16 2013-11-29 (주)실리콘화일 Method for electric connecting the wafers using butting contact and semiconductor device manufactured by the method
US9123789B2 (en) * 2013-01-23 2015-09-01 United Microelectronics Corp. Chip with through silicon via electrode and method of forming the same
US9443796B2 (en) * 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
JPWO2014184988A1 (en) * 2013-05-16 2017-02-23 パナソニックIpマネジメント株式会社 Semiconductor device and manufacturing method thereof
WO2014196105A1 (en) * 2013-06-03 2014-12-11 パナソニックIpマネジメント株式会社 Semiconductor device, and production method therefor
US8860229B1 (en) * 2013-07-16 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US9929050B2 (en) * 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9299640B2 (en) * 2013-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Front-to-back bonding with through-substrate via (TSV)
US20150069609A1 (en) * 2013-09-12 2015-03-12 International Business Machines Corporation 3d chip crackstop
JP6212720B2 (en) * 2013-09-20 2017-10-18 パナソニックIpマネジメント株式会社 Semiconductor device and manufacturing method thereof
US9257399B2 (en) * 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
JP6380946B2 (en) * 2013-11-18 2018-08-29 ローム株式会社 Semiconductor device and manufacturing method of semiconductor device
KR102258739B1 (en) * 2014-03-26 2021-06-02 삼성전자주식회사 Semiconductor devices having hybrid stacking structures and methods for fabricating the same
US9230941B2 (en) * 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) * 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
CN105097777B (en) * 2014-04-21 2019-01-18 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and preparation method thereof
US9343369B2 (en) * 2014-05-19 2016-05-17 Qualcomm Incorporated Three dimensional (3D) integrated circuits (ICs) (3DICs) and related systems
US9455158B2 (en) * 2014-05-30 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect devices and methods of forming same
US9449914B2 (en) * 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
WO2016025478A1 (en) * 2014-08-11 2016-02-18 Massachusetts Institute Of Technology Interconnect structures for assembly of semiconductor structures including at least one integrated circuit structure
US9793243B2 (en) * 2014-08-13 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer(s) on a stacked structure having a via
KR102352677B1 (en) * 2014-08-27 2022-01-17 삼성전자주식회사 Semiconductor device and method for manufacturing the same
KR102300121B1 (en) * 2014-10-06 2021-09-09 에스케이하이닉스 주식회사 Semiconductor device having through silicon via, semiconductor package including the same and the method for manufacturing semiconductor device
KR102360381B1 (en) * 2014-12-01 2022-02-11 삼성전자주식회사 Semiconductor devices having stacking structures and methods for fabricating the same
US9754922B2 (en) * 2015-02-11 2017-09-05 Invensense, Inc. 3D integration using Al—Ge eutectic bond interconnect
JP6515724B2 (en) * 2015-07-31 2019-05-22 富士通株式会社 Semiconductor device
US9583465B1 (en) * 2015-08-31 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit structure and manufacturing method of the same
US9553080B1 (en) 2015-09-18 2017-01-24 Globalfoundries Inc. Method and process for integration of TSV-middle in 3D IC stacks
US9899355B2 (en) * 2015-09-30 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structure
US10163859B2 (en) * 2015-10-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method for chip package
US11037904B2 (en) * 2015-11-24 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Singulation and bonding methods and structures formed thereby
US10147682B2 (en) * 2015-11-30 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for stacked logic performance improvement
US10014340B2 (en) * 2015-12-28 2018-07-03 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked SPAD image sensor
US9972603B2 (en) * 2015-12-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal-ring structure for stacking integrated circuits
US9923011B2 (en) * 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
KR102473664B1 (en) * 2016-01-19 2022-12-02 삼성전자주식회사 Multi-Stacked Device Having a TSV Structure
US10037981B2 (en) * 2016-05-18 2018-07-31 Globalfoundries Inc. Integrated display system with multi-color light emitting diodes (LEDs)
KR102570582B1 (en) * 2016-06-30 2023-08-24 삼성전자 주식회사 Semiconductor package and method of manufacturing the same
US10332841B2 (en) * 2016-07-20 2019-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming the same
US10163771B2 (en) * 2016-08-08 2018-12-25 Qualcomm Incorporated Interposer device including at least one transistor and at least one through-substrate via
US11158598B1 (en) * 2016-10-10 2021-10-26 Monolithic 3D Inc. Method to construct 3D devices and systems
KR20180090494A (en) * 2017-02-03 2018-08-13 삼성전자주식회사 Method for fabricating substrate structure
TW201838094A (en) * 2017-02-16 2018-10-16 學校法人慶應義塾 Multilayer semiconductor integrated circuit device
JP6800788B2 (en) * 2017-03-15 2020-12-16 キオクシア株式会社 Semiconductor storage device
KR102283330B1 (en) * 2017-03-27 2021-08-02 삼성전자주식회사 Semiconductor device
CN109087906B (en) * 2017-06-13 2021-01-15 联华电子股份有限公司 Electrical connection device
KR102467845B1 (en) * 2017-10-24 2022-11-16 삼성전자주식회사 Stack-type CMOS Image Sensor(CIS)
US10685935B2 (en) * 2017-11-15 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Forming metal bonds with recesses
DE102018124695A1 (en) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrate passive devices in package structures
US10388631B1 (en) * 2018-01-29 2019-08-20 Globalfoundries Inc. 3D IC package with RDL interposer and related method
US10629592B2 (en) * 2018-05-25 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Through silicon via design for stacking integrated circuits
US10734285B2 (en) * 2018-06-28 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding support structure (and related process) for wafer stacking
US10672674B2 (en) * 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device package having testing pads on a topmost die
US10727205B2 (en) * 2018-08-15 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding technology for stacking integrated circuits
KR20200022214A (en) * 2018-08-22 2020-03-03 삼성전자주식회사 Semiconductor package and a method for manufacturing the same
US10796990B2 (en) * 2018-09-19 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, package structure, and manufacturing method thereof
US20200105720A1 (en) * 2018-10-02 2020-04-02 Globalfoundries Inc. Stacked semiconductor devices and method of manufacturing the same
US11171076B2 (en) * 2018-10-10 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Compute-in-memory packages and methods forming the same
US11158573B2 (en) * 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
KR20200047930A (en) * 2018-10-26 2020-05-08 삼성전자주식회사 Semiconductor package including test pad
US10861808B2 (en) * 2018-11-21 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure of dies with dangling bonds
US11672111B2 (en) * 2018-12-26 2023-06-06 Ap Memory Technology Corporation Semiconductor structure and method for manufacturing a plurality thereof
US10910345B2 (en) * 2019-05-02 2021-02-02 Nanya Technology Corporation Semiconductor device with stacked die device
CN110137096A (en) * 2019-05-17 2019-08-16 武汉新芯集成电路制造有限公司 A kind of bonding structure and its manufacturing method
US11404534B2 (en) * 2019-06-28 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Backside capacitor techniques
US11101240B2 (en) * 2019-06-28 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation bonding film for semiconductor packages and methods of forming the same
US11069608B2 (en) * 2019-08-22 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11373981B2 (en) * 2019-08-28 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US20210098381A1 (en) * 2019-09-29 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method manufacturing the same
US11133304B2 (en) * 2019-11-27 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Packaging scheme involving metal-insulator-metal capacitor
US11362065B2 (en) * 2020-02-26 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11437344B2 (en) * 2020-03-27 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer bonding method
US11721663B2 (en) * 2020-05-28 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-level stacking of wafers and chips
KR20220014364A (en) * 2020-07-23 2022-02-07 삼성전자주식회사 Semiconductor package
US11309291B2 (en) * 2020-09-20 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and manufacturing method thereof
CN114975368A (en) * 2021-02-22 2022-08-30 联华电子股份有限公司 Bonded semiconductor structure and method of making the same
KR20230035171A (en) * 2021-09-03 2023-03-13 삼성전자주식회사 Semiconductor package

Patent Citations (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6087719A (en) * 1997-04-25 2000-07-11 Kabushiki Kaisha Toshiba Chip for multi-chip semiconductor device and method of manufacturing the same
US20010019178A1 (en) * 1999-05-18 2001-09-06 International Business Machines Corporation Method of interconnecting electronic components using a plurality of conductive studs
US20020109236A1 (en) * 2001-02-09 2002-08-15 Samsung Electronics Co., Ltd. Three-dimensional multi-chip package having chip selection pads and manufacturing method thereof
US6448661B1 (en) * 2001-02-09 2002-09-10 Samsung Electornics Co., Ltd. Three-dimensional multi-chip package having chip selection pads and manufacturing method thereof
US20020163072A1 (en) * 2001-05-01 2002-11-07 Subhash Gupta Method for bonding wafers to produce stacked integrated circuits
US20050224921A1 (en) * 2001-05-01 2005-10-13 Subhash Gupta Method for bonding wafers to produce stacked integrated circuits
US6841469B2 (en) * 2001-12-27 2005-01-11 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US7157787B2 (en) * 2002-02-20 2007-01-02 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US20030193076A1 (en) * 2002-04-11 2003-10-16 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US6838774B2 (en) * 2002-04-11 2005-01-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US20060121690A1 (en) * 2002-12-20 2006-06-08 Pogge H B Three-dimensional device fabrication method
US20040207089A1 (en) * 2003-03-27 2004-10-21 Seiko Epson Corporation Semiconductor device, method of manufacturing three-dimensional stacking type semiconductor device, circuit board, and electronic instrument
US7111149B2 (en) * 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
US20050046002A1 (en) * 2003-08-26 2005-03-03 Kang-Wook Lee Chip stack package and manufacturing method thereof
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7494845B2 (en) * 2004-06-22 2009-02-24 Samsung Electronics Co., Ltd. Method of forming a thin wafer stack for a wafer level package
US20090160050A1 (en) * 2005-08-26 2009-06-25 Honda Motor Co., Ltd. Semiconductor device manufacturing method, semiconductor device and wafer
US7759221B2 (en) * 2005-12-29 2010-07-20 Micron Technology, Inc. Methods for packaging microelectronic devices and microelectronic devices formed using such methods
US20070207592A1 (en) * 2006-03-03 2007-09-06 Lu James J Wafer bonding of damascene-patterned metal/adhesive redistribution layers
US8222121B2 (en) * 2006-03-20 2012-07-17 Tezzaron Semiconductor, Inc. Fiducial scheme adapted for stacked integrated circuits
US20070296073A1 (en) * 2006-06-27 2007-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and method of making the same
US7750488B2 (en) * 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US8736039B2 (en) * 2006-10-06 2014-05-27 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of forming stacked structures
US20080124845A1 (en) * 2006-11-28 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of fabricating stacked structures
US20100330743A1 (en) * 2006-12-19 2010-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Three-Dimensional Integrated Circuits with Protection Layers
US20080315421A1 (en) * 2007-06-19 2008-12-25 Shanggar Periaman Die backside metallization and surface activated bonding for stacked die packages
US20090001598A1 (en) * 2007-06-27 2009-01-01 Wen-Chih Chiou Formation of Through Via before Contact Processing
US20090008790A1 (en) * 2007-07-02 2009-01-08 Samsung Electronics Co., Ltd. Semiconductor device having through electrode and method of fabricating the same
US20090014891A1 (en) * 2007-07-11 2009-01-15 Industrial Technology Research Institute Three-dimensional die-stacking package structure and method for manufacturing the same
US20090032960A1 (en) * 2007-07-31 2009-02-05 Micron Technology, Inc. Semiconductor devices and methods of manufacturing semiconductor devices
US20090051046A1 (en) * 2007-08-24 2009-02-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method for the same
US20090224371A1 (en) * 2008-03-05 2009-09-10 Chen-Hua Yu Protection for Bonding Pads and Methods of Formation
US8089161B2 (en) * 2008-05-12 2012-01-03 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
US20090283871A1 (en) * 2008-05-14 2009-11-19 Hung-Pin Chang System, Structure, and Method of Manufacturing a Semiconductor Substrate Stack
US20090283898A1 (en) * 2008-05-15 2009-11-19 Janzen Jeffery W Disabling electrical connections using pass-through 3d interconnects and associated systems and methods
US20140124901A1 (en) * 2008-06-10 2014-05-08 Ho-Jin Lee Integrated circuit chips having vertically extended through-substrate vias therein
US20090305502A1 (en) * 2008-06-10 2009-12-10 Ho-Jin Lee Methods of Forming Integrated Circuit Chips Having Vertically Extended Through-Substrate Vias Therein and Chips Formed Thereby
US20100096759A1 (en) * 2008-10-16 2010-04-22 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US8227295B2 (en) * 2008-10-16 2012-07-24 Texas Instruments Incorporated IC die having TSV and wafer level underfill and stacked IC devices comprising a workpiece solder connected to the TSV
US20100144094A1 (en) * 2008-12-05 2010-06-10 Ming-Fa Chen Method of Forming Stacked Dies
US9312225B2 (en) * 2008-12-10 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structure for stacked dies
US20100171226A1 (en) * 2008-12-29 2010-07-08 Texas Instruments, Inc. Ic having tsv arrays with reduced tsv induced stress
US20100182040A1 (en) * 2009-01-22 2010-07-22 International Business Machines Corporation Programmable through silicon via
US8314483B2 (en) * 2009-01-26 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. On-chip heat spreader
US20100225002A1 (en) * 2009-03-06 2010-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Three-Dimensional System-in-Package Architecture
US8487444B2 (en) * 2009-03-06 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional system-in-package architecture
US20100230794A1 (en) * 2009-03-12 2010-09-16 Micron Technology, Inc Method For Fabricating Semiconductor Components Using Maskless Back Side Alignment To Conductive Vias
US8552563B2 (en) * 2009-04-07 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional semiconductor architecture
US9406561B2 (en) * 2009-04-20 2016-08-02 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
US20100267217A1 (en) * 2009-04-20 2010-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Backside Process for a Substrate
US8198174B2 (en) * 2009-08-05 2012-06-12 International Business Machines Corporation Air channel interconnects for 3-D integration
US20110049694A1 (en) * 2009-08-26 2011-03-03 Qualcomm Incorporated Semiconductor Wafer-To-Wafer Bonding For Dissimilar Semiconductor Dies And/Or Wafers
US8791549B2 (en) * 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US20110068479A1 (en) * 2009-09-22 2011-03-24 Sun Microsystems, Inc. Assembly of multi-chip modules using sacrificial features
US8647925B2 (en) * 2009-10-01 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Surface modification for handling wafer thinning process
US20110095435A1 (en) * 2009-10-28 2011-04-28 International Business Machines Corporation Coaxial through-silicon via
US10297550B2 (en) * 2010-02-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC architecture with interposer and interconnect structure for bonding dies
US20110198721A1 (en) * 2010-02-12 2011-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
US8466059B2 (en) * 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US20110241185A1 (en) * 2010-04-05 2011-10-06 International Business Machines Corporation Signal shielding through-substrate vias for 3d integration
US8411459B2 (en) * 2010-06-10 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Interposer-on-glass package structures
US20110304999A1 (en) * 2010-06-10 2011-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interposer-on-Glass Package Structures
US8928159B2 (en) * 2010-09-02 2015-01-06 Taiwan Semiconductor Manufacturing & Company, Ltd. Alignment marks in substrate having through-substrate via (TSV)
US20120056315A1 (en) * 2010-09-02 2012-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment Marks in Substrate Having Through-Substrate Via (TSV)
US20120068355A1 (en) * 2010-09-21 2012-03-22 Hitachi, Ltd. Semiconductor device and method for manufacturing the same
US9190325B2 (en) * 2010-09-30 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation
US20120086120A1 (en) * 2010-10-07 2012-04-12 Advanced Semiconductor Engineering, Inc. Stacked semiconductor package having conductive vias and method for making the same
US8900994B2 (en) * 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US8546886B2 (en) * 2011-08-24 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the device performance by forming a stressed backside dielectric layer
US8563403B1 (en) * 2012-06-27 2013-10-22 International Business Machines Corporation Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last
US20140035158A1 (en) * 2012-07-31 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Semiconductor Device and Wafer Level Method of Fabricating the Same
US20140151895A1 (en) * 2012-12-05 2014-06-05 Texas Instruments Incorporated Die having through-substrate vias with deformation protected tips
US20150270304A1 (en) * 2012-12-14 2015-09-24 Olympus Corporation Semiconductor device, imaging device and semiconductor device manufacturing method
US20140239457A1 (en) * 2013-02-28 2014-08-28 International Business Machines Corporation Thermal via for 3d integrated circuits structures
US20140327105A1 (en) * 2013-05-06 2014-11-06 Qualcomm Incorporated Electrostatic discharge diode
US20140353828A1 (en) * 2013-05-30 2014-12-04 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9087821B2 (en) * 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US9449898B2 (en) * 2013-07-31 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having backside interconnect structure through substrate via and method of forming the same
US20150069520A1 (en) * 2013-09-09 2015-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Backside Contacts for Integrated Circuit Devices
US20150069570A1 (en) * 2013-09-09 2015-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Circuit Structure with Active and Passive Devices in Different Tiers
US9165974B2 (en) * 2013-09-16 2015-10-20 Samsung Electronics Co., Ltd. Electronic devices including multiple semiconductor layers
US20160181228A1 (en) * 2013-09-17 2016-06-23 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device and method for manufacturing same
US20150091066A1 (en) * 2013-10-02 2015-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Double Sided NMOS/PMOS Structure and Methods of Forming the Same
US20150091092A1 (en) * 2013-10-02 2015-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dynamic Threshold MOS and Methods of Forming the Same
US20170125387A1 (en) * 2013-10-16 2017-05-04 Samsung Electronics Co., Ltd. Chip-stacked semiconductor package and method of manufacturing the same
US20150137382A1 (en) * 2013-11-15 2015-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Self-alignment for redistribution layer
US20150179605A1 (en) * 2013-12-19 2015-06-25 Imec Vzw Method for Aligning Micro-Electronic Components
US20150371927A1 (en) * 2013-12-27 2015-12-24 International Business Machines Corporation Combination of tsv and back side wiring in 3d integration
US20150187733A1 (en) * 2013-12-27 2015-07-02 International Business Machines Corporation Combination of tsv and back side wiring in 3d integration
US20150187642A1 (en) * 2013-12-30 2015-07-02 International Business Machines Corporation Double-sided segmented line architecture in 3d integration
US20160190101A1 (en) * 2014-02-20 2016-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Functional block stacked 3dic and method of making same
US20160379958A1 (en) * 2014-03-12 2016-12-29 Thruchip Japan Inc. Multilayer semiconductor integrated circuit device
US20160099228A1 (en) * 2014-10-02 2016-04-07 HGST Netherlands B.V. Method and apparatus for die-to-die pad contact
US20160260687A1 (en) * 2015-03-05 2016-09-08 Invensas Corporation Embedded graphite heat spreader for 3dic
US9461017B1 (en) * 2015-04-13 2016-10-04 Globalfoundries Inc. Electronic package that includes a plurality of integrated circuit devices bonded in a three-dimensional stack arrangement
US20170141079A1 (en) * 2015-11-12 2017-05-18 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of forming the same
US10050018B2 (en) * 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US20200091115A1 (en) * 2016-02-26 2020-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC Structure and Methods of Forming
US20170330855A1 (en) * 2016-05-13 2017-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Immersion Bonding
US20200066584A1 (en) * 2016-07-25 2020-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit (ic) structure for high performance and functional density
US9666573B1 (en) * 2016-10-26 2017-05-30 Micron Technology, Inc. Methods of forming integrated circuitry
US20180138164A1 (en) * 2016-11-14 2018-05-17 Samsung Electronics Co., Ltd. Method for fabricating substrate structure and substrate structure fabricated by using the method
US20190221547A1 (en) * 2017-05-16 2019-07-18 Raytheon Company Die encapsulation in oxide bonded wafer stack
US10325873B2 (en) * 2017-07-21 2019-06-18 United Microelectronics Corp. Chip-stack structure
US10163864B1 (en) * 2017-08-16 2018-12-25 Globalfoundries Inc. Vertically stacked wafers and methods of forming same
US20190131276A1 (en) * 2017-11-01 2019-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Die stack structure and method of fabricating the same
US20220319941A1 (en) * 2018-05-18 2022-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuit Package and Method of Forming Same
US20200303361A1 (en) * 2019-03-21 2020-09-24 Nanya Technology Corporation Semiconductor package structure and method for preparing the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI750546B (en) * 2019-09-11 2021-12-21 大陸商長江存儲科技有限責任公司 Semiconductor device including processer and static random access memory (sram) bonding and forming method thereof
TWI716203B (en) * 2019-10-14 2021-01-11 南亞科技股份有限公司 Semiconductor structure and manufacturing method thereof

Also Published As

Publication number Publication date
CN109285825A (en) 2019-01-29
US10325873B2 (en) 2019-06-18
US20190027457A1 (en) 2019-01-24
CN112164688B (en) 2023-06-13
CN112164688A (en) 2021-01-01
US20210057368A1 (en) 2021-02-25
CN109285825B (en) 2021-02-05

Similar Documents

Publication Publication Date Title
US20210057368A1 (en) Chip-stack structure
US11398405B2 (en) Method and apparatus for back end of line semiconductor device processing
CN106960835B (en) Semiconductor device structure with stacked semiconductor die
US11088020B2 (en) Structure and formation method of interconnection structure of semiconductor device
JP5345077B2 (en) Through-silicon via with low-k dielectric liner
US8846523B2 (en) Process of forming through-silicon via structure
US8486823B2 (en) Methods of forming through via
CN101789417B (en) Through-silicon via sidewall isolation structure
CN109427746B (en) Device with interconnect structure and method of making the same
US9691733B1 (en) Bonded semiconductor structure and method for forming the same
CN111261584B (en) Method for forming semiconductor device and semiconductor device
US8890293B2 (en) Guard ring for through vias
KR20120067525A (en) Semiconductor device and method of manufacturing the same
US20220384352A1 (en) Semiconductor device, stacked semiconductor device and manufacturing method of semiconductor device
US10504821B2 (en) Through-silicon via structure
TW202326984A (en) Die
US8673765B2 (en) Method and apparatus for back end of line semiconductor device processing
TW202123402A (en) Semiconductor device and semiconductor package
US9721883B1 (en) Integrated circuit and manufacturing method thereof
US20220415817A1 (en) Semiconductor structure and manufacturing methods thereof
US11916013B2 (en) Via interconnects including super vias
US20230360946A1 (en) Method for forming semiconductor structure
US20230069830A1 (en) Metal-insulator-metal capacitor (mimcap) and methods of forming the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LIN, MING-TSE;REEL/FRAME:049067/0881

Effective date: 20170808

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCV Information on status: appeal procedure

Free format text: NOTICE OF APPEAL FILED