US20100176513A1 - Structure and method of forming metal interconnect structures in ultra low-k dielectrics - Google Patents

Structure and method of forming metal interconnect structures in ultra low-k dielectrics Download PDF

Info

Publication number
US20100176513A1
US20100176513A1 US12/351,272 US35127209A US2010176513A1 US 20100176513 A1 US20100176513 A1 US 20100176513A1 US 35127209 A US35127209 A US 35127209A US 2010176513 A1 US2010176513 A1 US 2010176513A1
Authority
US
United States
Prior art keywords
layer
interconnect
dielectric
interconnect structure
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/351,272
Inventor
Birendra Agarwala
Du Nguyen
Hazara Rathore
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US12/351,272 priority Critical patent/US20100176513A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AGARWALA, BIRENDRA, NGUYEN, DU, RATHORE, HAZARA
Priority to PCT/EP2010/050036 priority patent/WO2010079157A1/en
Priority to TW099100360A priority patent/TW201041112A/en
Publication of US20100176513A1 publication Critical patent/US20100176513A1/en
Priority to US12/949,158 priority patent/US8466056B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Definitions

  • the present disclosure relates generally to interconnect structures formed in semiconductor devices.
  • the present disclosure relates to a structure and methods of forming metal interconnect structures in porous ultra low-k dielectric.
  • Integrated circuit chips typically include two or more levels of conductive lines which are vertically spaced apart and separated by intermediate insulating layers.
  • Interconnections are formed between the levels of conductive lines in the chip for providing high wiring density and good thermal performance.
  • the interconnections are formed by means of lines and vias which are etched through the insulating layers separating the levels.
  • the lines and vias are then filled with a conductive material or metal (e.g. Copper) to form interconnect elements (i.e. via studs).
  • a typical damascene process for producing a multilevel structure would include: a blanket deposition of a dielectric material; pattering of the dielectric material to form openings; deposition of a conductive material onto the substrate in sufficient thickness to fill the openings; and removal of excessive conductive material from the substrate surface using a chemical reactant-based process, mechanical methods, or combined chemical-mechanical polishing techniques.
  • a typical interconnect element includes metal vias running perpendicular to the semiconductor substrate and metal lines running parallel to the semiconductor substrate. This process results in multiple levels of conductor wiring interconnection patterns, having individual levels connected by via studs and operating to distribute signals among the various circuits on the chip.
  • the dielectric material is made from an inorganic glass like silicon dioxide (SiO 2 ) or a fluorinated silica glass (FSG) film deposited by plasma enhanced chemical vapor deposition (PECVD).
  • a dual damascene (DD) process is another well known method of making interconnect wiring networks.
  • the wiring interconnect network consists of two types of features: line features that traverse a certain distance across the chip, and via features which connect together lines in different levels of interconnects in a multilevel stack. Because two interconnect features are simultaneously defined to form a conductor inlaid within an insulator by a single polish step, this process is referred to as dual damascene process.
  • the overall speed of operation of these advanced chips are beginning to be limited by the signal propagation delay in the interconnection wires between the individual devices on the chips.
  • the signal propagation delay in the interconnect structures is dependent on the resistance of the interconnect wires and the overall capacitance of the interconnect scheme in which the wires are embedded.
  • the current focus in the microelectronics industry in building the multilayered interconnect structures on chips, is to reduce the capacitance by the use of lower dielectric constant (k) insulators, by introducing porosity in these insulators.
  • k dielectric constant
  • the reliability of metal interconnects in porous ultra low-k dielectrics is a critical concern.
  • the electromigration lifetime of wide-line interconnects is poor due to a lack of a liner contact between the landing via and the liner in the underlying line. Since the porous dielectric is prone to severe erosion during etch-back step needed for via embedment within the underlying line, localized “fangs” or deep and sharp trenches are formed at the bottom of the line. Because of the severe topography, these fangs are not appropriately covered with the liner. As a result, in view of a voltage bias, the metal can readily leak out through the exposed area causing time-dependent dielectric breakdown (TDDB) leakage failure as well as time-zero leakage. At present, there are no known solutions to this problem.
  • TDDB time-dependent dielectric breakdown
  • an interconnect structure is described.
  • the structure includes a capped interconnect layer; a dielectric layer having at least one interconnect feature, the interconnect feature having a contact via and a contact line, where the contact via is partially embedded into a portion of the interconnect line in the level below; and a thin layer formed on the dielectric layer, the thin layer separating the dielectric layer from the contact line.
  • the interconnect layer and the interconnect feature includes a metal selected from a group consisting of Cu, Al, W and alloys thereof.
  • the interconnect feature includes a Cu-containing conductive material and the dielectric layer is an ultra low-k dielectric layer.
  • the thin layer is a metallic layer, where the metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN.
  • the thin layer is a low-k dielectric material.
  • the thin layer is SixNy, SiC, SiCxNyHz or similar dielectric material.
  • an interconnect structure having an interconnect element formed on a first insulating layer and having a capping layer; a second insulating layer formed on the capping layer, where the second insulating layer includes at least one interconnect feature having a metal via and a metal line, where a the metal via is perpendicular to the interconnect element and is partially embedded into a portion of the interconnect element, and where the metal line is parallel to the interconnect element; and a thin layer formed over the second insulating layer, the thin layer separating the second insulating layer from the metal line.
  • an upper surface of the interconnect element is substantially coplanar with a surface of the first insulating layer.
  • the second insulating layer contains a dielectric material, where the dielectric material is an ultra low-k dielectric.
  • the interconnect element includes a conductive material, where the conductive material is selected from a group consisting of Cu, Al, W and alloys thereof. In one particular embodiment the conductive material is Cu.
  • the thin layer is a metallic layer, where the metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN. In another embodiment, the thin layer is a low-k dielectric material. In yet another embodiment, the thin layer is SixNy, SiC, SiCxNyHz or similar dielectric material.
  • an interconnect structure having an interconnect element having a metal and formed on a first dielectric layer; a capping layer formed on the interconnect element; an ultra low-k dielectric layer formed on the capping layer, the ultra low-k dielectric layer having at least one interconnect feature, where the interconnect feature includes a first portion parallel to the dielectric layer and a second portion perpendicular to the dielectric layer, where the second portion is substantially embedded in a portion of the interconnect element; and a thin layer formed on a surface of the first portion of the interconnect feature.
  • the first portion is a conductive via and the second portion is a conductive via line.
  • the thin layer is a metallic layer, where the metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN.
  • the thin layer is a low-k dielectric material.
  • the thin layer is SixNy.
  • a method of fabricating an interconnect structure includes forming a capped interconnect element on an insulating layer;
  • first dielectric layer on the capped interconnect element; forming a thin barrier layer over the first dielectric layer; forming a second dielectric layer on the thin barrier layer; forming a via opening on the second dielectric layer and the thin barrier layer; forming a line trench on a portion of the second dielectric layer, where the via opening extends into a portion of the first dielectric layer; and filling the via opening and the line trench with a conductive material for forming a contact via and a contact line, where a portion of the contact via is partially embedded in a portion of the interconnect element and where the thin barrier layer separates the first dielectric from the contact line.
  • the first dielectric layer and the second dielectric layer are ultra low-k dielectrics.
  • the interconnect element includes a material selected from a group consisting of Cu, Al, W and alloys thereof.
  • the conductive material is Cu and the thin barrier layer is a metallic layer, where the metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN.
  • the thin barrier layer is a low-k dielectric material.
  • the thin barrier layer is SixNy, SiC, SiCxNyHz or similar dielectric material.
  • a method of forming an interconnect structure includes forming a first ultra low-k dielectric of via height thickness on top of an underlying interconnect layer; forming an ultra thin film on the first ultra low-k dielectric layer; forming a second ultra low-k dielectric of line level thickness on the ultra thin film; etching a via through the second ultra low-k dielectric, the ultra thin film and partially through the first ultra low-k dielectric; etching a line trench in a portion of the second ultra low-k dielectric, where the via is substantially etched through the interconnect layer; and depositing a metal for defining an interconnect level.
  • the interconnect layer includes a Cu containing material and the ultra thin film is a metallic layer, where the metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN.
  • the ultra ultra thin film is a low-k dielectric material.
  • the ultra thin film is SixNy, SiC, SiCxNyHz or similar dielectric material.
  • the interconnect level includes a contact via and a contact line, where the contact via is partially embedded in a portion of the interconnect layer and where the ultra thin firm is formed between a surface of the contact line and the first ultra low-k dielectric.
  • FIG. 1 illustrates a cross-sectional view of a prior art metal interconnect structure
  • FIGS. 2-8 illustrate simplified cross-sectional views of progressive stages of a method of forming interconnect structures, in accordance with one embodiment of the present disclosure.
  • FIG. 9 is an exemplary flow diagram illustrating a method of forming an interconnect structure, in accordance with one embodiment of the present disclosure.
  • Interconnect structure 10 includes generally a metal (e.g. Cu) line 12 formed on a first dielectric layer (not shown) and an interconnect feature having a metal via feature 14 and a line metal feature 16 formed on an ultra low-k dielectric layer 18 .
  • a barrier liner 20 is deposited prior to the deposition of the metal. Since the porous dielectric 18 is prone to rather severe erosion during etch-back, localized “fangs” 22 (i.e. deep and sharp trenches) are formed at a bottom surface of line metal feature 16 . Because of the severe topography, fangs 22 cannot be covered properly by liner 20 . As a result, the presence of a voltage bias, the metal can readily leak out through the exposed area causing time-dependent dielectric breakdown (TDDB) leakage failure.
  • TDDB time-dependent dielectric breakdown
  • FIGS. 2-8 illustrate a novel structure and methods of forming metal interconnect structures in ultra low-k dielectrics.
  • these figures illustrate new and improved interconnect structures formed in an ultra low-k dielectric having a thin barrier layer and a method of forming the interconnect structures.
  • via can be substantially (i.e. adequately) embedded in the line underneath resulting in enhancement of electromigration reliability of interconnects in porous and soft Ultra Low-k dielectric.
  • the original dielectric interface created during CMP is removed by a blanket reactive ion etch (RIE) process and then filled with a fresh ultra low-k dielectric layer.
  • RIE reactive ion etch
  • the interconnect structure includes a patterned dielectric material and at least one metal interconnect, such as, for example, a Cu-containing conductive material, having an upper surface embedded within the dielectric material; a capping layer separating the patterned dielectric material from the metal.
  • the interconnect structure further includes an ultra low-k dielectric formed over the capping layer and a thin liner formed over the ultra low-k dielectric. At least one interconnect feature is then formed over the thin liner and the ultra low-k dielectric.
  • the at least one interconnect feature includes a metal via feature and a metal line feature.
  • the metal via feature is perpendicular to the ultra low-k dielectric and is partially embedded into the metal interconnect.
  • the thin liner is formed between a surface of the metal line feature and a surface of the ultra low-k dielectric.
  • the metal feature is a Cu-containing conductive material having uniform impurity.
  • the Cu-containing conductive material includes Sulfur having impurity less than about 100 pp, Carbon having impurity less than about 10 ppm and Chlorine having impurity less than about 10 ppm.
  • a structure 100 is illustrated having an interconnect element having a metal line 102 formed on a dielectric layer 103 .
  • a dielectric capping layer 104 is provided over the metal line 102 and dielectric layer 103 .
  • dielectric capping layer 104 includes a thickness ranging from about 15 nm to about 55 nm.
  • a first, insulating layer 106 of thickness equal to the via height is disposed on an upper surface of dielectric capping layer 104 .
  • a thin layer 108 is deposited over first insulating layer 106 .
  • Thin layer 108 will serve as a protective layer under the line trench during the etch-back process, in a manner described in more details hereinbelow.
  • a second insulating layer 110 equivalent to the thickness of a line trench is deposited followed by a conventional buffered oxide layer 112 as process of record (POR).
  • interconnect opening 114 is etched through second insulating layer 110 through thin layer 108 , and partially through first insulating layer 106 by conventional patterning techniques.
  • interconnect opening 114 is etched and formed using well known etching methods, such as, for example, reactive ion etch (RIE).
  • RIE reactive ion etch
  • first insulating layer 106 is an ultra low-k interlayer dielectric having a dielectric constant, k, of 2.7 or less and a thickness ranging from about 100 nm to about 500 nm.
  • First insulating layer 106 may include any interlevel or intralevel dielectric, and is porous. Suitable materials include, but are not limited to, organic polymers, low k PECVD films containing Si, C, O and H and spin on organo-silicate glasses which have k values in the 2.7 to 2.0 range or lower. It is understood, however, that other materials having ultra low-k dielectric constant and thickness may be employed.
  • Second insulating layer 110 may include the same or different dielectric material as that of first insulating layer 106 .
  • the processing techniques and thickness ranges described hereinabove with respect to first insulating layer 106 are also applicable to second insulating layer 110 . This disclosure shall refer to insulator layers 106 and 110 as ultra low-k dielectrics.
  • Metal line 102 is formed using conventional deposition techniques.
  • Metal line 102 includes a conductive metal and a highly resistive diffusion barrier (not shown) to prevent the conductive metal from diffusing.
  • the conductive metal in metal line 102 may be selected from a material including, for example, Cu, Al, W, their alloys, and any suitable conductive material.
  • Dielectric capping layer 104 is formed through conventional deposition processes, such as, for example, CVD, ALD, plasma enhanced chemical vapor deposition (PECVD), etc.
  • Dielectric capping layer 104 may include any of several materials well known in the art, for example, Si3N4, SiC, SiO2, and SiC (N, H) (i.e., nitrogen or hydrogen doped silicon carbide), etc.
  • Thin layer 108 includes a thickness ranging from about 1 nm to about 100 nm and thus there is minimal impact on the line resistance or capacitance.
  • Thin layer 108 may be selected from a material having negligible solubility in Cu, such as, for example, TaN, Ta, Co, W, Ti and TiN.
  • thin layer 108 may be a low-k dielectric material such as, for example, N-Blok and PECVD Oxide.
  • dielectric materials such as, for example, SixNy, SiC, SiCxNyHz or similar dielectric material, such as, NbloK, PECVD, Al2O3, Flowable Oxide, TEOS, and Polyimide are also envisioned.
  • a line trench 116 is formed by etching line trench 116 through ultra low-k dielectric layer 110 using conventional etching techniques.
  • a dual damascene trench and via structures 116 and 114 respectively, is shown in the figure after the photoresist is stripped.
  • the etching process is continued as POR to etch through the opening 114 .
  • the etching is continued to the lower metal line 102 .
  • the etching is stopped after the embeddement of opening 114 a into the metal line 102 .
  • interconnect opening 114 a is partially embedded in a portion of metal line 102 .
  • diffusion barrier liner 118 is deposited over the surface of the structure of FIG. 4 using conventional deposition techniques. The resulting recess is then filled with a conducting fill material 120 over the surface of the patterned structure. Fill material 120 is most commonly accomplished by electroplating of Cu although other methods such as chemical vapor deposition (CVD) and other materials such as Al or Au can also be used.
  • diffusion liner 118 includes a thickness ranging from about 1 nm to about 50 nm.
  • diffusion liner 118 includes a noble metal liner selected from a material including Ru, Ir, Co, Pt, Rh, Ni, Pd, or any other suitable noble metal.
  • a highly resistive diffusion liner 118 may be selected from a material including Ta, TaN, TiN, Ru, Ru(Ta), Ru(TaN), W, WN, or any other barrier material.
  • fill material 120 and diffusion liner 118 are then chemical-mechanical polished (CMP) to be coplanar with the surface of the ultra low-k dielectric 110 , thus defining the interconnect structure 122 .
  • CMP chemical-mechanical polished
  • the structure of FIG. 6 is subjected to a blanket etch such as RIE for removing a portion of ultra low-k dielectric 110 and a portion of thin layer 108 .
  • a blanket etch such as RIE for removing a portion of ultra low-k dielectric 110 and a portion of thin layer 108 .
  • a chemical etch process is also envisioned. It is noted that the metal in the interconnect structure 122 will resist the RIE.
  • a dielectric capping layer 124 is deposited to protect the top surface of interconnect structure 122 and a layer of an ultra low-k dielectric (not shown) is deposited for forming the next layer of interconnect structures.
  • an ultra low-k dielectric 106 of via height thickness is deposited on top of an interconnect metal line 102 capped with layer 104 .
  • a thin layer 108 in the order of 1 to 5 nm of either metallic film such as TaN, Ta, Co, W, Ti or TiN or dielectric film such as N-block or SixNy is deposited. Thin layer 108 will serve as a protective layer under the line trench during the etch-back process during liner deposition.
  • a second layer of ultra low-k dielectric 110 equivalent to ultra low-k dielectric 106 is formed follow by a conventional buffered oxide layer 112 as process of record (POR).
  • POR process of record
  • a via 114 is etched through ultra low-k dielectric 110 , thin layer 108 and partially through ultra low-k dielectric 106 .
  • line trench 116 is formed by etching metal line trenches through ultra low-k dielectric 110 .
  • the etching process is continued as POR to etch through the via opening 114 and to the lower metal line 102 .
  • etching stops after the embeddement of via opening 114 a into the lower metal line 102 .
  • the current POR diffusion liner 118 deposition and Cu (i.e. fill material) 120 plating is then carried out, followed by CMP to define the interconnect level 122 .
  • a blanket RIE/chemical etch process is carried out to remove the thin layer 108 between the lines.
  • next a layer of capping layer 124 and ultra low-k dielectric is deposited for forming the next layer of interconnect structures at step 152 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A metal interconnect structure in ultra low-k dielectrics is described having a capped interconnect layer; an interconnect feature with a contact via and a contact line formed in a dielectric layer, where the via is partially embedded into the interconnect layer; and a thin film formed on the dielectric layer and separating the dielectric layer from the contact line. A method of fabricating the interconnect structure is also described and includes forming a first dielectric on a capped interconnect element; forming a thin film over the first dielectric; forming a second dielectric on the thin film; forming a via opening on the second dielectric, the thin film and extending into the first dielectric; forming a line trench on a portion of the second dielectric; and filling the via opening and the line trench with a conductive material for forming a contact via and a contact line, where the contact via is partially embedded in the interconnect element.

Description

    BACKGROUND OF THE INVENTION
  • 1. Technical Field
  • The present disclosure relates generally to interconnect structures formed in semiconductor devices. In particular, the present disclosure relates to a structure and methods of forming metal interconnect structures in porous ultra low-k dielectric.
  • 2. Description of Related Art
  • Integrated circuit chips typically include two or more levels of conductive lines which are vertically spaced apart and separated by intermediate insulating layers.
  • Interconnections are formed between the levels of conductive lines in the chip for providing high wiring density and good thermal performance. The interconnections are formed by means of lines and vias which are etched through the insulating layers separating the levels. The lines and vias are then filled with a conductive material or metal (e.g. Copper) to form interconnect elements (i.e. via studs).
  • One preferred method of making interconnect wiring networks is the damascene process. A typical damascene process for producing a multilevel structure would include: a blanket deposition of a dielectric material; pattering of the dielectric material to form openings; deposition of a conductive material onto the substrate in sufficient thickness to fill the openings; and removal of excessive conductive material from the substrate surface using a chemical reactant-based process, mechanical methods, or combined chemical-mechanical polishing techniques. A typical interconnect element includes metal vias running perpendicular to the semiconductor substrate and metal lines running parallel to the semiconductor substrate. This process results in multiple levels of conductor wiring interconnection patterns, having individual levels connected by via studs and operating to distribute signals among the various circuits on the chip. Traditionally, the dielectric material is made from an inorganic glass like silicon dioxide (SiO2) or a fluorinated silica glass (FSG) film deposited by plasma enhanced chemical vapor deposition (PECVD).
  • A dual damascene (DD) process is another well known method of making interconnect wiring networks. In the standard DD process, the wiring interconnect network consists of two types of features: line features that traverse a certain distance across the chip, and via features which connect together lines in different levels of interconnects in a multilevel stack. Because two interconnect features are simultaneously defined to form a conductor inlaid within an insulator by a single polish step, this process is referred to as dual damascene process.
  • With the progress in the transistor device technology leading to the present ultra large scale integration, the overall speed of operation of these advanced chips are beginning to be limited by the signal propagation delay in the interconnection wires between the individual devices on the chips. The signal propagation delay in the interconnect structures is dependent on the resistance of the interconnect wires and the overall capacitance of the interconnect scheme in which the wires are embedded. The current focus in the microelectronics industry in building the multilayered interconnect structures on chips, is to reduce the capacitance by the use of lower dielectric constant (k) insulators, by introducing porosity in these insulators. However, the reliability of metal interconnects in porous ultra low-k dielectrics is a critical concern. In particular, the electromigration lifetime of wide-line interconnects is poor due to a lack of a liner contact between the landing via and the liner in the underlying line. Since the porous dielectric is prone to severe erosion during etch-back step needed for via embedment within the underlying line, localized “fangs” or deep and sharp trenches are formed at the bottom of the line. Because of the severe topography, these fangs are not appropriately covered with the liner. As a result, in view of a voltage bias, the metal can readily leak out through the exposed area causing time-dependent dielectric breakdown (TDDB) leakage failure as well as time-zero leakage. At present, there are no known solutions to this problem.
  • Accordingly, a novel method of interconnect fabrication is proposed for making a reliable metal interconnect in porous ultra low-k dielectric that would address the aforementioned challenges.
  • SUMMARY OF THE INVENTION
  • The present disclosure is directed to a structure and methods of forming interconnect structures in ultra low-k dielectrics. In one embodiment, an interconnect structure is described. The structure includes a capped interconnect layer; a dielectric layer having at least one interconnect feature, the interconnect feature having a contact via and a contact line, where the contact via is partially embedded into a portion of the interconnect line in the level below; and a thin layer formed on the dielectric layer, the thin layer separating the dielectric layer from the contact line. The interconnect layer and the interconnect feature includes a metal selected from a group consisting of Cu, Al, W and alloys thereof. In one particular embodiment, the interconnect feature includes a Cu-containing conductive material and the dielectric layer is an ultra low-k dielectric layer. In one embodiment, the thin layer is a metallic layer, where the metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN. In another embodiment, the thin layer is a low-k dielectric material. In yet another embodiment, the thin layer is SixNy, SiC, SiCxNyHz or similar dielectric material.
  • In another embodiment, an interconnect structure having an interconnect element formed on a first insulating layer and having a capping layer; a second insulating layer formed on the capping layer, where the second insulating layer includes at least one interconnect feature having a metal via and a metal line, where a the metal via is perpendicular to the interconnect element and is partially embedded into a portion of the interconnect element, and where the metal line is parallel to the interconnect element; and a thin layer formed over the second insulating layer, the thin layer separating the second insulating layer from the metal line. In this particular embodiment, an upper surface of the interconnect element is substantially coplanar with a surface of the first insulating layer. In addition, the second insulating layer contains a dielectric material, where the dielectric material is an ultra low-k dielectric. The interconnect element includes a conductive material, where the conductive material is selected from a group consisting of Cu, Al, W and alloys thereof. In one particular embodiment the conductive material is Cu. The thin layer is a metallic layer, where the metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN. In another embodiment, the thin layer is a low-k dielectric material. In yet another embodiment, the thin layer is SixNy, SiC, SiCxNyHz or similar dielectric material.
  • In yet another embodiment, an interconnect structure is described having an interconnect element having a metal and formed on a first dielectric layer; a capping layer formed on the interconnect element; an ultra low-k dielectric layer formed on the capping layer, the ultra low-k dielectric layer having at least one interconnect feature, where the interconnect feature includes a first portion parallel to the dielectric layer and a second portion perpendicular to the dielectric layer, where the second portion is substantially embedded in a portion of the interconnect element; and a thin layer formed on a surface of the first portion of the interconnect feature. In one embodiment, the first portion is a conductive via and the second portion is a conductive via line. Moreover, the thin layer is a metallic layer, where the metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN. In another embodiment, the thin layer is a low-k dielectric material. In yet another embodiment, the thin layer is SixNy.
  • In yet another embodiment, a method of fabricating an interconnect structure is described. The method includes forming a capped interconnect element on an insulating layer;
  • forming a first dielectric layer on the capped interconnect element; forming a thin barrier layer over the first dielectric layer; forming a second dielectric layer on the thin barrier layer; forming a via opening on the second dielectric layer and the thin barrier layer; forming a line trench on a portion of the second dielectric layer, where the via opening extends into a portion of the first dielectric layer; and filling the via opening and the line trench with a conductive material for forming a contact via and a contact line, where a portion of the contact via is partially embedded in a portion of the interconnect element and where the thin barrier layer separates the first dielectric from the contact line. The first dielectric layer and the second dielectric layer are ultra low-k dielectrics. The interconnect element includes a material selected from a group consisting of Cu, Al, W and alloys thereof. In one embodiment, the conductive material is Cu and the thin barrier layer is a metallic layer, where the metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN. In another embodiment, the thin barrier layer is a low-k dielectric material. In yet another embodiment, the thin barrier layer is SixNy, SiC, SiCxNyHz or similar dielectric material.
  • In yet another embodiment, a method of forming an interconnect structure is described. The method includes forming a first ultra low-k dielectric of via height thickness on top of an underlying interconnect layer; forming an ultra thin film on the first ultra low-k dielectric layer; forming a second ultra low-k dielectric of line level thickness on the ultra thin film; etching a via through the second ultra low-k dielectric, the ultra thin film and partially through the first ultra low-k dielectric; etching a line trench in a portion of the second ultra low-k dielectric, where the via is substantially etched through the interconnect layer; and depositing a metal for defining an interconnect level. The interconnect layer includes a Cu containing material and the ultra thin film is a metallic layer, where the metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN. In one particular embodiment, the ultra ultra thin film is a low-k dielectric material. In another embodiment, the ultra thin film is SixNy, SiC, SiCxNyHz or similar dielectric material. The interconnect level includes a contact via and a contact line, where the contact via is partially embedded in a portion of the interconnect layer and where the ultra thin firm is formed between a surface of the contact line and the first ultra low-k dielectric.
  • Other features of the presently disclosed structure and method of making reliable metal interconnect structures in ultra low-k dielectrics will become apparent from the following detailed description taken in conjunction with the accompanying drawing, which illustrate, by way of example, the presently disclosed structure and method.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The features of the presently disclosed structure and method of forming metal interconnect structures in ultra low-k dielectrics will be described hereinbelow with references to the figures, wherein:
  • FIG. 1 illustrates a cross-sectional view of a prior art metal interconnect structure;
  • FIGS. 2-8 illustrate simplified cross-sectional views of progressive stages of a method of forming interconnect structures, in accordance with one embodiment of the present disclosure; and
  • FIG. 9 is an exemplary flow diagram illustrating a method of forming an interconnect structure, in accordance with one embodiment of the present disclosure.
  • DETAILED DESCRIPTION
  • In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide a thorough understanding of the present disclosure. However, it will be appreciated by one skilled in the art that the invention may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail to avoid obscuring the invention. Thus, the materials and dimensions described herein are employed to illustrate the invention in one application and should not be construed as limiting.
  • Referring now to the drawing figures, wherein like references numerals identify identical or corresponding elements, an embodiment of the presently disclosed structure and method of forming metal interconnect structures in ultra low-k dielectrics, will be disclosed in detail. In particular, a new interconnect process is described, whereby a thin metallic or dielectric layer is incorporated underneath the line trench prior to the liner deposition. The proposed thin metallic or dielectric layer will eliminate any trench erosion, “fangs” or deep localized trenches formation at the porous dielectric during the etch-back. As a result, an appropriate degree of via embedment in the underlying line can be achieved without any penalty for the line erosion and fang formation. Thus, a substantial improvement of the yield and/or reliability improvements such as via chain and line maze yield and metal line electromigration life time enhancement are achieved. In addition, this process also provides an additional benefit on short yield and TDDB reliability since there is no metal residue between metal lines.
  • With initial reference to FIG. 1, a prior art interconnect structure formed on a low-k dielectric is described and is designated generally as interconnect structure 10. Interconnect structure 10 includes generally a metal (e.g. Cu) line 12 formed on a first dielectric layer (not shown) and an interconnect feature having a metal via feature 14 and a line metal feature 16 formed on an ultra low-k dielectric layer 18. A barrier liner 20 is deposited prior to the deposition of the metal. Since the porous dielectric 18 is prone to rather severe erosion during etch-back, localized “fangs” 22 (i.e. deep and sharp trenches) are formed at a bottom surface of line metal feature 16. Because of the severe topography, fangs 22 cannot be covered properly by liner 20. As a result, the presence of a voltage bias, the metal can readily leak out through the exposed area causing time-dependent dielectric breakdown (TDDB) leakage failure.
  • FIGS. 2-8 illustrate a novel structure and methods of forming metal interconnect structures in ultra low-k dielectrics. In particular, these figures illustrate new and improved interconnect structures formed in an ultra low-k dielectric having a thin barrier layer and a method of forming the interconnect structures. In this novel proposed process, via can be substantially (i.e. adequately) embedded in the line underneath resulting in enhancement of electromigration reliability of interconnects in porous and soft Ultra Low-k dielectric. In addition, the original dielectric interface created during CMP is removed by a blanket reactive ion etch (RIE) process and then filled with a fresh ultra low-k dielectric layer. The incidence of debris is significantly reduced, since a minimal degree of CMP is needed to planarize this layer, thus having a significant improvement in TDDB reliability and time-zero leakage yield. In one embodiment, the interconnect structure includes a patterned dielectric material and at least one metal interconnect, such as, for example, a Cu-containing conductive material, having an upper surface embedded within the dielectric material; a capping layer separating the patterned dielectric material from the metal. The interconnect structure further includes an ultra low-k dielectric formed over the capping layer and a thin liner formed over the ultra low-k dielectric. At least one interconnect feature is then formed over the thin liner and the ultra low-k dielectric. The at least one interconnect feature includes a metal via feature and a metal line feature. In one embodiment, the metal via feature is perpendicular to the ultra low-k dielectric and is partially embedded into the metal interconnect. In addition, the thin liner is formed between a surface of the metal line feature and a surface of the ultra low-k dielectric. In one particular embodiment, the metal feature is a Cu-containing conductive material having uniform impurity. In addition, the Cu-containing conductive material includes Sulfur having impurity less than about 100 pp, Carbon having impurity less than about 10 ppm and Chlorine having impurity less than about 10 ppm.
  • With particular reference to FIG. 2, a structure 100 is illustrated having an interconnect element having a metal line 102 formed on a dielectric layer 103. A dielectric capping layer 104 is provided over the metal line 102 and dielectric layer 103. In one embodiment, dielectric capping layer 104 includes a thickness ranging from about 15 nm to about 55 nm. A first, insulating layer 106 of thickness equal to the via height is disposed on an upper surface of dielectric capping layer 104. Next, a thin layer 108 is deposited over first insulating layer 106. Thin layer 108 will serve as a protective layer under the line trench during the etch-back process, in a manner described in more details hereinbelow. A second insulating layer 110 equivalent to the thickness of a line trench is deposited followed by a conventional buffered oxide layer 112 as process of record (POR).
  • With reference to FIG. 3, interconnect opening 114 is etched through second insulating layer 110 through thin layer 108, and partially through first insulating layer 106 by conventional patterning techniques. In particular, interconnect opening 114 is etched and formed using well known etching methods, such as, for example, reactive ion etch (RIE). Interconnect opening 114 is typically referred to as a contact via feature.
  • In one embodiment, first insulating layer 106 is an ultra low-k interlayer dielectric having a dielectric constant, k, of 2.7 or less and a thickness ranging from about 100 nm to about 500 nm. First insulating layer 106 may include any interlevel or intralevel dielectric, and is porous. Suitable materials include, but are not limited to, organic polymers, low k PECVD films containing Si, C, O and H and spin on organo-silicate glasses which have k values in the 2.7 to 2.0 range or lower. It is understood, however, that other materials having ultra low-k dielectric constant and thickness may be employed. Second insulating layer 110 may include the same or different dielectric material as that of first insulating layer 106. Moreover, the processing techniques and thickness ranges described hereinabove with respect to first insulating layer 106 are also applicable to second insulating layer 110. This disclosure shall refer to insulator layers 106 and 110 as ultra low-k dielectrics.
  • Metal line 102 is formed using conventional deposition techniques. Metal line 102 includes a conductive metal and a highly resistive diffusion barrier (not shown) to prevent the conductive metal from diffusing. The conductive metal in metal line 102 may be selected from a material including, for example, Cu, Al, W, their alloys, and any suitable conductive material.
  • Dielectric capping layer 104 is formed through conventional deposition processes, such as, for example, CVD, ALD, plasma enhanced chemical vapor deposition (PECVD), etc. Dielectric capping layer 104 may include any of several materials well known in the art, for example, Si3N4, SiC, SiO2, and SiC (N, H) (i.e., nitrogen or hydrogen doped silicon carbide), etc.
  • Thin layer 108 includes a thickness ranging from about 1 nm to about 100 nm and thus there is minimal impact on the line resistance or capacitance. Thin layer 108 may be selected from a material having negligible solubility in Cu, such as, for example, TaN, Ta, Co, W, Ti and TiN. Alternatively, thin layer 108 may be a low-k dielectric material such as, for example, N-Blok and PECVD Oxide. Moreover, dielectric materials such as, for example, SixNy, SiC, SiCxNyHz or similar dielectric material, such as, NbloK, PECVD, Al2O3, Flowable Oxide, TEOS, and Polyimide are also envisioned.
  • With reference to FIG. 4, a line trench 116 is formed by etching line trench 116 through ultra low-k dielectric layer 110 using conventional etching techniques. Thus a dual damascene trench and via structures 116 and 114, respectively, is shown in the figure after the photoresist is stripped.
  • With continued reference to FIG. 4, using a blocking mask to protect the trench of the metal line, the etching process is continued as POR to etch through the opening 114. The etching is continued to the lower metal line 102. The etching is stopped after the embeddement of opening 114 a into the metal line 102. Thus, interconnect opening 114 a is partially embedded in a portion of metal line 102.
  • With reference to FIG. 5, a diffusion barrier liner 118 is deposited over the surface of the structure of FIG. 4 using conventional deposition techniques. The resulting recess is then filled with a conducting fill material 120 over the surface of the patterned structure. Fill material 120 is most commonly accomplished by electroplating of Cu although other methods such as chemical vapor deposition (CVD) and other materials such as Al or Au can also be used. In one embodiment, diffusion liner 118 includes a thickness ranging from about 1 nm to about 50 nm. In one particular embodiment, diffusion liner 118 includes a noble metal liner selected from a material including Ru, Ir, Co, Pt, Rh, Ni, Pd, or any other suitable noble metal. Alternatively, a highly resistive diffusion liner 118 may be selected from a material including Ta, TaN, TiN, Ru, Ru(Ta), Ru(TaN), W, WN, or any other barrier material.
  • With reference to FIG. 6, fill material 120 and diffusion liner 118 are then chemical-mechanical polished (CMP) to be coplanar with the surface of the ultra low-k dielectric 110, thus defining the interconnect structure 122.
  • With reference to FIG. 7, the structure of FIG. 6 is subjected to a blanket etch such as RIE for removing a portion of ultra low-k dielectric 110 and a portion of thin layer 108. A chemical etch process is also envisioned. It is noted that the metal in the interconnect structure 122 will resist the RIE.
  • With reference to FIG. 8, a dielectric capping layer 124 is deposited to protect the top surface of interconnect structure 122 and a layer of an ultra low-k dielectric (not shown) is deposited for forming the next layer of interconnect structures.
  • With reference to FIGS. 9, in conjunction with FIGS. 2-8, a flow diagram of an exemplary method of forming metal interconnect structures in porous ultra low-k dielectrics, in accordance with the present disclosure, is illustrated. At step 150, an ultra low-k dielectric 106 of via height thickness is deposited on top of an interconnect metal line 102 capped with layer 104. In accordance with the present disclosure, at step 152, a thin layer 108 in the order of 1 to 5 nm of either metallic film such as TaN, Ta, Co, W, Ti or TiN or dielectric film such as N-block or SixNy is deposited. Thin layer 108 will serve as a protective layer under the line trench during the etch-back process during liner deposition. At step 154, a second layer of ultra low-k dielectric 110 equivalent to ultra low-k dielectric 106 is formed follow by a conventional buffered oxide layer 112 as process of record (POR). At step 156, after deposition of the photoresist, a via 114 is etched through ultra low-k dielectric 110, thin layer 108 and partially through ultra low-k dielectric 106. At step 158, line trench 116 is formed by etching metal line trenches through ultra low-k dielectric 110. At step 160, using a blocking mask to protect trench 114, the etching process is continued as POR to etch through the via opening 114 and to the lower metal line 102. The etching stops after the embeddement of via opening 114 a into the lower metal line 102. At step 162, the current POR diffusion liner 118 deposition and Cu (i.e. fill material) 120 plating is then carried out, followed by CMP to define the interconnect level 122. At step 164, a blanket RIE/chemical etch process is carried out to remove the thin layer 108 between the lines. At step 166, next a layer of capping layer 124 and ultra low-k dielectric is deposited for forming the next layer of interconnect structures at step 152.
  • It will be understood that numerous modifications and changes in form and detail may be made to the embodiments of the presently disclosed structure and methods of forming metal interconnect structures in ultra low-k dielectrics. It is contemplated that numerous other configuration of the interconnect structure may be formed, and the material of the structure and method may be selected from numerous materials other than those specifically disclosed. Therefore, the above description should not be construed as limiting the disclosed structure and method, but merely as exemplification of the various embodiments thereof. Those skilled in the art will envisioned numerous modifications within the scope of the present disclosure as defined by the claims appended hereto. Having thus complied with the details and particularity required by the patent laws, what is claimed and desired protected is set forth in the appended claims.

Claims (43)

1. An interconnect structure comprising:
a capped interconnect layer;
a dielectric layer having at least one interconnect feature, said interconnect feature having a contact via and a contact line, wherein said contact via is partially embedded into a portion of said interconnect layer; and
a thin layer formed on said dielectric layer, said thin layer separating said dielectric layer from said contact line.
2. The interconnect structure of claim 1, wherein said interconnect layer includes a metal selected from a group consisting of Cu, Al, W and alloys thereof.
3. The interconnect structure of claim 1, wherein said dielectric layer is an ultra low-k dielectric layer.
4. The interconnect structure of claim 1, wherein said at least one interconnect feature includes a metal selected from a group consisting of Cu, Al, W and alloys thereof.
5. The interconnect structure of claim 1, wherein said at least one interconnect feature includes a Cu-containing conductive material.
6. The interconnect structure of claim 1, wherein the thin layer is a metallic layer used as etch stopper to prevent a localized deep trench defect formation in said contact line.
7. The interconnect structure of claim 6, wherein said metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN.
8. The interconnect structure of claim 1, wherein said thin layer is a low-k dielectric material adapted as an etch stopper to prevent a localized deep trench formation in said contact line.
9. The interconnect structure of claim 1, wherein said thin layer is selected from a group consisting of SixNy, SiCx, SiCxNyHz, NbloK, PECVD, Al2O3, Flowable Oxide, TEOS, and Polyimide.
10. An interconnect structure comprising:
an interconnect element formed on a first insulating layer and having a capping layer;
a second insulating layer formed on said capping layer, wherein said second insulating layer includes at least one interconnect feature having a metal via and a metal line,
wherein a said metal via is perpendicular to said interconnect element and is partially embedded into a portion of said interconnect element, and
wherein said metal line is parallel to said interconnect element; and
a thin layer formed over said second insulating layer, said thin layer separating said second insulating layer from said metal line.
11. The interconnect structure of claim 10, wherein said second insulating layer contains a dielectric material.
12. The interconnect structure of claim 11, wherein said dielectric material is an ultra low-k dielectric.
13. The interconnect structure of claim 10, wherein said interconnect element includes a conductive material.
14. The interconnect structure of claim 13, wherein said conductive material is selected from a group consisting of Cu, Al, W and alloys thereof.
15. The interconnect structure of claim 13, wherein said conductive material is Cu.
16. The interconnect structure of claim 10, wherein an upper surface of said interconnect element is substantially coplanar with a surface of said first insulating layer.
17. The interconnect structure of claim 10, wherein the thin layer is a metallic layer.
18. The interconnect structure of claim 17, wherein said metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN.
19. The interconnect structure of claim 10, wherein said thin layer is a low-k dielectric material.
20. The interconnect structure of claim 10, wherein said thin layer is selected from a group consisting of SixNy, SiCx, SiCxNyHz, NbloK, PECVD, Al2O3, Flowable Oxide, TEOS, and Polyimide.
21. An interconnect structure comprising:
an interconnect element having a metal and formed on a first dielectric layer;
a capping layer formed on said interconnect element;
an ultra low-k dielectric layer formed on said capping layer, said ultra low-k dielectric layer having at least one interconnect feature, wherein said interconnect feature includes a first portion parallel to said dielectric layer and a second portion perpendicular to said dielectric layer, wherein said second portion is substantially embedded in a portion of said interconnect element; and
a thin layer formed on a surface of said first portion of said interconnect feature.
22. The interconnect structure of claim 21, wherein said second portion is a conductive via line.
23. The interconnect structure of claim 21, wherein said first portion is a conductive line.
24. The interconnect structure of claim 21, wherein the thin layer is a metallic layer.
25. The interconnect structure of claim 24, wherein said metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN.
26. The interconnect structure of claim 21, wherein said thin layer is a low-k dielectric material.
27. The interconnect structure of claim 22, wherein said thin layer is selected from a group consisting of SixNy, SiCx, SiCxNyHz, NbloK, PECVD, Al2O3, Flowable Oxide, TEOS, and Polyimide.
28. A method of fabricating an interconnect structure, comprising:
forming a capped interconnect element on an insulating layer;
forming a first dielectric layer on said capped interconnect element;
forming a thin barrier layer over said first dielectric layer;
forming a second dielectric layer on said thin barrier layer;
forming a via opening on said second dielectric layer and said thin barrier layer;
forming a line trench on a portion of said second dielectric layer, wherein said via opening extends into a portion of said first dielectric layer; and
filling said via opening and said line trench with a conductive material for forming a contact via and a contact line.
wherein a portion of said contact via is partially embedded in a portion of said interconnect element and further wherein said thin barrier layer separates said first dielectric from said contact line.
29. The method of fabricating the interconnect structure of claim 28, wherein said interconnect element includes a material selected from a group consisting of Cu, Al, W and alloys thereof.
30. The method of fabricating the interconnect structure of claim 28, wherein said conductive material is Cu.
31. The method of fabricating the interconnect structure of claim 28, wherein the thin barrier layer is a metallic layer.
32. The method of fabricating the interconnect structure of claim 31, wherein said metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN.
33. The method of fabricating the interconnect structure of claim 28, wherein said thin barrier layer is a low-k dielectric material.
34. The method of fabricating the interconnect structure of claim 28, wherein said thin barrier layer is selected from a group consisting of SixNy, SiCx, SiCxNyHz, NbloK, PECVD, Al2O3, Flowable Oxide, TEOS, and Polyimide.
35. The method of fabricating the interconnect structure of claim 28, wherein said first dielectric layer and said second dielectric layer are ultra low-k dielectrics.
36. A method of forming an interconnect structure, the method comprising:
forming a first ultra low-k dielectric of via height thickness on top of an underlying interconnect layer;
forming an ultra thin film on said first ultra low-k dielectric layer;
forming a second ultra low-k dielectric of line level thickness on said ultra thin film;
etching a via through said second ultra low-k dielectric, said ultra thin film and substantially through said first ultra low-k dielectric;
etching a line trench in a portion of said second ultra low-k dielectric, wherein said via is etched through said interconnect layer; and
depositing a metal for defining an interconnect level.
37. The method of forming the interconnect structure of claim 36, wherein said interconnect layer includes a Cu containing material.
38. The method of forming the interconnect structure of claim 36, wherein the ultra thin film is a metallic layer.
39. The method of forming the interconnect structure of claim 38, wherein said metallic layer is selected from the group consisting of TaN, Ta, Co and W, Ti and TiN.
40. The method of forming the interconnect structure of claim 36, wherein said ultra thin film is a low-k dielectric material.
41. The method of forming the interconnect structure of claim 36, wherein said ultra thin film is selected from a group consisting of SixNy, SiCx, SiCxNyHz, NbloK, PECVD, Al2O3, Flowable Oxide, TEOS, and Polyimide.
42. The method of forming the interconnect structure of claim 36, wherein said interconnect level includes a contact via and a contact line.
43. The method of forming the interconnect structure of claim 42, wherein said contact via is partially embedded in a portion of said interconnect layer and wherein said ultra thin firm is formed between a surface of said contact line and said first ultra low-k dielectric.
US12/351,272 2009-01-09 2009-01-09 Structure and method of forming metal interconnect structures in ultra low-k dielectrics Abandoned US20100176513A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/351,272 US20100176513A1 (en) 2009-01-09 2009-01-09 Structure and method of forming metal interconnect structures in ultra low-k dielectrics
PCT/EP2010/050036 WO2010079157A1 (en) 2009-01-09 2010-01-05 Structure and method of forming metal interconnect structures in ultra low-k dielectrics
TW099100360A TW201041112A (en) 2009-01-09 2010-01-08 Structure and method of forming metal interconnect structures in ultra low-k dielectrics
US12/949,158 US8466056B2 (en) 2009-01-09 2010-11-18 Method of forming metal interconnect structures in ultra low-k dielectrics

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/351,272 US20100176513A1 (en) 2009-01-09 2009-01-09 Structure and method of forming metal interconnect structures in ultra low-k dielectrics

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/949,158 Division US8466056B2 (en) 2009-01-09 2010-11-18 Method of forming metal interconnect structures in ultra low-k dielectrics

Publications (1)

Publication Number Publication Date
US20100176513A1 true US20100176513A1 (en) 2010-07-15

Family

ID=41818703

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/351,272 Abandoned US20100176513A1 (en) 2009-01-09 2009-01-09 Structure and method of forming metal interconnect structures in ultra low-k dielectrics
US12/949,158 Active US8466056B2 (en) 2009-01-09 2010-11-18 Method of forming metal interconnect structures in ultra low-k dielectrics

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/949,158 Active US8466056B2 (en) 2009-01-09 2010-11-18 Method of forming metal interconnect structures in ultra low-k dielectrics

Country Status (3)

Country Link
US (2) US20100176513A1 (en)
TW (1) TW201041112A (en)
WO (1) WO2010079157A1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012009308A2 (en) * 2010-07-16 2012-01-19 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US20120074573A1 (en) * 2010-09-29 2012-03-29 Dallmann Gerald Semiconductor structure and method for making same
US20140264875A1 (en) * 2013-03-18 2014-09-18 Fujitsu Limited Semiconductor device and manufacturing method thereof
US20140264870A1 (en) * 2013-03-15 2014-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of back-end-of-line (beol) fabrication, and devices formed by the method
CN105097658A (en) * 2014-05-15 2015-11-25 中芯国际集成电路制造(上海)有限公司 Semiconductor device, interconnection layer, and manufacturing method for interconnection layer
US20160372530A1 (en) * 2015-06-16 2016-12-22 Samsung Display Co., Ltd. Organic light emitting display and manufacturing method for the same
US9721888B2 (en) 2015-12-08 2017-08-01 International Business Machines Corporation Trench silicide with self-aligned contact vias
CN110854101A (en) * 2014-02-14 2020-02-28 台湾积体电路制造股份有限公司 Semiconductor device and formation thereof
US20220102268A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Damascene interconnect structures with low resistance vias for integrated circuits

Families Citing this family (334)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8772154B2 (en) * 2011-06-17 2014-07-08 GlobalFoundries, Inc. Integrated circuits including barrier polish stop layers and methods for the manufacture thereof
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9520350B2 (en) * 2013-03-13 2016-12-13 Intel Corporation Bumpless build-up layer (BBUL) semiconductor package with ultra-thin dielectric layer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9558999B2 (en) 2013-09-12 2017-01-31 Globalfoundries Inc. Ultra-thin metal wires formed through selective deposition
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN105097656B (en) * 2014-05-08 2018-05-04 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and preparation method thereof, electronic device
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
US10679892B1 (en) * 2019-02-28 2020-06-09 International Business Machines Corporation Multi-buried ULK field in BEOL structure
US10770562B1 (en) 2019-03-01 2020-09-08 International Business Machines Corporation Interlayer dielectric replacement techniques with protection for source/drain contacts
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
US11270963B2 (en) 2020-01-14 2022-03-08 Sandisk Technologies Llc Bonding pads including interfacial electromigration barrier layers and methods of making the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6933586B2 (en) * 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US7045453B2 (en) * 2002-10-24 2006-05-16 International Business Machines Corporation Very low effective dielectric constant interconnect structures and methods for fabricating the same
US7071539B2 (en) * 2003-07-28 2006-07-04 International Business Machines Corporation Chemical planarization performance for copper/low-k interconnect structures
US20070049007A1 (en) * 2005-08-31 2007-03-01 International Business Machines Corporation Interconnect structure and method for forming the same
US7338895B2 (en) * 2003-08-21 2008-03-04 International Business Machines Corporation Method for dual damascene integration of ultra low dielectric constant porous materials
US20090218699A1 (en) * 2006-02-28 2009-09-03 Joaquin Torres Metal interconnects in a dielectric material
US20100044869A1 (en) * 2008-08-22 2010-02-25 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnects

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3186040B2 (en) * 1998-06-01 2001-07-11 日本電気株式会社 Method for manufacturing semiconductor device
US6110648A (en) * 1998-09-17 2000-08-29 Taiwan Semiconductor Manufacturing Company Method of enclosing copper conductor in a dual damascene process
JP3293792B2 (en) * 1999-01-12 2002-06-17 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6180514B1 (en) * 1999-11-12 2001-01-30 Wen-Kuan Yeh Method for forming interconnect using dual damascene
US6686273B2 (en) * 2001-09-26 2004-02-03 Sharp Laboratories Of America, Inc. Method of fabricating copper interconnects with very low-k inter-level insulator
US7138329B2 (en) * 2002-11-15 2006-11-21 United Microelectronics Corporation Air gap for tungsten/aluminum plug applications
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7180193B2 (en) * 2004-04-13 2007-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Via recess in underlying conductive line
US7332428B2 (en) * 2005-02-28 2008-02-19 Infineon Technologies Ag Metal interconnect structure and method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6933586B2 (en) * 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US7045453B2 (en) * 2002-10-24 2006-05-16 International Business Machines Corporation Very low effective dielectric constant interconnect structures and methods for fabricating the same
US7071539B2 (en) * 2003-07-28 2006-07-04 International Business Machines Corporation Chemical planarization performance for copper/low-k interconnect structures
US7338895B2 (en) * 2003-08-21 2008-03-04 International Business Machines Corporation Method for dual damascene integration of ultra low dielectric constant porous materials
US20070049007A1 (en) * 2005-08-31 2007-03-01 International Business Machines Corporation Interconnect structure and method for forming the same
US20090218699A1 (en) * 2006-02-28 2009-09-03 Joaquin Torres Metal interconnects in a dielectric material
US20100044869A1 (en) * 2008-08-22 2010-02-25 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnects

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012009308A3 (en) * 2010-07-16 2012-04-05 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US9926639B2 (en) 2010-07-16 2018-03-27 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
WO2012009308A2 (en) * 2010-07-16 2012-01-19 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US8872341B2 (en) * 2010-09-29 2014-10-28 Infineon Technologies Ag Semiconductor structure having metal oxide or nirtride passivation layer on fill layer and method for making same
US20120074573A1 (en) * 2010-09-29 2012-03-29 Dallmann Gerald Semiconductor structure and method for making same
US20140264870A1 (en) * 2013-03-15 2014-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of back-end-of-line (beol) fabrication, and devices formed by the method
US9130022B2 (en) * 2013-03-15 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of back-end-of-line (BEOL) fabrication, and devices formed by the method
US9196526B2 (en) * 2013-03-18 2015-11-24 Fujitsu Limited Semiconductor device and manufacturing method having copper interconnects with metal film, barrier metal, and metal caps
US20140264875A1 (en) * 2013-03-18 2014-09-18 Fujitsu Limited Semiconductor device and manufacturing method thereof
CN110854101A (en) * 2014-02-14 2020-02-28 台湾积体电路制造股份有限公司 Semiconductor device and formation thereof
CN110854101B (en) * 2014-02-14 2022-08-12 台湾积体电路制造股份有限公司 Semiconductor device and formation thereof
CN105097658A (en) * 2014-05-15 2015-11-25 中芯国际集成电路制造(上海)有限公司 Semiconductor device, interconnection layer, and manufacturing method for interconnection layer
US20160372530A1 (en) * 2015-06-16 2016-12-22 Samsung Display Co., Ltd. Organic light emitting display and manufacturing method for the same
KR20160148832A (en) * 2015-06-16 2016-12-27 삼성디스플레이 주식회사 Organic light emitting display and manufacturing method for the same
KR102511354B1 (en) * 2015-06-16 2023-03-21 삼성디스플레이 주식회사 Organic light emitting display and manufacturing method for the same
US9721888B2 (en) 2015-12-08 2017-08-01 International Business Machines Corporation Trench silicide with self-aligned contact vias
US10068850B2 (en) 2015-12-08 2018-09-04 International Business Machines Corporation Trench silicide with self-aligned contact vias
US20220102268A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Damascene interconnect structures with low resistance vias for integrated circuits

Also Published As

Publication number Publication date
US8466056B2 (en) 2013-06-18
WO2010079157A1 (en) 2010-07-15
TW201041112A (en) 2010-11-16
US20110117737A1 (en) 2011-05-19

Similar Documents

Publication Publication Date Title
US8466056B2 (en) Method of forming metal interconnect structures in ultra low-k dielectrics
US7541276B2 (en) Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
US8138082B2 (en) Method for forming metal interconnects in a dielectric material
US7023093B2 (en) Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US7514354B2 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US6917108B2 (en) Reliable low-k interconnect structure with hybrid dielectric
US7964966B2 (en) Via gouged interconnect structure and method of fabricating same
US7348672B2 (en) Interconnects with improved reliability
US20080174022A1 (en) Semiconductor device and fabrication method thereof
US7834459B2 (en) Semiconductor device and semiconductor device manufacturing method
US20120032344A1 (en) Semiconductor device and method of manufacturing semiconductor device
US8415799B2 (en) Dual damascene interconnect in hybrid dielectric
US20060043588A1 (en) Semiconductor device including a low-k metallization layer stack for enhanced resistance against electromigration
KR20110110575A (en) Semiconductor chip structure having a complex reinforced insulator and method of fabricating the same
JP2005032875A (en) Semiconductor device and its manufacturing method
US20080299718A1 (en) Damascene process having retained capping layer through metallization for protecting low-k dielectrics
US6531386B1 (en) Method to fabricate dish-free copper interconnects
US6825561B1 (en) Structure and method for eliminating time dependent dielectric breakdown failure of low-k material
US7250364B2 (en) Semiconductor devices with composite etch stop layers and methods of fabrication thereof
JP2006303545A (en) Semiconductor device and its manufacturing method
US7307014B2 (en) Method of forming a via contact structure using a dual damascene process
US20230178379A1 (en) Film deposition for patterning process
US20070293034A1 (en) Unlanded via process without plasma damage
US20190348377A1 (en) Dielectric crack stop for advanced interconnects
KR20050116479A (en) Method of forming a via contact structure using a dual damascene process

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AGARWALA, BIRENDRA;NGUYEN, DU;RATHORE, HAZARA;REEL/FRAME:022110/0542

Effective date: 20081216

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910