US20070190740A1 - Enhanced silicon-on-insulator (soi) transistors and methods of making enhanced soi transistors - Google Patents

Enhanced silicon-on-insulator (soi) transistors and methods of making enhanced soi transistors Download PDF

Info

Publication number
US20070190740A1
US20070190740A1 US11/733,249 US73324907A US2007190740A1 US 20070190740 A1 US20070190740 A1 US 20070190740A1 US 73324907 A US73324907 A US 73324907A US 2007190740 A1 US2007190740 A1 US 2007190740A1
Authority
US
United States
Prior art keywords
soi
insulator
silicon
gate
enhanced
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/733,249
Inventor
Toshiharu Furukawa
Carl Radens
William Tonti
Richard Williams
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/733,249 priority Critical patent/US20070190740A1/en
Publication of US20070190740A1 publication Critical patent/US20070190740A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26533Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically inactive species in silicon to make buried insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Definitions

  • the present invention relates generally to the field of semiconductor manufacturing and, more specifically, to enhanced silicon-on-insulator transistors and a method for implementing enhanced silicon-on-insulator transistors.
  • Silicon-on-insulator (SOI) transistors provide better performance at low operating voltages than do transistors of similar dimensions fabricated in bulk silicon substrates. Superior performance of SOI transistors at low operating voltage is related to the relatively lower junction capacitances obtained on an SOI device as compared to a bulk silicon device of similar dimensions. A buried oxide layer in an SOI device separates active transistor regions from the bulk silicon substrate, reducing junction capacitance.
  • the method comprises forming a gate electrode above an SOI substrate comprised of a bulk substrate, a buried insulation layer and an active layer, the gate electrode having a protective layer formed thereabove, performing at least one oxygen implant process after the gate electrode and the protective layer are formed to introduce oxygen atoms into the bulk substrate to thereby form a plurality of oxygen-doped regions in the bulk substrate, and performing at least one anneal process to convert the oxygen-doped regions to dielectric regions comprised of silicon dioxide in the bulk substrate.
  • the device comprises a gate electrode formed above an SOI structure comprised of a bulk substrate, a buried insulation layer, and an active layer, and a plurality of dielectric regions comprised of silicon dioxide formed in the bulk substrate, the dielectric regions being self-aligned with respect to the gate electrode.
  • a bulk silicon substrate is provided.
  • a deep ion implant layer is implanted to reside below an oxide insulator.
  • An oxygen implant layer is implanted while applying a mask to block the oxygen implant layer in selected regions. The selected regions provide for body contact for the SOI transistors. Holes are formed extending into the deep ion implant layer and the bulk silicon substrate. The holes are filled with an electrically conductive material to create stud contacts to the deep ion implant layer and the bulk silicon substrate.
  • Principal aspects of the present invention are to provide enhanced silicon-on-insulator transistors and methods for implementing enhanced silicon-on-insulator transistors.
  • Other important objects of the present invention are to provide such enhanced silicon-on-insulator transistors and methods for implementing enhanced silicon-on-insulator transistors substantially without negative effect and that overcome some of the disadvantages of prior art arrangements.
  • enhanced silicon-on-insulator transistors and methods are provided for implementing enhanced silicon-on-insulator transistors.
  • the enhanced silicon-on-insulator (SOI) transistors include a thin buried oxide (BOX) layer under a device channel and a thick self-aligned buried oxide (BOX) region under SOI source/drain diffusions. Selective strain is generated in the source/drain regions for enhanced carrier mobility for both P-channel and N-channel devices.
  • a selective epitaxial (epi) growth is utilized in the source/drain regions to implement appropriate strain to enhance both PFET and NFET devices simultaneously.
  • a selective epi silicon germanium (SiGe) growth for PFETs or a selective epi silicon carbide (SiC) growth for NFETs is provided to form the source/drain regions.
  • Oxygen implants below SOI source/drain regions are provided to form the thick self-aligned buried oxide (BOX) region under SOI source/drain diffusions.
  • a process step etches a silicon layer in the source/drain regions, leaving a seed layer.
  • the oxygen implant step is performed through the seed layer before the source/drain regions are grown, so that the energy level of the oxygen implant step is minimized.
  • a process step regrows a silicon containing material for the source/drain regions on the seed layer, while enabling the integration of appropriate strained silicon for both PFET and NFET devices.
  • a damascene gate process is implemented with a gate electrode and a gate oxide formed after an oxygen implant step is performed for avoiding any damage to the gate dielectric.
  • Advantages are that one can create a weak gate, i.e., a gate with slightly lower drive currents, and that one can decouple the source/drain from the gate stack process. This weak gate also is called a wimpy gate.
  • a new dual-gate structure is provided with a front gate comprising of a high K dielectric and metal gate stack, and a back gate comprising of SiO 2 and silicon gate stack.
  • the differentiating and novel features of this structure are that it is a planar double gate device with significantly different gate oxide and gate material on each side of the channel. These two different gate stacks give the device the ability to operate with either the front or the back channel functioning, or both, depending on the thickness of the silicon layer, the bias conditions, and the materials used.
  • This dual-gate device also provides an enhanced reliability device when the back gate is used to enhance how the device progresses through the burn-in process. By optimizing back gate properties to withstand elevated voltages, the device burn-in can be accelerated in a way that most conventional fails are detected, for example, metal defects, mobile ions, wiring dielectric issues, negative temperature bias instability, and the like.
  • a damascene gate is used to self-align the thick BOX region to the SOI source/drain diffusion areas.
  • a thick shallow trench isolation (STI) region is formed over a thin BOX layer.
  • the oxygen implant step is performed using a damascene gate to self-align the thick BOX region to the SOI source/drain diffusion areas.
  • the thick STI regions are used to mask the BOX implants at device edges of the SOI source/drain diffusion areas.
  • a polysilicon region and a pad oxide are provided for defining a damascene gate region.
  • the sacrificial polysilicon region is covered by a molding material, such as, silicon nitride and is chemical-mechanically polished to exposed the top of the sacrificial polysilicon.
  • the polysilicon and a pad oxide are stripped.
  • a gate dielectric and a gate electrode are deposited. This manufacturing process enables the use of a gate dielectric having a high dielectric constant, such as 3.1 ⁇ K ⁇ 30, deposited when no further high temperature processes are required.
  • FIGS. 1-4 are diagrams not to scale illustrating exemplary steps for implementing enhanced silicon-on-insulator (SOI) transistors in accordance with one preferred embodiment
  • FIGS. 5-7A and 7 B, 8 - 12 A and 12 B are diagrams not to scale illustrating exemplary steps for implementing enhanced silicon-on-insulator (SOI) transistors in accordance with another preferred embodiment
  • FIGS. 13-20A and 20 B are diagrams not to scale illustrating exemplary steps for implementing enhanced silicon-on-insulator (SOI) transistors in accordance with still another preferred embodiment.
  • SOI silicon-on-insulator
  • enhanced silicon-on-insulator (SOI) transistors include a thick self-aligned buried oxide (BOX) region under SOI source/drain (S/D) diffusions while maintaining a thin BOX under the silicon body for good backside coupling.
  • a self-aligned epitaxial (epi) growth is utilized in the S/D regions with appropriate strain to enhance PFET and NFET devices simultaneously. Simultaneous enhancement of SOI devices is provided through selective strain and also by reducing diffusion area capacitance Cja while maintaining good backgate coupling.
  • either a thick or a thin active Si region is supported.
  • An offset region to channel is employed.
  • Source/drain regions are implemented with appropriate strain for mobility improvement for both PFET and NFET devices.
  • Optionally uniform composition silicon (a homogeneous material) can be used in the source/drain regions.
  • Structure 100 includes a substrate 102 , such as a silicon substrate 102 , a very thin buried oxide or oxynitride (BOX) layer 104 of thickness range 2 nm to 10 nm, a gate insulation layer or gate dielectric 106 of EOT (electrically Equivalent Oxide Thickness) of 0.9 nm to 2 nm, an active layer or silicon layer 108 of thickness range 5 nm to 750 nm, and a gate electrode 110 , such as polysilicon or a metal gate electrode 110 of thickness range 10 nm to 100 nm.
  • a substrate 102 such as a silicon substrate 102
  • BOX buried oxide or oxynitride
  • EOT electrically Equivalent Oxide Thickness
  • a protective layer 112 such as a silicon nitride Si 3 N 4 cap 112 of thickness range 50 nm to 100 nm is formed above the gate electrode 110 of thickness range 13 nm to 30 nm preferably 18 nm, for example, that was etched in-situ.
  • Shallow trench isolation (STI) region 114 is formed over the BOX layer 104 .
  • STI region 114 is continuous around the active device region.
  • FIG. 2 there is shown a next exemplary structure 200 where the active silicon layer 108 is etched, leaving a seed layer 202 of thickness of 2 nm to 20 nm, preferably 5 nm, for forming the source and drain on opposed sides of the channel or body 108 of the SOI transistor.
  • Optional protective Si 3 N 4 spacers 204 are formed on the sidewalls of the gate electrode 110 and the protective cap 112 . The spacer thickness can be adjusted to control the distance between the thick BOX and the active device channel area as shown in FIG. 4 .
  • an oxygen implant step is performed as indicated by arrows O+implant to provide a pair of respective oxygen implants 302 into the bulk substrate 102 in regions under the seed layer 202 that are later used for forming source and drain of the SOI transistor.
  • the oxygen implant process is self-aligned with respect to the gate electrode 110 and the STI regions 114 .
  • the oxygen implant step through the seed layer is provided at a selected dose and energy level for forming respective oxygen implants slightly below or vertically adjacent to SOI source/drain regions.
  • the oxygen implant step through the seed layer is provided, for example, at an energy level in a range from 20 to 200 KeV using a dose of in the range of 10 16 cm ⁇ 2 to several 10 18 cm ⁇ 2 .
  • an epitaxial Si growth can be provided to fill back the seed layer 202 to form a S/D selective diffusion layer 402 defining the source and drain.
  • a selective epi SiGe growth for PFETs or a selective epi SiC growth for NFETs is provided to form the S/D selective diffusion layer 402 .
  • a combination of dichlorosilane (DCS), gaseous hydrogen chloride (HCL), germanium hydride (GeH 4 ) are used at a temperature of 680° C.-750° C.
  • DCL, HCL, and silicon diborane are used with a growth temperature of 620° C.-680° C.
  • silicon carbide methyl silane can be introduced with the appropriate process adjustments.
  • a tensile strain resulting from the selective epi SiC growth for NFETs increases the mobility of carriers in N-channel MOSFETs.
  • a compressive strain resulting from the selective epi SiGe growth for PFETs increases the mobility of carriers in P-channel MOSFETs.
  • the source and drain selective diffusion layer 402 can be grown with other selective silicon containing materials while providing appropriate strain for mobility improvement for both PFET and NFET devices. In addition pure silicon can be used as the replacement material having minimized the implant conditions.
  • an anneal process is performed to convert the oxygen implants 302 to respective thick box region 404 at a temperature between 600° C. to 1350° C., preferably in a temperature range between 900° C. and 1100° C., for a period of several minutes to 10 hours.
  • a thin thermal oxide is grown on the exposed seed regions 202 to improve the resulting material quality.
  • the thin oxide is then stripped prior to the source/drain selective epi growth.
  • the thick box 404 is located always beneath the source and drain, and is defined such that the diffusion area capacitance component is negligible when the circuit operation at nominal conditions. This is electrically measurable during circuit operates at nominal conditions.
  • the thin box 104 is always also located beneath the MOS channel or body 108 .
  • the thin BOX layer 104 is defined as sufficiently thin enough to suppress significant threshold change when the body potential changes. Alternatively, ramping the backside potential or potential of substrate 102 should couple to the threshold in an electrically measurable way.
  • the resulting enhanced SOI transistor structure 400 is provided having the thick oxide region 404 under the selective epi source/drain 402 and the thin region 104 under the body or channel region 108 .
  • the resulting enhanced SOI transistor 400 includes simultaneous enhancement through selective strain of selective epi source/drain 402 and also by reducing the diffusion area capacitance Cja while maintaining good backgate coupling.
  • An advantage provided is that both thick or thin active Si region 108 is supported.
  • a self-aligned offset region to the channel is employed, that is the distance between the active region 108 and the selective layer 402 is controlled by the Si 3 N 4 spacers 204 .
  • Source/drain regions are implemented with appropriate strain for mobility improvement for both PFET and NFET devices.
  • FET front-end-of-line processing steps are used to complete the transistor. These steps can include but are not limited to source/drain implants, extension implants, silicide formation on the gate, source, and drain, and contact formation (not shown).
  • FIGS. 5-7A , 7 B, 8 - 12 A and 12 B there are shown exemplary steps for implementing enhanced silicon-on-insulator (SOI) transistors in accordance with another preferred embodiment.
  • a thick self-aligned BOX region is provided under SOI source/drain diffusions while maintaining a thin box under the Si body for good backside coupling.
  • This method utilizes a damascene gate process to self-align the thick box regions to the source and drain diffusion areas.
  • This method uses thick shallow trench isolation (STI) regions to mask BOX implants at device edges. Note that these thick STI regions could also be thin STI regions with at least one patterned dielectric layer above, for example, a nitride.
  • STI shallow trench isolation
  • Structure 500 includes a substrate 502 , such as a silicon substrate 502 , a very thin buried oxide or oxynitride (BOX) layer 504 , a pad oxide layer 506 , an active layer or silicon layer 508 , and a protective layer 510 , such as silicon nitride Si 3 N 4 .
  • a shallow trench isolation (STI) region 512 is formed over the BOX layer 504 .
  • FIG. 6 there is shown a next exemplary structure 600 where a photo-resist (PR) mask 602 is provided to pattern the silicon nitride Si 3 N 4 cap 510 for later forming a gate electrode, for example, as shown in FIG. 12A or FIG. 12B .
  • PR photo-resist
  • an oxygen implant step for example, 50 to 200 KeV using a dose in the range of 10 16 cm ⁇ 2 to several 10 18 cm ⁇ 2 , is performed as indicated by arrows O+I/I to provide respective oxygen implants 702 into the bulk substrate 502 under regions that are later used for forming source and drain of the SOI transistor that are between the patterned Si 3 N 4 cap 510 and the STI regions 512 .
  • the oxygen implant process is self-aligned with respect to the Si 3 N 4 cap 510 and the thick STI regions 512 mask the BOX implants at source/drain device edges. It should be understood that the source/drain formation can also include a compound such as SiGe or SiC as described in the previous embodiment.
  • FIG. 7B there is shown another exemplary structure 750 illustrating how additional electrical isolation is achieved adjacent to the active transistor area 508 by allowing a respective oxygen implant 752 to extend beneath STI region 512 .
  • the oxygen implant step through the STI region 512 and under regions that are later used for forming source and drain of the SOI transistor is provided at a selected dose and energy level for forming respective oxygen implants 752 under SOI source/drain regions and the STI regions. Note that multiple implants requiring additional masks can optionally be used to accomplish the structure in FIG. 7B .
  • the STI should be about the same thickness as the SOI layers plus the pad oxide in FIG. 7B .
  • FIG. 8 there is shown a next exemplary structure 800 where an anneal process is performed to convert the oxygen implants 702 to a respective thick box region 802 at temperatures between 900 to 1400 for a period of several minutes to 10 hours
  • the thick box 802 is located always beneath regions that form the source and drain, and also is defined such that the diffusion area capacitance component is negligible when the circuit operates under nominal conditions.
  • An optional silicon nitride Si 3 N 4 spacer 804 is formed on the sides of the Si 3 N 4 cap 510 that is used for forming a longer (or weak) gate, such as illustrated and described with respect to FIG. 12A . For a standard gate, no nitride spacers are formed.
  • the source and drain can optionally be implanted at this point as indicated by source and drain (S/D) diffusion 806 .
  • the source and drain (S/D) diffusion 806 can include an optional extension 808 extending below the Si 3 N 4 cap 510 .
  • next exemplary structure 900 where in a next process step, optionally the STI 512 is etched to ensure that its height is below that of the gate stack, and an oxide 902 is deposited and polished to the top surface of nitride cap 510 .
  • next exemplary structure 1000 where in a next process strip nitride step 1002 , the nitride cap 510 , the pad oxide 506 previously under the nitride cap 510 and the optional Si 3 N 4 spacers 804 are removed.
  • the spacer 804 is nitride then the gate length is longer than nominal, i.e. a weak gate. If spacer 804 is an oxide, then a minimum gate length will result. Both can be fabricated with a mask and two separate spacer depositions in FIG. 8 .
  • FIG. 11 there is shown a next exemplary structure 1100 where a next process step to form a gate dielectric 1102 is performed.
  • the gate dielectric 1102 is formed on the exposed surface of the layer channel region 108 .
  • Gate dielectric 1102 is formed utilizing a conventional thermal growing process.
  • the gate dielectric may be formed by a conventional deposition process including, for example, CVD, plasma-assisted CVD or chemical solution deposition.
  • a deposit/polish polysilicon and implant polysilicon step 1104 is performed for forming an elongated gate 1104 or weak gate 1104 , as shown in FIG. 11 .
  • FIG. 12A there is shown a next exemplary structure 1200 where in a next process remove mandrel step 1202 the oxide 902 is removed and optionally the source/drain 1204 and gate 1206 are implanted simultaneously.
  • a remove pad oxide step indicated at 1208 removes the pad oxide 506 above the source/drain 1204 .
  • a pair of opposed sidewall spacers 1210 are formed for a weak gate, as shown in FIG. 12A .
  • FIG. 12B there is shown another next exemplary structure 1250 where in a next process remove mandrel step 1252 the oxide 902 is removed and optionally the source/drain 1254 and gate 1256 are implanted simultaneously.
  • a remove pad oxide step indicated at 1258 removes the pad oxide 506 above the source/drain 1254 .
  • a pair of opposed sidewall spacers 1210 are formed for a standard gate 1256 , as shown in FIG. 12B .
  • no spacers need to be formed, instead of forming the nitride spacers 804 for the weak gate.
  • FIGS. 5-7A , 7 B, 8 - 12 A and 12 B are that both thick and thin active Si regions are supported, and the weak and standard gates are supported using the damascene gate process approach.
  • An offset region to channel 508 is not required.
  • the damascene gate process avoids any damage to the gate dielectric 1102 as the form gate dielectric step is performed after the thick BOX region 802 is completed.
  • This damascene gate process may also integrate appropriate strain in S/D 1204 for mobility improvement for both PFET and NFET devices. As in the previous embodiment, conventional FEOL processing steps are used to complete the device.
  • FIGS. 13-20A and 20 B there are shown exemplary steps for implementing enhanced silicon-on-insulator (SOI) transistors in accordance with still another preferred embodiment.
  • SOI silicon-on-insulator
  • Structure 1300 includes a substrate 1302 , such as a silicon substrate 1302 , a very thin buried oxide or oxynitride (BOX) layer 1304 , a pad oxide layer 1306 , an active layer or silicon layer 1308 , a protective layer 1310 , such as an oxide cap 1310 , formed on a polysilicon layer 1312 .
  • a pair of thin shallow trench isolation (STI) regions 1314 is formed over the BOX layer 1304 adjacent the active layer or silicon layer 1308 .
  • STI shallow trench isolation
  • next exemplary structure 1400 where in a next process step includes patterning with PR mask 1402 , applying a photoresist layer 1404 to form the patterned oxide cap 1310 carried by the patterned polysilicon layer 1312 .
  • FIG. 15 there is shown a next exemplary structure 1500 where an oxygen implant step is performed as indicated by arrows O+I/I to provide a pair of respective oxygen implants 1502 into the bulk substrate 1302 under regions that are later used for forming source and drain of the SOI transistor and also extending under the STI regions 1314 .
  • the oxygen implant process is self-aligned with respect to the polysilicon gate 1312 . It should be understood that this oxygen implant step could also use the preferred method of the first embodiment where the oxygen implants are provided through a seed layer, such as the illustrated seed layers 202 in FIGS. 2 and 3 .
  • a strip photoresist step 1602 is performed, removing the photoresist layer 1404 .
  • An anneal process is performed to convert the oxygen implants 1502 to a respective thick box region 1604 .
  • the anneal process is performed to convert the oxygen implants 1502 to respective thick box region 1604 at a temperature between 600° C. to 1400° C., preferably in a temperature range between 900° C. and 1350° C., for a period of several minutes to 10 hours.
  • the anneal process can be gentler than normal SIMOX, because silicon defects below the thin BOX layer 1304 under the diffusion are not critical for device operation.
  • the thick box 1604 is located always beneath regions that form the source and drain, and also is defined such that the diffusion area capacitance component is negligible with circuit operation at nominal conditions.
  • a process step is performed to form nitride spacers 1702 on the pad oxide 1306 adjacent the polysilicon gate 1312 .
  • the source/drain 1704 are implanted and optionally an extension/halo 1706 are implanted.
  • a strip pad oxide step indicated by 1708 is performed to remove pad oxide above the source/drain 1704 and a silicide 1710 , such as TiSi 2 , CoSi 2 , and the like, is formed on the source/drain 1704 .
  • a deposit oxide process step 1802 is performed to deposit an oxide over the STI regions 1314 and silicide 1710 and planarize to the polysilicon gate 1312 , for example by chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • FIG. 19 there is shown another optional additional exemplary structure 1900 where a strip polysilicon and pad oxide process step 1902 is performed to remove the polysilicon gate 1312 and the pad oxide 1304 , shown in FIG. 18 .
  • a gate dielectric 1904 is deposited, such as an oxide, oxynitride, HfO2, and the like.
  • a metal gate 1906 is deposited onto the gate dielectric 1904 .
  • the exemplary structure 1900 provides the enhanced SOI transistor for applications requiring a high-K gate dielectric 1904 , that can be deposited when no further high temperature processes or processes at a temperature greater than 600° C., are required.
  • the exemplary structure 1900 substantially eliminates tunnel current leakage with gate dielectric 1904 having, for example, an effective dielectric constant K in a range greater than 7 and less than 30, or 7 ⁇ K ⁇ 30.
  • an additional exemplary structure 2000 is shown following deposition of a dielectric layer 2002 and a plurality of contacts 2004 are formed to connect to silicide layers 1710 and metal gate 1906 .
  • a metal wiring layer 2006 is formed and connected to the plurality of contacts 2004 .
  • the advantages of this method are that both thick and thin BOX are supported. An offset region to channel is not required.
  • the damascene gate process avoids any damage to the gate dielectric 1306 or 1904 as this step is performed after the thick BOX region is completed. This damascene gate process may also integrate appropriate strain in S/D 1704 for mobility improvement for both PFET and NFET devices.
  • an additional exemplary structure 2050 is shown that includes an independent contact 2060 formed to the substrate 1302 , per transistor device enabling a new 3-Dimensional (3D) hybrid device to be created.
  • 3D structure 2050 then includes a front gate 1906 having either a standard SiO 2 or high K dielectric, a back gate 1302 having an SiO 2 dielectric defined by BOX 1304 .
  • the device of 3D structure 2050 has three operating modes in which either the top transistor channel, the bottom transistor channel or the both channels are active. Both a new burn-In methodology and mixed mode device are provided.
  • high performance oxide for gate dielectric 1904 provides the ability to burn in the product with back gate 1302 at highly accelerated voltage levels.
  • the device of 3D structure 2050 can be used in a high performance mode either by utilizing active layer or silicon layer 1308 and back gate 1302 together, or just active layer or silicon layer 1308 , or can be used in a low power mode by using just back gate 1302 .
  • This hybrid device enables many enhanced features.
  • an optional step for implanting fluorine ions is performed, for example, after the oxygen implant and anneal steps of FIGS. 3 and 4 ; FIGS. 7A, 7B and 8 ; and FIGS. 15 and 16 .
  • the purpose of the fluorine ions implant step is for modulating the dielectric constant of the respective thick buried oxide 404 , 802 , 1604 as shown in FIGS. 4, 8 , and 16 .
  • the fluorine ions implant step optionally following an anneal step shown in FIGS. 4, 8 , and 16 .
  • the implanted fluorine ions are centered on the thick buried oxide 404 , 802 , 1604 as shown in FIGS. 4, 8 , and 16 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Thin Film Transistor (AREA)

Abstract

Enhanced silicon-on-insulator transistors and methods are provided for implementing enhanced silicon-on-insulator transistors. The enhanced silicon-on-insulator (SOI) transistors include a thin buried oxide (BOX) layer under a device channel and a thick self-aligned buried oxide (BOX) region under SOI source/drain diffusions. A selective epitaxial growth is utilized in the source/drain regions to implement appropriate strain to enhance both PFET and NFET devices simultaneously.

Description

    FIELD OF THE INVENTION
  • The present invention relates generally to the field of semiconductor manufacturing and, more specifically, to enhanced silicon-on-insulator transistors and a method for implementing enhanced silicon-on-insulator transistors.
  • DESCRIPTION OF THE RELATED ART
  • Silicon-on-insulator (SOI) transistors provide better performance at low operating voltages than do transistors of similar dimensions fabricated in bulk silicon substrates. Superior performance of SOI transistors at low operating voltage is related to the relatively lower junction capacitances obtained on an SOI device as compared to a bulk silicon device of similar dimensions. A buried oxide layer in an SOI device separates active transistor regions from the bulk silicon substrate, reducing junction capacitance.
  • Various SOI transistor arrangements are known. For example, Wei et al., U.S. patent application Publication No. US 2003/0223258 published Dec. 4, 2003, and assigned to the present assignee, discloses a method comprising forming a gate electrode above an SOI substrate comprised of a bulk substrate, a buried insulation layer and an active layer, the gate electrode having a protective layer formed thereabove, and forming a plurality of dielectric regions in the bulk substrate after the gate electrode is formed, the dielectric regions being self-aligned with respect to the gate electrode, the dielectric regions having a dielectric constant that is less than a dielectric constant of the bulk substrate. In other embodiments, the method comprises forming a gate electrode above an SOI substrate comprised of a bulk substrate, a buried insulation layer and an active layer, the gate electrode having a protective layer formed thereabove, performing at least one oxygen implant process after the gate electrode and the protective layer are formed to introduce oxygen atoms into the bulk substrate to thereby form a plurality of oxygen-doped regions in the bulk substrate, and performing at least one anneal process to convert the oxygen-doped regions to dielectric regions comprised of silicon dioxide in the bulk substrate. In one illustrative embodiment, the device comprises a gate electrode formed above an SOI structure comprised of a bulk substrate, a buried insulation layer, and an active layer, and a plurality of dielectric regions comprised of silicon dioxide formed in the bulk substrate, the dielectric regions being self-aligned with respect to the gate electrode.
  • U.S. Pat. No. 6,287,901 to Christensen et al., issued Sep. 11, 2001, and assigned to the present assignee, discloses a method and semiconductor structure which are provided for implementing dual plane body contacts for silicon-on-insulator (SOI) transistors. A bulk silicon substrate is provided. A deep ion implant layer is implanted to reside below an oxide insulator. An oxygen implant layer is implanted while applying a mask to block the oxygen implant layer in selected regions. The selected regions provide for body contact for the SOI transistors. Holes are formed extending into the deep ion implant layer and the bulk silicon substrate. The holes are filled with an electrically conductive material to create stud contacts to the deep ion implant layer and the bulk silicon substrate.
  • While the above disclosed methods and SOI structures provide improvements over prior art arrangements, a need exists for enhanced silicon-on-insulator (SOI) transistors and methods for manufacturing thereof. It is desirable to provide such enhanced silicon-on-insulator transistors having both negligible voltage threshold change with body potential changes and negligible diffusion area capacitance during nominal operation conditions, and thus improved performance.
  • SUMMARY OF THE INVENTION
  • Principal aspects of the present invention are to provide enhanced silicon-on-insulator transistors and methods for implementing enhanced silicon-on-insulator transistors. Other important objects of the present invention are to provide such enhanced silicon-on-insulator transistors and methods for implementing enhanced silicon-on-insulator transistors substantially without negative effect and that overcome some of the disadvantages of prior art arrangements.
  • In brief, enhanced silicon-on-insulator transistors and methods are provided for implementing enhanced silicon-on-insulator transistors. The enhanced silicon-on-insulator (SOI) transistors include a thin buried oxide (BOX) layer under a device channel and a thick self-aligned buried oxide (BOX) region under SOI source/drain diffusions. Selective strain is generated in the source/drain regions for enhanced carrier mobility for both P-channel and N-channel devices.
  • A selective epitaxial (epi) growth is utilized in the source/drain regions to implement appropriate strain to enhance both PFET and NFET devices simultaneously. A selective epi silicon germanium (SiGe) growth for PFETs or a selective epi silicon carbide (SiC) growth for NFETs is provided to form the source/drain regions. Oxygen implants below SOI source/drain regions are provided to form the thick self-aligned buried oxide (BOX) region under SOI source/drain diffusions.
  • In accordance with features of one embodiment of the invention, a process step etches a silicon layer in the source/drain regions, leaving a seed layer. The oxygen implant step is performed through the seed layer before the source/drain regions are grown, so that the energy level of the oxygen implant step is minimized. Then a process step regrows a silicon containing material for the source/drain regions on the seed layer, while enabling the integration of appropriate strained silicon for both PFET and NFET devices.
  • In accordance with features of other embodiments of the invention, a damascene gate process is implemented with a gate electrode and a gate oxide formed after an oxygen implant step is performed for avoiding any damage to the gate dielectric. Advantages are that one can create a weak gate, i.e., a gate with slightly lower drive currents, and that one can decouple the source/drain from the gate stack process. This weak gate also is called a wimpy gate. These advantages can integrate both standard polysilicon gates with conventional gate dielectrics such as silicon dioxide and silicon oxynitride, and with metal gates with high-dielectric constant (high-K) materials such as hafnium oxide, hafnium oxynitrde, and the like, on, the same substrate with low junction capacitance and an effective back gate.
  • In accordance with features of other embodiments of the invention, a new dual-gate structure is provided with a front gate comprising of a high K dielectric and metal gate stack, and a back gate comprising of SiO2 and silicon gate stack. The differentiating and novel features of this structure are that it is a planar double gate device with significantly different gate oxide and gate material on each side of the channel. These two different gate stacks give the device the ability to operate with either the front or the back channel functioning, or both, depending on the thickness of the silicon layer, the bias conditions, and the materials used. This dual-gate device also provides an enhanced reliability device when the back gate is used to enhance how the device progresses through the burn-in process. By optimizing back gate properties to withstand elevated voltages, the device burn-in can be accelerated in a way that most conventional fails are detected, for example, metal defects, mobile ions, wiring dielectric issues, negative temperature bias instability, and the like.
  • In accordance with features of one embodiment of the invention, a damascene gate is used to self-align the thick BOX region to the SOI source/drain diffusion areas.
  • In accordance with features of one embodiment of the invention, a thick shallow trench isolation (STI) region is formed over a thin BOX layer. The oxygen implant step is performed using a damascene gate to self-align the thick BOX region to the SOI source/drain diffusion areas. The thick STI regions are used to mask the BOX implants at device edges of the SOI source/drain diffusion areas.
  • In accordance with features of one embodiment of the invention, a polysilicon region and a pad oxide are provided for defining a damascene gate region. After the thick BOX regions are formed and the source/drain are formed, the sacrificial polysilicon region is covered by a molding material, such as, silicon nitride and is chemical-mechanically polished to exposed the top of the sacrificial polysilicon. Then the polysilicon and a pad oxide are stripped. A gate dielectric and a gate electrode are deposited. This manufacturing process enables the use of a gate dielectric having a high dielectric constant, such as 3.1<K≦30, deposited when no further high temperature processes are required.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention together with the above and other objects and advantages may best be understood from the following detailed description of the preferred embodiments of the invention illustrated in the drawings, wherein:
  • FIGS. 1-4 are diagrams not to scale illustrating exemplary steps for implementing enhanced silicon-on-insulator (SOI) transistors in accordance with one preferred embodiment;
  • FIGS. 5-7A and 7B, 8-12A and 12B are diagrams not to scale illustrating exemplary steps for implementing enhanced silicon-on-insulator (SOI) transistors in accordance with another preferred embodiment; and
  • FIGS. 13-20A and 20B are diagrams not to scale illustrating exemplary steps for implementing enhanced silicon-on-insulator (SOI) transistors in accordance with still another preferred embodiment.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • In accordance with features of a preferred embodiment, enhanced silicon-on-insulator (SOI) transistors include a thick self-aligned buried oxide (BOX) region under SOI source/drain (S/D) diffusions while maintaining a thin BOX under the silicon body for good backside coupling. A self-aligned epitaxial (epi) growth is utilized in the S/D regions with appropriate strain to enhance PFET and NFET devices simultaneously. Simultaneous enhancement of SOI devices is provided through selective strain and also by reducing diffusion area capacitance Cja while maintaining good backgate coupling.
  • In accordance with features of the preferred embodiment, either a thick or a thin active Si region is supported. An offset region to channel is employed. Source/drain regions are implemented with appropriate strain for mobility improvement for both PFET and NFET devices. Optionally uniform composition silicon (a homogeneous material) can be used in the source/drain regions.
  • Referring now to the drawings, in FIG. 1 there is shown an exemplary structure generally designated by the reference character 100 for forming enhanced SOI transistors of one preferred embodiment. Structure 100 includes a substrate 102, such as a silicon substrate 102, a very thin buried oxide or oxynitride (BOX) layer 104 of thickness range 2 nm to 10 nm, a gate insulation layer or gate dielectric 106 of EOT (electrically Equivalent Oxide Thickness) of 0.9 nm to 2 nm, an active layer or silicon layer 108 of thickness range 5 nm to 750 nm, and a gate electrode 110, such as polysilicon or a metal gate electrode 110 of thickness range 10 nm to 100 nm. A protective layer 112, such as a silicon nitride Si3N4 cap 112 of thickness range 50 nm to 100 nm is formed above the gate electrode 110 of thickness range 13 nm to 30 nm preferably 18 nm, for example, that was etched in-situ. Shallow trench isolation (STI) region 114 is formed over the BOX layer 104. STI region 114 is continuous around the active device region.
  • Referring now to FIG. 2, there is shown a next exemplary structure 200 where the active silicon layer 108 is etched, leaving a seed layer 202 of thickness of 2 nm to 20 nm, preferably 5 nm, for forming the source and drain on opposed sides of the channel or body 108 of the SOI transistor. Optional protective Si3N4 spacers 204 are formed on the sidewalls of the gate electrode 110 and the protective cap 112. The spacer thickness can be adjusted to control the distance between the thick BOX and the active device channel area as shown in FIG. 4.
  • Referring now to FIG. 3, there is shown a next exemplary structure 300 where an oxygen implant step is performed as indicated by arrows O+implant to provide a pair of respective oxygen implants 302 into the bulk substrate 102 in regions under the seed layer 202 that are later used for forming source and drain of the SOI transistor. The oxygen implant process is self-aligned with respect to the gate electrode 110 and the STI regions 114. The oxygen implant step through the seed layer is provided at a selected dose and energy level for forming respective oxygen implants slightly below or vertically adjacent to SOI source/drain regions. The oxygen implant step through the seed layer is provided, for example, at an energy level in a range from 20 to 200 KeV using a dose of in the range of 1016 cm−2 to several 1018 cm−2.
  • Referring now to FIG. 4, there is shown a next exemplary structure 400 where an epitaxial Si growth can be provided to fill back the seed layer 202 to form a S/D selective diffusion layer 402 defining the source and drain. Additionally, for appropriate PFET and NFET strain, a selective epi SiGe growth for PFETs or a selective epi SiC growth for NFETs is provided to form the S/D selective diffusion layer 402. For selective SiGe epi growth a combination of dichlorosilane (DCS), gaseous hydrogen chloride (HCL), germanium hydride (GeH4) are used at a temperature of 680° C.-750° C. For in-situ doped selective silicon, for example, DCL, HCL, and silicon diborane are used with a growth temperature of 620° C.-680° C. For silicon carbide, methyl silane can be introduced with the appropriate process adjustments.
  • A tensile strain resulting from the selective epi SiC growth for NFETs increases the mobility of carriers in N-channel MOSFETs. A compressive strain resulting from the selective epi SiGe growth for PFETs increases the mobility of carriers in P-channel MOSFETs. It should be understood that the source and drain selective diffusion layer 402 can be grown with other selective silicon containing materials while providing appropriate strain for mobility improvement for both PFET and NFET devices. In addition pure silicon can be used as the replacement material having minimized the implant conditions.
  • Prior to the above epi growth in FIG. 4, an anneal process is performed to convert the oxygen implants 302 to respective thick box region 404 at a temperature between 600° C. to 1350° C., preferably in a temperature range between 900° C. and 1100° C., for a period of several minutes to 10 hours. Optionally a thin thermal oxide is grown on the exposed seed regions 202 to improve the resulting material quality. The thin oxide is then stripped prior to the source/drain selective epi growth. The thick box 404 is located always beneath the source and drain, and is defined such that the diffusion area capacitance component is negligible when the circuit operation at nominal conditions. This is electrically measurable during circuit operates at nominal conditions. The thin box 104 is always also located beneath the MOS channel or body 108. The thin BOX layer 104 is defined as sufficiently thin enough to suppress significant threshold change when the body potential changes. Alternatively, ramping the backside potential or potential of substrate 102 should couple to the threshold in an electrically measurable way.
  • As shown in FIG. 4, the resulting enhanced SOI transistor structure 400 is provided having the thick oxide region 404 under the selective epi source/drain 402 and the thin region 104 under the body or channel region 108. The resulting enhanced SOI transistor 400 includes simultaneous enhancement through selective strain of selective epi source/drain 402 and also by reducing the diffusion area capacitance Cja while maintaining good backgate coupling. An advantage provided is that both thick or thin active Si region 108 is supported. A self-aligned offset region to the channel is employed, that is the distance between the active region 108 and the selective layer 402 is controlled by the Si3N4 spacers 204. This allows for using strain enhancing species in the selective layer 402 that will be decoupled from the actual junction depletion region which will occupy the distance between the selective layer 402 and the active region 108 and will extend under the gate electrode 110. Source/drain regions are implemented with appropriate strain for mobility improvement for both PFET and NFET devices. Subsequent to these steps conventional front-end-of-line (FEOL) processing steps are used to complete the transistor. These steps can include but are not limited to source/drain implants, extension implants, silicide formation on the gate, source, and drain, and contact formation (not shown).
  • Referring now to FIGS. 5-7A, 7B, 8-12A and 12B there are shown exemplary steps for implementing enhanced silicon-on-insulator (SOI) transistors in accordance with another preferred embodiment. A thick self-aligned BOX region is provided under SOI source/drain diffusions while maintaining a thin box under the Si body for good backside coupling. This method utilizes a damascene gate process to self-align the thick box regions to the source and drain diffusion areas. This method uses thick shallow trench isolation (STI) regions to mask BOX implants at device edges. Note that these thick STI regions could also be thin STI regions with at least one patterned dielectric layer above, for example, a nitride.
  • Referring now to FIG. 5, there is shown an exemplary structure generally designated by the reference character 500 for forming enhanced SOI transistors of this preferred embodiment. Structure 500 includes a substrate 502, such as a silicon substrate 502, a very thin buried oxide or oxynitride (BOX) layer 504, a pad oxide layer 506, an active layer or silicon layer 508, and a protective layer 510, such as silicon nitride Si3N4. A shallow trench isolation (STI) region 512 is formed over the BOX layer 504.
  • Referring to FIG. 6, there is shown a next exemplary structure 600 where a photo-resist (PR) mask 602 is provided to pattern the silicon nitride Si3N4 cap 510 for later forming a gate electrode, for example, as shown in FIG. 12A or FIG. 12B.
  • Referring now to FIG. 7A, there is shown a next exemplary structure 700 where an oxygen implant step, for example, 50 to 200 KeV using a dose in the range of 1016 cm−2 to several 1018 cm−2, is performed as indicated by arrows O+I/I to provide respective oxygen implants 702 into the bulk substrate 502 under regions that are later used for forming source and drain of the SOI transistor that are between the patterned Si3N4 cap 510 and the STI regions 512. The oxygen implant process is self-aligned with respect to the Si3N4 cap 510 and the thick STI regions 512 mask the BOX implants at source/drain device edges. It should be understood that the source/drain formation can also include a compound such as SiGe or SiC as described in the previous embodiment.
  • Referring now to FIG. 7B, there is shown another exemplary structure 750 illustrating how additional electrical isolation is achieved adjacent to the active transistor area 508 by allowing a respective oxygen implant 752 to extend beneath STI region 512. The oxygen implant step through the STI region 512 and under regions that are later used for forming source and drain of the SOI transistor is provided at a selected dose and energy level for forming respective oxygen implants 752 under SOI source/drain regions and the STI regions. Note that multiple implants requiring additional masks can optionally be used to accomplish the structure in FIG. 7B. To get the O+implant under the STI region 512, the STI should be about the same thickness as the SOI layers plus the pad oxide in FIG. 7B.
  • Referring now to FIG. 8, there is shown a next exemplary structure 800 where an anneal process is performed to convert the oxygen implants 702 to a respective thick box region 802 at temperatures between 900 to 1400 for a period of several minutes to 10 hours The thick box 802 is located always beneath regions that form the source and drain, and also is defined such that the diffusion area capacitance component is negligible when the circuit operates under nominal conditions. An optional silicon nitride Si3N4 spacer 804 is formed on the sides of the Si3N4 cap 510 that is used for forming a longer (or weak) gate, such as illustrated and described with respect to FIG. 12A. For a standard gate, no nitride spacers are formed. Also the source and drain can optionally be implanted at this point as indicated by source and drain (S/D) diffusion 806. The source and drain (S/D) diffusion 806 can include an optional extension 808 extending below the Si3N4 cap 510.
  • Referring now to FIG. 9, there is shown a next exemplary structure 900 where in a next process step, optionally the STI 512 is etched to ensure that its height is below that of the gate stack, and an oxide 902 is deposited and polished to the top surface of nitride cap 510.
  • Referring now to FIG. 10, there is shown a next exemplary structure 1000 where in a next process strip nitride step 1002, the nitride cap 510, the pad oxide 506 previously under the nitride cap 510 and the optional Si3N4 spacers 804 are removed. When the spacer 804 is nitride then the gate length is longer than nominal, i.e. a weak gate. If spacer 804 is an oxide, then a minimum gate length will result. Both can be fabricated with a mask and two separate spacer depositions in FIG. 8.
  • Referring now to FIG. 11, there is shown a next exemplary structure 1100 where a next process step to form a gate dielectric 1102 is performed. The gate dielectric 1102 is formed on the exposed surface of the layer channel region 108. Gate dielectric 1102 is formed utilizing a conventional thermal growing process. Alternatively, the gate dielectric may be formed by a conventional deposition process including, for example, CVD, plasma-assisted CVD or chemical solution deposition. A deposit/polish polysilicon and implant polysilicon step 1104 is performed for forming an elongated gate 1104 or weak gate 1104, as shown in FIG. 11.
  • Referring now to FIG. 12A, there is shown a next exemplary structure 1200 where in a next process remove mandrel step 1202 the oxide 902 is removed and optionally the source/drain 1204 and gate 1206 are implanted simultaneously. A remove pad oxide step indicated at 1208 removes the pad oxide 506 above the source/drain 1204. A pair of opposed sidewall spacers 1210 are formed for a weak gate, as shown in FIG. 12A.
  • Referring now to FIG. 12B, there is shown another next exemplary structure 1250 where in a next process remove mandrel step 1252 the oxide 902 is removed and optionally the source/drain 1254 and gate 1256 are implanted simultaneously. A remove pad oxide step indicated at 1258 removes the pad oxide 506 above the source/drain 1254. A pair of opposed sidewall spacers 1210 are formed for a standard gate 1256, as shown in FIG. 12B. To form the standard gate 1256, rather than the longer weak gate shown in FIG. 12A, in FIG. 8, no spacers need to be formed, instead of forming the nitride spacers 804 for the weak gate.
  • Advantages of this method as shown in FIGS. 5-7A, 7B, 8-12A and 12B are that both thick and thin active Si regions are supported, and the weak and standard gates are supported using the damascene gate process approach. An offset region to channel 508 is not required. The damascene gate process avoids any damage to the gate dielectric 1102 as the form gate dielectric step is performed after the thick BOX region 802 is completed. This damascene gate process may also integrate appropriate strain in S/D 1204 for mobility improvement for both PFET and NFET devices. As in the previous embodiment, conventional FEOL processing steps are used to complete the device.
  • Referring now to FIGS. 13-20A and 20B, there are shown exemplary steps for implementing enhanced silicon-on-insulator (SOI) transistors in accordance with still another preferred embodiment. A thick self-aligned BOX region under SOI source/drain diffusions while maintaining a thin box under the Si body for good backside coupling. This method utilizes a damascene gate to self-align the thick box regions to the diffusion areas.
  • Referring now to FIG. 13, there is shown an exemplary structure generally designated by the reference character 1300 for forming enhanced SOI transistors of this preferred embodiment. Structure 1300 includes a substrate 1302, such as a silicon substrate 1302, a very thin buried oxide or oxynitride (BOX) layer 1304, a pad oxide layer 1306, an active layer or silicon layer 1308, a protective layer 1310, such as an oxide cap 1310, formed on a polysilicon layer 1312. A pair of thin shallow trench isolation (STI) regions 1314 is formed over the BOX layer 1304 adjacent the active layer or silicon layer 1308.
  • Referring now to FIG. 14, there is shown a next exemplary structure 1400 where in a next process step includes patterning with PR mask 1402, applying a photoresist layer 1404 to form the patterned oxide cap 1310 carried by the patterned polysilicon layer 1312.
  • Referring now to FIG. 15, there is shown a next exemplary structure 1500 where an oxygen implant step is performed as indicated by arrows O+I/I to provide a pair of respective oxygen implants 1502 into the bulk substrate 1302 under regions that are later used for forming source and drain of the SOI transistor and also extending under the STI regions 1314. The oxygen implant process is self-aligned with respect to the polysilicon gate 1312. It should be understood that this oxygen implant step could also use the preferred method of the first embodiment where the oxygen implants are provided through a seed layer, such as the illustrated seed layers 202 in FIGS. 2 and 3.
  • Referring now to FIG. 16, there is shown a next exemplary structure 1600 where a strip photoresist step 1602 is performed, removing the photoresist layer 1404. An anneal process is performed to convert the oxygen implants 1502 to a respective thick box region 1604. For example, the anneal process is performed to convert the oxygen implants 1502 to respective thick box region 1604 at a temperature between 600° C. to 1400° C., preferably in a temperature range between 900° C. and 1350° C., for a period of several minutes to 10 hours. The anneal process can be gentler than normal SIMOX, because silicon defects below the thin BOX layer 1304 under the diffusion are not critical for device operation. The thick box 1604 is located always beneath regions that form the source and drain, and also is defined such that the diffusion area capacitance component is negligible with circuit operation at nominal conditions.
  • Referring now to FIG. 17, there is shown a next exemplary structure 1700 where a process step is performed to form nitride spacers 1702 on the pad oxide 1306 adjacent the polysilicon gate 1312. The source/drain 1704 are implanted and optionally an extension/halo 1706 are implanted. A strip pad oxide step indicated by 1708 is performed to remove pad oxide above the source/drain 1704 and a silicide 1710, such as TiSi2, CoSi2, and the like, is formed on the source/drain 1704.
  • Referring now to FIG. 18, there is shown a next exemplary structure 1800 where a deposit oxide process step 1802 is performed to deposit an oxide over the STI regions 1314 and silicide 1710 and planarize to the polysilicon gate 1312, for example by chemical mechanical polishing (CMP). The exemplary structure 1800 provides the enhanced SOI transistor for normal MOS applications.
  • Referring now to FIG. 19, there is shown another optional additional exemplary structure 1900 where a strip polysilicon and pad oxide process step 1902 is performed to remove the polysilicon gate 1312 and the pad oxide 1304, shown in FIG. 18. Next a gate dielectric 1904 is deposited, such as an oxide, oxynitride, HfO2, and the like. Then a metal gate 1906 is deposited onto the gate dielectric 1904. The exemplary structure 1900 provides the enhanced SOI transistor for applications requiring a high-K gate dielectric 1904, that can be deposited when no further high temperature processes or processes at a temperature greater than 600° C., are required. The exemplary structure 1900 substantially eliminates tunnel current leakage with gate dielectric 1904 having, for example, an effective dielectric constant K in a range greater than 7 and less than 30, or 7<K≦30.
  • Using high-K dielectric process available in the art, and alternative work function gate materials such as a metal which are limited by materials and processes available in the art. For today's high-K and metal gate materials, the limit of post-gate stack formation high temperature processing is in the range of 450° C.-800° C. depending on the materials.
  • Referring now to FIG. 20A, an additional exemplary structure 2000 is shown following deposition of a dielectric layer 2002 and a plurality of contacts 2004 are formed to connect to silicide layers 1710 and metal gate 1906. A metal wiring layer 2006 is formed and connected to the plurality of contacts 2004. The advantages of this method are that both thick and thin BOX are supported. An offset region to channel is not required. The damascene gate process avoids any damage to the gate dielectric 1306 or 1904 as this step is performed after the thick BOX region is completed. This damascene gate process may also integrate appropriate strain in S/D 1704 for mobility improvement for both PFET and NFET devices.
  • Referring now to FIG. 20B, an additional exemplary structure 2050 is shown that includes an independent contact 2060 formed to the substrate 1302, per transistor device enabling a new 3-Dimensional (3D) hybrid device to be created. 3D structure 2050 then includes a front gate 1906 having either a standard SiO2 or high K dielectric, a back gate 1302 having an SiO2 dielectric defined by BOX 1304. The device of 3D structure 2050 has three operating modes in which either the top transistor channel, the bottom transistor channel or the both channels are active. Both a new burn-In methodology and mixed mode device are provided.
  • By providing a high voltage, low performance oxide for BOX 1304 and a low voltage, high performance oxide for gate dielectric 1904 provides the ability to burn in the product with back gate 1302 at highly accelerated voltage levels.
  • The device of 3D structure 2050 can be used in a high performance mode either by utilizing active layer or silicon layer 1308 and back gate 1302 together, or just active layer or silicon layer 1308, or can be used in a low power mode by using just back gate 1302. We can also dynamically adjust the beta ratios of a circuit, where the beta ratio is defined as the ratio of NMOS to PMOS drive currents, by altering the voltage for the back gate 1302 and keeping a fixed voltage for active layer 1308. This hybrid device enables many enhanced features.
  • In accordance with features of the preferred embodiments, an optional step for implanting fluorine ions is performed, for example, after the oxygen implant and anneal steps of FIGS. 3 and 4; FIGS. 7A, 7B and 8; and FIGS. 15 and 16. The purpose of the fluorine ions implant step is for modulating the dielectric constant of the respective thick buried oxide 404, 802, 1604 as shown in FIGS. 4, 8, and 16. The fluorine ions implant step optionally following an anneal step shown in FIGS. 4, 8, and 16. The implanted fluorine ions are centered on the thick buried oxide 404, 802, 1604 as shown in FIGS. 4, 8, and 16.
  • While the present invention has been described with reference to the details of the embodiments of the invention shown in the drawing, these details are not intended to limit the scope of the invention as claimed in the appended claims.

Claims (12)

1-21. (canceled)
22. An enhanced silicon-on-insulator (SOI) transistor comprising:
a thin buried oxide (BOX) layer;
an active channel region over said thin buried oxide (BOX) layer;
a gate dielectric and a gate electrode over said active channel region;
source/drain regions adjacent said active channel region; said source/drain regions having selective strain for enhanced carrier mobility for both P-channel and N-channel devices; and
a thick self-aligned buried oxide (BOX) region under said source/drain regions.
23. An enhanced silicon-on-insulator (SOI) transistor as recited in claim 22 wherein said active channel region includes a selected one of a thick silicon region and a thin silicon region.
24. An enhanced silicon-on-insulator (SOI) transistor as recited in claim 22 wherein said source/drain regions having selective strain for enhanced carrier mobility includes a selective epitaxial SiC growth for N-channel devices.
25. An enhanced silicon-on-insulator (SOI) transistor as recited in claim 22 wherein said source/drain regions having selective strain for enhanced carrier mobility includes a selective epitaxial SiGe growth for P-channel devices.
26. An enhanced silicon-on-insulator (SOI) transistor as recited in claim 22 wherein said gate electrode includes an elongated gate having a portion extending over a portion of said source/drain regions.
27. An enhanced silicon-on-insulator (SOI) transistor as recited in claim 22 wherein said source and drain regions include at least one extension implant extending below said gate electrode.
28. An enhanced silicon-on-insulator (SOI) transistor as recited in claim 22 wherein said gate dielectric is formed of a material selected from a group including an oxide, an oxynitride, and HfO2.
29. An enhanced silicon-on-insulator (SOI) transistor as recited in claim 22 wherein said gate dielectric has a high dielectric constant K, wherein 3.1<K≦30.
30. An enhanced silicon-on-insulator (SOI) transistor as recited in claim 22 wherein said gate dielectric and said gate electrode over said active channel region includes a front gate stack including said gate dielectric having a high dielectric constant K and a metal gate electrode.
31. An enhanced silicon-on-insulator (SOI) transistor as recited in claim 30 includes a back gate stack including a SiO2 dielectric layer and a conductive silicon layer.
32. An enhanced silicon-on-insulator (SOI) transistor as recited in claim 31 wherein said front gate stack and said back gate stack enable multiple device operating modes including a selected one of front channel functioning; back channel functioning; and both front channel functioning and back channel functioning.
US11/733,249 2005-04-14 2007-04-10 Enhanced silicon-on-insulator (soi) transistors and methods of making enhanced soi transistors Abandoned US20070190740A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/733,249 US20070190740A1 (en) 2005-04-14 2007-04-10 Enhanced silicon-on-insulator (soi) transistors and methods of making enhanced soi transistors

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/106,002 US7250351B2 (en) 2005-04-14 2005-04-14 Enhanced silicon-on-insulator (SOI) transistors and methods of making enhanced SOI transistors
US11/733,249 US20070190740A1 (en) 2005-04-14 2007-04-10 Enhanced silicon-on-insulator (soi) transistors and methods of making enhanced soi transistors

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/106,002 Division US7250351B2 (en) 2005-04-14 2005-04-14 Enhanced silicon-on-insulator (SOI) transistors and methods of making enhanced SOI transistors

Publications (1)

Publication Number Publication Date
US20070190740A1 true US20070190740A1 (en) 2007-08-16

Family

ID=37107692

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/106,002 Expired - Fee Related US7250351B2 (en) 2005-04-14 2005-04-14 Enhanced silicon-on-insulator (SOI) transistors and methods of making enhanced SOI transistors
US11/733,249 Abandoned US20070190740A1 (en) 2005-04-14 2007-04-10 Enhanced silicon-on-insulator (soi) transistors and methods of making enhanced soi transistors

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/106,002 Expired - Fee Related US7250351B2 (en) 2005-04-14 2005-04-14 Enhanced silicon-on-insulator (SOI) transistors and methods of making enhanced SOI transistors

Country Status (1)

Country Link
US (2) US7250351B2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080230835A1 (en) * 2007-03-23 2008-09-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20110220805A1 (en) * 2010-03-09 2011-09-15 International Business Machines Corporation Fet radiation monitor
US20110227159A1 (en) * 2010-03-16 2011-09-22 International Business Machines Corporation Thin-box metal backgate extremely thin soi device
US8361829B1 (en) 2011-08-31 2013-01-29 International Business Machines Corporation On-chip radiation dosimeter
US8614111B2 (en) 2011-07-25 2013-12-24 International Business Machines Corporation Fully depleted silicon on insulator neutron detector
US20140349448A1 (en) * 2013-05-22 2014-11-27 International Business Machines Corporation Silicon-based electronics with disabling feature
US9515181B2 (en) 2014-08-06 2016-12-06 Qualcomm Incorporated Semiconductor device with self-aligned back side features
WO2018063194A1 (en) * 2016-09-28 2018-04-05 Intel Corporation Systems, methods and devices for isolation for subfin leakage

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5113999B2 (en) * 2004-09-28 2013-01-09 シャープ株式会社 Hydrogen ion implantation separation method
US7446350B2 (en) * 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
US7566629B2 (en) * 2005-06-16 2009-07-28 International Business Machines Corporation Patterned silicon-on-insulator layers and methods for forming the same
US7501336B2 (en) * 2005-06-21 2009-03-10 Intel Corporation Metal gate device with reduced oxidation of a high-k gate dielectric
KR100666368B1 (en) * 2005-08-09 2007-01-09 삼성전자주식회사 Transistor and method of manufacturing the same
US20070037333A1 (en) * 2005-08-15 2007-02-15 Texas Instruments Incorporated Work function separation for fully silicided gates
US7470577B2 (en) * 2005-08-15 2008-12-30 Texas Instruments Incorporated Dual work function CMOS devices utilizing carbide based electrodes
US7816217B2 (en) * 2005-12-22 2010-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step epitaxial process for depositing Si/SiGe
US7666721B2 (en) * 2006-03-15 2010-02-23 International Business Machines Corporation SOI substrates and SOI devices, and methods for forming the same
US7767539B2 (en) * 2007-12-04 2010-08-03 International Business Machines Corporation Method of fabricating patterned SOI devices and the resulting device structures
KR20090096885A (en) * 2008-03-10 2009-09-15 삼성전자주식회사 Semiconductor device having a locally buried insulation layer and method of manufacturing the semiconductor device
US8487354B2 (en) * 2009-08-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving selectivity of epi process
US8716798B2 (en) 2010-05-13 2014-05-06 International Business Machines Corporation Methodology for fabricating isotropically recessed source and drain regions of CMOS transistors
US8431995B2 (en) * 2010-05-13 2013-04-30 International Business Machines Corporation Methodology for fabricating isotropically recessed drain regions of CMOS transistors
US8647935B2 (en) 2010-12-17 2014-02-11 International Business Machines Corporation Buried oxidation for enhanced mobility
US8507989B2 (en) * 2011-05-16 2013-08-13 International Business Machine Corporation Extremely thin semiconductor-on-insulator (ETSOI) FET with a back gate and reduced parasitic capacitance
US8533639B2 (en) * 2011-09-15 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Optical proximity correction for active region design layout
US20140197461A1 (en) * 2013-01-14 2014-07-17 International Rectifier Corporation Semiconductor Structure Including A Spatially Confined Dielectric Region
US20140197462A1 (en) * 2013-01-14 2014-07-17 International Rectifier Corporation III-Nitride Transistor with High Resistivity Substrate
US9087724B2 (en) 2013-03-21 2015-07-21 International Business Machines Corporation Method and structure for finFET CMOS
US20150021689A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation Asymmetrical replacement metal gate field effect transistor
US9553149B2 (en) * 2013-11-08 2017-01-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with a strained region and method of making
US20160190318A1 (en) * 2014-12-30 2016-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10672795B2 (en) 2018-06-27 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Bulk semiconductor substrate configured to exhibit semiconductor-on-insulator behavior

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5712173A (en) * 1996-01-24 1998-01-27 Advanced Micro Devices, Inc. Method of making semiconductor device with self-aligned insulator
US20030223258A1 (en) * 2002-06-04 2003-12-04 Wei Andy C. Method of making an soi semiconductor device having enhanced, self-aligned dielectric regions in the bulk silicon substrate
US20050287759A1 (en) * 2004-06-23 2005-12-29 Chih-Hao Wang Method and apparatus for a semiconductor device with a high-k gate dielectric
US20060166492A1 (en) * 2005-01-26 2006-07-27 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050116290A1 (en) * 2003-12-02 2005-06-02 De Souza Joel P. Planar substrate with selected semiconductor crystal orientations formed by localized amorphization and recrystallization of stacked template layers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5712173A (en) * 1996-01-24 1998-01-27 Advanced Micro Devices, Inc. Method of making semiconductor device with self-aligned insulator
US20030223258A1 (en) * 2002-06-04 2003-12-04 Wei Andy C. Method of making an soi semiconductor device having enhanced, self-aligned dielectric regions in the bulk silicon substrate
US20050287759A1 (en) * 2004-06-23 2005-12-29 Chih-Hao Wang Method and apparatus for a semiconductor device with a high-k gate dielectric
US20060166492A1 (en) * 2005-01-26 2006-07-27 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8253252B2 (en) * 2007-03-23 2012-08-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20080230835A1 (en) * 2007-03-23 2008-09-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20110220805A1 (en) * 2010-03-09 2011-09-15 International Business Machines Corporation Fet radiation monitor
US8080805B2 (en) * 2010-03-09 2011-12-20 International Business Machines Corporation FET radiation monitor
US8431994B2 (en) * 2010-03-16 2013-04-30 International Business Machines Corporation Thin-BOX metal backgate extremely thin SOI device
US20110227159A1 (en) * 2010-03-16 2011-09-22 International Business Machines Corporation Thin-box metal backgate extremely thin soi device
US8614111B2 (en) 2011-07-25 2013-12-24 International Business Machines Corporation Fully depleted silicon on insulator neutron detector
US8476683B2 (en) 2011-08-31 2013-07-02 International Business Machines Corporation On-chip radiation dosimeter
US8361829B1 (en) 2011-08-31 2013-01-29 International Business Machines Corporation On-chip radiation dosimeter
US20140349448A1 (en) * 2013-05-22 2014-11-27 International Business Machines Corporation Silicon-based electronics with disabling feature
US20140346685A1 (en) * 2013-05-22 2014-11-27 International Business Machines Corporation Silicon-based electronics with disabling feature
US9087851B2 (en) * 2013-05-22 2015-07-21 International Business Machines Corporation Silicon-based electronics with disabling feature
US9087852B2 (en) * 2013-05-22 2015-07-21 International Business Machines Corporation Method for manufacturing silicon-based electronics with disabling feature
US9515181B2 (en) 2014-08-06 2016-12-06 Qualcomm Incorporated Semiconductor device with self-aligned back side features
WO2018063194A1 (en) * 2016-09-28 2018-04-05 Intel Corporation Systems, methods and devices for isolation for subfin leakage
US10644112B2 (en) 2016-09-28 2020-05-05 Intel Corporation Systems, methods and devices for isolation for subfin leakage

Also Published As

Publication number Publication date
US7250351B2 (en) 2007-07-31
US20060231892A1 (en) 2006-10-19

Similar Documents

Publication Publication Date Title
US7250351B2 (en) Enhanced silicon-on-insulator (SOI) transistors and methods of making enhanced SOI transistors
US7259049B2 (en) Self-aligned isolation double-gate FET
US7348629B2 (en) Metal gated ultra short MOSFET devices
US6432754B1 (en) Double SOI device with recess etch and epitaxy
US6633066B1 (en) CMOS integrated circuit devices and substrates having unstrained silicon active layers
US8809953B2 (en) FET structures with trench implantation to improve back channel leakage and body resistance
US7569434B2 (en) PFETs and methods of manufacturing the same
US20050196926A1 (en) Strained silicon-channel MOSFET using a damascene gate process
US20060131657A1 (en) Semiconductor integrated circuit device and method for the same
WO2009053327A1 (en) Method for fabricating super-steep retrograde well mosfet on soi or bulk silicon substrate, and device fabricated in accordance with the method
CN103038721A (en) Advanced transistors with punch through suppression
US9564488B2 (en) Strained isolation regions
US7648880B2 (en) Nitride-encapsulated FET (NNCFET)
US6495887B1 (en) Argon implantation after silicidation for improved floating-body effects
US6391728B1 (en) Method of forming a highly localized halo profile to prevent punch-through
US6501135B1 (en) Germanium-on-insulator (GOI) device
US6555482B2 (en) Process for fabricating a MOS transistor having two gates, one of which is buried and corresponding transistor
US7687861B2 (en) Silicided regions for NMOS and PMOS devices
US6657261B2 (en) Ground-plane device with back oxide topography
US6867104B2 (en) Method to form a structure to decrease area capacitance within a buried insulator device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION