TWI498447B - Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors - Google Patents

Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors Download PDF

Info

Publication number
TWI498447B
TWI498447B TW100111512A TW100111512A TWI498447B TW I498447 B TWI498447 B TW I498447B TW 100111512 A TW100111512 A TW 100111512A TW 100111512 A TW100111512 A TW 100111512A TW I498447 B TWI498447 B TW I498447B
Authority
TW
Taiwan
Prior art keywords
precursor
metal
reactor
film
sccm
Prior art date
Application number
TW100111512A
Other languages
Chinese (zh)
Other versions
TW201213597A (en
Inventor
Katsuko Higashino
Kazutaka Yanagita
Original Assignee
Air Liquide
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Liquide filed Critical Air Liquide
Publication of TW201213597A publication Critical patent/TW201213597A/en
Application granted granted Critical
Publication of TWI498447B publication Critical patent/TWI498447B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積Metal nitride-containing thin film deposition using an amine metal in combination with a metal halide precursor

相關申請案之交叉引用Cross-reference to related applications

本申請案主張2010年4月1日申請之美國臨時申請案第61/320,236號的權利,該案之全部內容以引用的方式併入本文中。The present application claims the benefit of U.S. Provisional Application No. 61/320,236, filed on Apr. 1, 2010, the entire disclosure of which is incorporated herein by reference.

揭示自胺基金屬前驅物與鹵化金屬前驅物之組合形成含金屬氮化物之薄膜,較佳自胺基矽烷前驅物與氯矽烷前驅物之組合形成含SiN之薄膜的方法。變化胺基金屬前驅物與鹵化金屬前驅物之順序反應實現了具有變化之化學計量的含金屬氮化物之薄膜的形成。另外,含金屬氮化物之薄膜的組成可基於胺基金屬前驅物之結構而加以修飾。所揭示製程可為熱製程或低溫下的電漿製程。A method of forming a metal nitride-containing film from a combination of an amine metal precursor and a metal halide precursor, preferably a combination of an amine decane precursor and a chlorodecane precursor, to form a film comprising SiN is disclosed. The sequential reaction of the varying amine metal precursor with the metal halide precursor results in the formation of a metal nitride-containing film having varying stoichiometry. Further, the composition of the metal nitride-containing film can be modified based on the structure of the amine-based metal precursor. The disclosed process can be a thermal process or a plasma process at low temperatures.

諸如氮化矽(SiN)薄膜之含金屬氮化物之薄膜廣泛用於半導體器件及超大規模積體(ULSI)電路中。根據日益需要較高LSI安裝密度的電子器件之小型化及增長的複雜性,需要SiN薄膜改良其對抗電流洩漏的薄膜品質。另外,亦將SiCN薄膜用作用於Cu佈線之雙鑲嵌結構中的蝕刻擋止器。Metal nitride-containing films such as tantalum nitride (SiN) films are widely used in semiconductor devices and ultra-large scale integrated (ULSI) circuits. In view of the miniaturization and increasing complexity of electronic devices that are increasingly requiring higher LSI mounting densities, SiN films are required to improve their film quality against current leakage. In addition, an SiCN film is also used as an etch stopper in a dual damascene structure for Cu wiring.

氮化矽(SiN)薄膜已被研究以在線後端(BEOL)製程中應用為鑲嵌內之蝕刻終止/襯墊層。在浮閘電晶體內,閘間介電層可包含(例如)SiO2 或SiN。另外,摻雜碳之SiN層提供高的抗蝕刻性。Niobium nitride (SiN) films have been investigated for use as in-line etch stop/pad layers in a wire back end (BEOL) process. Within the floating gate transistor, the inter-gate dielectric layer can comprise, for example, SiO 2 or SiN. In addition, the carbon-doped SiN layer provides high etch resistance.

當大規模整合(LSI)之尺寸按比例縮小時,薄膜深度應較薄,從而需要更精確控制之製程(例如,原子層沈積(ALD))。另外,需要沈積溫度降低。ALD廣泛用於許多製程(例如,SiO2 、SiN及金屬薄膜)。參見(例如)美國專利第7648927號。然而,沈積速率趨於低於化學氣相沈積(CVD)。當沈積溫度較低時,SiN之沈積速率及薄膜品質較差。When the size of a large scale integration (LSI) is scaled down, the film depth should be thinner, requiring a more precisely controlled process (eg, atomic layer deposition (ALD)). In addition, a reduction in deposition temperature is required. ALD is widely used in many processes (eg, SiO 2 , SiN, and metal films). See, for example, U.S. Patent No. 7,648,927. However, the deposition rate tends to be lower than chemical vapor deposition (CVD). When the deposition temperature is low, the deposition rate of SiN and the film quality are poor.

許多文章已報告,藉由PECVD、PEALD藉由使用氯矽烷及活性NH3 以及引入胺、CH4 或C2 H4 作為碳源而沈積高品質SiN及SiCN薄膜(參見(例如)WO2009/149167及US2008/0213479)。Many articles have reported the deposition of high quality SiN and SiCN films by PECVD, PEALD using chlorodecane and reactive NH 3 and the introduction of amines, CH 4 or C 2 H 4 as carbon sources (see, for example, WO 2009/149167 and US2008/0213479).

仍需要更精確控制之製程來沈積含金屬氮化物之薄膜。There is still a need for a more precisely controlled process for depositing a metal nitride containing film.

記法及命名Notation and naming

某些縮寫、符號及術語遍及以下描述及申請專利範圍被使用並包括:縮寫「A」指代埃且1埃=100微微米;縮寫「PECVD」指代電漿增強型化學氣相沈積;縮寫「CVD」指代化學氣相沈積;縮寫「RF」指代射頻,縮寫「DR」指代沈積速率,且縮寫「RI」指代折射率。Certain abbreviations, symbols and terms are used throughout the following description and claims: abbreviations "A" refers to angstroms and 1 angstrom = 100 micrometers; abbreviation "PECVD" refers to plasma enhanced chemical vapor deposition; abbreviations "CVD" refers to chemical vapor deposition; the abbreviation "RF" refers to radio frequency, the abbreviation "DR" refers to the deposition rate, and the abbreviation "RI" refers to the refractive index.

術語「烷基」指代排他地含有碳及氫原子之飽和官能基。此外,術語「烷基」指代直鏈、支鏈或環狀烷基。直鏈烷基之實例包括(不限於)甲基、乙基、丙基、丁基等。支鏈烷基之實例包括(不限於)第三丁基。環狀烷基之實例包括(不限於)環丙基、環丁基、環戊基、環己基等。The term "alkyl" refers to a saturated functional group that exclusively contains carbon and hydrogen atoms. Further, the term "alkyl" refers to a straight chain, branched chain or cyclic alkyl group. Examples of linear alkyl groups include, without limitation, methyl, ethyl, propyl, butyl, and the like. Examples of branched alkyl groups include, without limitation, a third butyl group. Examples of the cyclic alkyl group include, without limitation, a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, a cyclohexyl group, and the like.

如本文中所使用,縮寫「Me」指代甲基;縮寫「Et」指代乙基;縮寫「Pr」指代丙基;縮寫「nPr」指代鏈丙基;縮寫「iPr」指代異丙基;縮寫「Bu」指代丁基(正丁基);縮寫「tBu」指代第三丁基;縮寫「sBu」指代第二丁基;縮寫「iBu」指代異丁基;且縮寫「TMS」指代三甲基矽烷基。As used herein, the abbreviation "Me" refers to methyl; the abbreviation "Et" refers to ethyl; the abbreviation "Pr" refers to propyl; the abbreviation "nPr" refers to a chain propyl; the abbreviation "iPr" refers to a different Propyl; the abbreviation "Bu" refers to butyl (n-butyl); the abbreviation "tBu" refers to the third butyl; the abbreviation "sBu" refers to the second butyl; the abbreviation "iBu" refers to isobutyl; The abbreviation "TMS" refers to trimethylsulfonyl.

本文中使用來自元素週期表之元素的標準縮寫。應理解,元素可由此等縮寫來指代(例如,Si指代矽,C指代碳,等)。Standard abbreviations from elements of the periodic table are used herein. It should be understood that elements may be referred to by such abbreviations (eg, Si refers to hydrazine, C refers to carbon, etc.).

所揭示內容為形成含金屬氮化物之薄膜的方法。將鹵化金屬前驅物引入至含有至少一基板之ALD反應器中。隨後將多餘鹵化金屬前驅物自該反應器清除。將胺基金屬前驅物引入至該反應器中。隨後將多餘胺基金屬前驅物自該反應器清除。可視情況將反應物引入至該反應器中。隨後將多餘可選反應物自該反應器清除。鹵化金屬前驅物之金屬及胺基金屬前驅物之金屬可為相同或不同的。The disclosure is a method of forming a metal nitride-containing film. The metal halide precursor is introduced into an ALD reactor containing at least one substrate. The excess metal halide precursor is then removed from the reactor. An amine metal precursor is introduced into the reactor. The excess amine metal precursor is then removed from the reactor. The reactants can optionally be introduced into the reactor. Excess optional reactants are then removed from the reactor. The metal of the metal halide precursor and the metal of the amine metal precursor may be the same or different.

所揭示內容亦為形成含金屬氮化物之薄膜的方法。將鹵化金屬前驅物引入至含有至少一基板之ALD反應器中。隨後將多餘鹵化金屬前驅物自該反應器清除。將胺基金屬前驅物引入至該反應器中。隨後將多餘胺基金屬前驅物自該反應器清除。將反應物引入至該反應器中。隨後將多餘反應物自該反應器清除。鹵化金屬前驅物之金屬及胺基金屬前驅物之金屬可為相同或不同的。The disclosure is also a method of forming a metal nitride-containing film. The metal halide precursor is introduced into an ALD reactor containing at least one substrate. The excess metal halide precursor is then removed from the reactor. An amine metal precursor is introduced into the reactor. The excess amine metal precursor is then removed from the reactor. The reactants are introduced into the reactor. The excess reactant is then removed from the reactor. The metal of the metal halide precursor and the metal of the amine metal precursor may be the same or different.

所揭示內容亦為形成含氮化矽之薄膜的方法。將氯矽烷前驅物引入至含有至少一基板之ALD反應器中。隨後將多餘氯矽烷前驅物自該反應器清除。將胺基矽烷前驅物引入至該反應器中。隨後將多餘胺基矽烷前驅物自該反應器清除。可視情況將反應物引入至該反應器中。隨後將多餘可選反應物自該反應器清除。The disclosure is also a method of forming a film containing tantalum nitride. The chlorodecane precursor is introduced into an ALD reactor containing at least one substrate. The excess chlorodecane precursor is then removed from the reactor. The amino decane precursor is introduced into the reactor. The excess aminodecane precursor is then removed from the reactor. The reactants can optionally be introduced into the reactor. Excess optional reactants are then removed from the reactor.

所揭示內容亦為形成含氮化矽之薄膜的方法。將氯矽烷前驅物引入至含有至少一基板之ALD反應器中。隨後將多餘氯矽烷前驅物自該反應器清除。將胺基矽烷前驅物引入至該反應器中。隨後將多餘胺基矽烷前驅物自該反應器清除。將反應物引入至該反應器中。隨後將多餘反應物自該反應器清除。The disclosure is also a method of forming a film containing tantalum nitride. The chlorodecane precursor is introduced into an ALD reactor containing at least one substrate. The excess chlorodecane precursor is then removed from the reactor. The amino decane precursor is introduced into the reactor. The excess aminodecane precursor is then removed from the reactor. The reactants are introduced into the reactor. The excess reactant is then removed from the reactor.

所揭示方法中之每一者可進一步包括以下態樣中之一或多者:Each of the disclosed methods can further include one or more of the following:

‧ 反應物係選自由以下各物組成之群:N2 、NH3 、N2 H4 、NMeH2 、NEtH2 、NMe2 H、NEt2 H、NMe3 、NEt3 、MeHNNH2 、Me2 NNH2 、苯肼及其混合物;‧ The reactants are selected from the group consisting of N 2 , NH 3 , N 2 H 4 , NMeH 2 , NEtH 2 , NMe 2 H, NEt 2 H, NMe 3 , NEt 3 , MeHNNH 2 , Me 2 NNH 2 , benzoquinone and mixtures thereof;

‧ 反應物為NH3‧ The reactant is NH 3 ;

‧ 藉由使方法步驟之次序變化而產生具有指定化學計量的含金屬氮化物之薄膜;‧ producing a metal nitride-containing film having a specified stoichiometry by varying the order of the method steps;

‧ 鹵化前驅物為氯化金屬前驅物;‧ The halogenated precursor is a metal chloride precursor;

‧ 含金屬氮化物之薄膜為含有一種或兩種金屬之金屬碳氮化物薄膜;‧ The metal nitride-containing film is a metal carbonitride film containing one or two metals;

‧ 金屬係選自過渡金屬、金屬或非金屬元素;‧ The metal is selected from transition metals, metals or non-metallic elements;

‧ 金屬為硼或磷;‧ The metal is boron or phosphorus;

‧ 含金屬氮化物之薄膜為含氮化矽之薄膜;‧ The metal nitride-containing film is a film containing tantalum nitride;

‧ 鹵化金屬前驅物為氯矽烷前驅物;‧ The metal halide precursor is a chlorodecane precursor;

‧ 胺基金屬前驅物為胺基矽烷前驅物;‧ The amine metal precursor is an amino decane precursor;

‧ 含氮化矽之薄膜為摻雜碳之SiN薄膜;‧ The film containing tantalum nitride is a carbon-doped SiN film;

‧ 氯矽烷前驅物具有式Sia Hb Clc ,其中b+c=2a+2;‧ the chlorodecane precursor has the formula Si a H b Cl c , where b+c=2a+2;

‧ 氯矽烷前驅物作為混合物而引入;‧ a chlorodecane precursor introduced as a mixture;

‧ 胺基矽烷前驅物具有式H4-x Si(NR'R")x ,其中x=1、2、3或4,R'及R"獨立地選自H或烷基,且R'及R"可經鍵聯以形成環結構;‧ Aminodecane precursor having the formula H 4-x Si(NR'R") x wherein x=1, 2, 3 or 4, R' and R" are independently selected from H or alkyl, and R' and R" may be bonded to form a ring structure;

‧ 胺基矽烷前驅物包含胺基氯矽烷或胺基烷基矽烷;‧ the aminodecane precursor comprises an aminochlorodecane or an aminoalkyl decane;

‧ 胺基氯矽烷前驅物具有式Cl4-x Si(NR'R")x ,其中x=2或3,R'及R"獨立地選自H或烷基,且R'及R"可經鍵聯以形成環結構;及‧ Aminochloromethane precursors have the formula Cl 4-x Si(NR'R") x , where x = 2 or 3, R' and R" are independently selected from H or alkyl, and R' and R" are Bonded to form a ring structure; and

‧ 胺基烷基矽烷前驅物具有式R'''4-x Si(NR'R")x ,其中x=1、2或3,R'及R"獨立地選自H或烷基,R'及R"可經鍵聯以形成環結構,且R'''基為具有少於3個碳之烷基。‧ The aminoalkyl decane precursor has the formula R''' 4-x Si(NR'R") x , wherein x = 1, 2 or 3, and R' and R" are independently selected from H or alkyl, R 'and R' may be bonded to form a ring structure, and the R'' group is an alkyl group having less than 3 carbons.

為進一步理解本發明之本質及目標,結合隨附圖式參考以下實施方式。To further understand the nature and objects of the present invention, reference is made to the following embodiments in conjunction with the drawings.

所揭示內容為使用胺基金屬前驅物及鹵化金屬前驅物之交替供應形成含金屬氮化物之薄膜的ALD方法。胺基金屬前驅物與鹵化金屬前驅物之順序反應產生緻密的富金屬薄膜。鹵化金屬前驅物之金屬可與胺基金屬前驅物之金屬相同或不同。The disclosure is an ALD process for forming a metal nitride-containing film using alternating supply of an amine metal precursor and a metal halide precursor. The sequential reaction of the amine metal precursor with the metal halide precursor produces a dense metal-rich film. The metal of the metal halide precursor may be the same as or different from the metal of the amine metal precursor.

所揭示內容亦為在熱條件或低溫電漿條件下使用胺基矽烷前驅物及氯矽烷前驅物之交替供應形成含氮化矽之薄膜(較佳碳氮化矽薄膜)的ALD方法。胺基矽烷前驅物與氯矽烷前驅物之順序反應在低於許多先前技術氮化矽薄膜沈積製程之溫度的溫度下產生緻密的富矽薄膜。碳氮化矽薄膜或者可被稱為摻雜碳之氮化矽薄膜。一般熟習此項技術者將認識到,氮化矽薄膜中之碳的含量判定適當之命名,摻雜碳之氮化矽薄膜中碳的量典型地小於碳氮化矽薄膜中碳的量。然而,一般熟習此項技術者將進一步認識到,判定適當命名的薄膜中碳之準確百分比未被定義且將因人而異。The disclosure also discloses an ALD process for forming a tantalum nitride-containing film (preferably a hafnium carbonitride film) using alternating supply of an amino decane precursor and a chlorodecane precursor under thermal or low temperature plasma conditions. The sequential reaction of the aminodecane precursor with the chlorodecane precursor produces a dense, ruthenium-rich film at temperatures lower than those of many prior art tantalum nitride film deposition processes. The tantalum carbonitride film may be referred to as a tantalum nitride film doped with carbon. Those skilled in the art will recognize that the amount of carbon in the tantalum nitride film is appropriately named. The amount of carbon in the carbon-doped tantalum nitride film is typically less than the amount of carbon in the tantalum carbonitride film. However, those of ordinary skill in the art will further recognize that determining the exact percentage of carbon in an appropriately named film is not defined and will vary from person to person.

所揭示方法藉由ALD自胺基金屬及鹵化金屬前驅物形成含金屬氮化物之薄膜(諸如,SiN)或金屬碳氮化物薄膜(諸如,SiCN)。含金屬氮化物之薄膜可為含有一或兩種金屬之金屬碳氮化物薄膜。舉例而言,金屬碳氮化物薄膜可為SiHfCN薄膜。或者,SiN薄膜可摻雜碳。The disclosed method forms a metal nitride-containing film (such as SiN) or a metal carbonitride film (such as SiCN) from an amine metal and a metal halide precursor by ALD. The metal nitride-containing film may be a metal carbonitride film containing one or two metals. For example, the metal carbonitride film can be a SiHfCN film. Alternatively, the SiN film can be doped with carbon.

如實施例2至4中將進一步詳細描述,具有指定化學計量之含金屬氮化物之薄膜可藉由使所揭示方法步驟的次序變化而產生。此外,所揭示方法步驟之持續時間亦可變化,以便「調諧」所得薄膜。As will be described in further detail in Examples 2 through 4, a metal nitride-containing film having a specified stoichiometry can be produced by varying the order of the disclosed method steps. In addition, the duration of the disclosed method steps can also be varied to "tune" the resulting film.

將鹵化金屬前驅物引入至含有一或多個基板之ALD反應器中。可在胺基金屬前驅物之前或之後將鹵化金屬前驅物引入至ALD反應器中。反應器內之條件准許鹵化金屬前驅物之至少部分自吸附於基板上。一般熟習此項技術者將認識到,基板性質將定義鹵化金屬前驅物在此步驟中是經歷物理吸附抑或化學吸附。舉例而言,若鹵化金屬前驅物在胺基金屬前驅物之後引入至反應器中,則鹵化金屬前驅物之至少部分將與在先前步驟中所沈積的胺基金屬前驅物之部分反應/化學吸附。將任何未吸附或「多餘」之鹵化金屬前驅物清除出反應器。鹵化金屬前驅物在低溫下與NH3 /胺基金屬前驅物發生反應。The metal halide precursor is introduced into an ALD reactor containing one or more substrates. The metal halide precursor can be introduced into the ALD reactor before or after the amine metal precursor. The conditions within the reactor permit at least a portion of the metal halide precursor to self-adsorb to the substrate. Those of ordinary skill in the art will recognize that substrate properties will define a metal halide precursor that undergoes physical or chemical adsorption in this step. For example, if a metal halide precursor is introduced into the reactor after the amine metal precursor, at least a portion of the metal halide precursor will react/chemically adsorb with a portion of the amine metal precursor deposited in the previous step. . Any unadsorbed or "excess" metal halide precursor is removed from the reactor. The metal halide precursor reacts with the NH 3 /amine based metal precursor at low temperatures.

鹵化金屬前驅物可為氯化金屬前驅物。鹵化金屬或氯化金屬前驅物之金屬可為如元素週期表上通常定義的任何過渡金屬、金屬或非金屬元素。較佳過渡金屬包括(但不限於)Hf。較佳金屬包括(但不限於)Zn。較佳非金屬包括(但不限於)B、Si及P。鹵化金屬前驅物可應用為兩種或兩種以上鹵化金屬前驅物之混合物。較佳地,鹵化金屬前驅物為氯矽烷前驅物。例示性氯矽烷前驅物具有式Sia Hb Clc ,其中b+c=2a+2。例示性氯矽烷前驅物包括六氯二矽烷(HCDS)、SiCl4 、SiHCl3 、Si2 H5 Cl等及其混合物,諸如,HCDS與SiCl4 或HCDS與SiHCl3 。較佳地,鹵化金屬前驅物包含HfCl4 或HCDS,且更佳為HCDS。The metal halide precursor can be a metal chloride precursor. The metal of the metal halide or metal chloride precursor may be any transition metal, metal or non-metal element as generally defined on the periodic table of elements. Preferred transition metals include, but are not limited to, Hf. Preferred metals include, but are not limited to, Zn. Preferred non-metals include, but are not limited to, B, Si, and P. The metal halide precursor can be used as a mixture of two or more metal halide precursors. Preferably, the metal halide precursor is a chlorodecane precursor. An exemplary chlorodecane precursor has the formula Si a H b Cl c , where b+c=2a+2. Exemplary precursors include chloro Silane Silane hexachlorodisilane (HCDS), SiCl 4, SiHCl 3, Si 2 H 5 Cl and the like and mixtures thereof, such as, SiCl 4 or with HCDS HCDS and SiHCl 3. Preferably, the metal halide precursor comprises HfCl 4 or HCDS, and more preferably HCDS.

將胺基金屬前驅物引入至反應器中。反應器內之條件准許胺基金屬前驅物之至少部分自吸附於基板上。胺基金屬前驅物可在鹵化金屬前驅物之前或之後引入至ALD反應器中。再一次,一般熟習此項技術者將認識到,基板性質將定義胺基金屬前驅物在此步驟中是經歷物理吸附抑或化學吸附。舉例而言,若胺基金屬前驅物在鹵化金屬前驅物之後引入至反應器中,則胺基金屬前驅物之至少部分將與在先前步驟中所沈積的鹵化金屬前驅物之部分反應/化學吸附。接著將任何未吸附或「多餘」之胺基金屬前驅物清除出反應器。與僅充當N源之先前技術NH3 前驅物對比,胺基金屬前驅物可充當C源及N源兩者。胺基金屬前驅物之烷基胺基充當良好的離去基且產生良好的吸附。使胺基金屬前驅物之配位基變化提供修飾含金屬氮化物之薄膜之碳含量的能力。The amine metal precursor is introduced into the reactor. The conditions within the reactor permit at least a portion of the amine-based metal precursor to self-adsorb to the substrate. The amine metal precursor can be introduced into the ALD reactor before or after the metal halide precursor. Again, those skilled in the art will recognize that substrate properties will define an amine metal precursor that undergoes physical or chemical adsorption in this step. For example, if an amine metal precursor is introduced into the reactor after the metal halide precursor, at least a portion of the amine metal precursor will react/chemically adsorb with a portion of the metal halide precursor deposited in the previous step. . Any unadsorbed or "excess" amine metal precursor is then removed from the reactor. N serving as the only source of the prior art Comparative NH 3 precursor, metal precursor amine C may serve as both the source and the N source. The alkylamine group of the amine metal precursor acts as a good leaving group and produces good adsorption. The change in the ligand of the amine metal precursor provides the ability to modify the carbon content of the metal nitride containing film.

胺基金屬前驅物之金屬可為如元素週期表上通常定義的任何過渡金屬、金屬或非金屬元素。較佳過渡金屬包括(但不限於)Hf。較佳金屬包括(但不限於)Zn。較佳非金屬包括(但不限於)B、Si及P。胺基金屬前驅物可應用為兩種或兩種以上胺基金屬前驅物之混合物。胺基金屬前驅物可為胺基矽烷前驅物。例示性胺基矽烷前驅物具有式H4-x Si(NR'R")x ,其中x=1、2、3或4,R'及R"獨立地選自H或烷基,且R'及R"可經鍵聯以形成環結構。或者,胺基矽烷前驅物可為胺基氯矽烷前驅物或胺基烷基矽烷前驅物。例示性胺基氯矽烷前驅物具有式Cl4-x Si(NR'R")x ,其中x=2或3,且R'及R"係如先前定義。例示性胺基烷基矽烷前驅物具有式R'''4-x Si(NR'R")x ,其中x=1、2或3,R'及R"係如先前定義,且R'''基為具有小於3個碳之烷基。較佳地,胺基金屬前驅物為雙(二乙基胺基)矽烷(BDEAS)、參(二甲基胺基)矽烷(3DMAS)、肆(二甲基胺基)矽烷(4DMAS)或肆(乙基甲基胺基)鉿,且更佳為3DMAS及/或4DMAS。The metal of the amine metal precursor can be any transition metal, metal or non-metal element as generally defined on the periodic table of elements. Preferred transition metals include, but are not limited to, Hf. Preferred metals include, but are not limited to, Zn. Preferred non-metals include, but are not limited to, B, Si, and P. The amine metal precursor can be used as a mixture of two or more amine metal precursors. The amine metal precursor can be an amino decane precursor. An exemplary aminodecane precursor having the formula H 4-x Si(NR'R") x wherein x=1, 2, 3 or 4, R' and R" are independently selected from H or alkyl, and R' And R" may be bonded to form a ring structure. Alternatively, the amino decane precursor may be an amine chlorodecane precursor or an aminoalkyl decane precursor. An exemplary amino chlorodecane precursor has the formula Cl 4-x Si(NR'R") x , wherein x = 2 or 3, and R' and R" are as previously defined. The exemplary aminoalkyl decane precursor has the formula R''' 4-x Si (NR'R ") x , wherein x = 1, 2 or 3, R' and R" are as previously defined, and the R'' group is an alkyl group having less than 3 carbons. Preferably, the amine metal precursor is double (diethylamino) decane (BDEAS), ginseng (dimethylamino) decane (3DMAS), hydrazine (dimethylamino) decane (4DMAS) or hydrazine (ethylmethylamino) hydrazine, and More preferably 3DMAS and/or 4DMAS.

將鹵化金屬前驅物及胺基金屬前驅物(共同地稱為「前驅物」)以蒸氣形式各自個別地引入至反應器中。在此情形中,「個別地」及「各自」指代指定類別之前驅物,例如「鹵化金屬前驅物」,其可由一或多種鹵化前驅物組成。在以下段落中,個別地不意欲意謂僅一種鹵化金屬前驅物之蒸氣引入至反應器中。The metal halide precursor and the amine metal precursor (collectively referred to as "precursors") are each individually introduced into the reactor in vapor form. In this context, "individually" and "each" refer to a predecessor of a given class, such as a "halide metal precursor" which may be comprised of one or more halogenated precursors. In the following paragraphs, it is not individually intended to mean that only one vapor of the metal halide precursor is introduced into the reactor.

前驅物可以液體狀態個別地饋飼至蒸發器,其中該等前驅物在引入至反應器中之前各自個別地蒸發。在蒸發之前,前驅物中之每一者可視情況與一或多種溶劑混合。該等溶劑可選自由以下各物組成之群;甲苯、乙苯、二甲苯、1,3,5-三甲苯、癸烷、十二烷、辛烷、己烷、戊烷或其他。所得濃度可自約0.05 M變化至約2 M。The precursors can be individually fed to the evaporator in a liquid state, wherein the precursors each evaporate individually before being introduced into the reactor. Each of the precursors may optionally be combined with one or more solvents prior to evaporation. The solvents may be selected from the group consisting of toluene, ethylbenzene, xylene, 1,3,5-trimethylbenzene, decane, dodecane, octane, hexane, pentane or others. The resulting concentration can vary from about 0.05 M to about 2 M.

或者,前驅物可藉由將載氣傳遞至含有該等前驅物中之每一者的容器中或藉由使載氣起泡進入該等前驅物中之每一者中而個別地蒸發。該等前驅物中之每一者可視情況與一或多種溶劑在容器中混合。接著將載氣及個別前驅物作為蒸氣引入至反應器中。載氣可包括(但不限於)Ar、He、N2 及其混合物。藉由載氣起泡亦可移除存在於前驅物之溶液中的任何溶解氧。Alternatively, the precursor may be individually vaporized by passing a carrier gas into a vessel containing each of the precursors or by bubbling a carrier gas into each of the precursors. Each of the precursors may optionally be mixed with one or more solvents in a container. The carrier gas and individual precursors are then introduced into the reactor as a vapor. The carrier gas can include, but is not limited to, Ar, He, N 2, and mixtures thereof. Any dissolved oxygen present in the precursor solution can also be removed by bubbling the carrier gas.

必要時,可將容器加熱至准許前驅物處於液相並具有足夠之蒸氣壓力的溫度。容器可維持在(例如)0℃至150℃之範圍中之溫度下。熟習此項技術者認識到,可以已知方式調整容器之溫度以控制被蒸發之前驅物的量。If necessary, the vessel can be heated to a temperature that permits the precursor to be in the liquid phase and have sufficient vapor pressure. The container can be maintained at a temperature in the range of, for example, 0 °C to 150 °C. Those skilled in the art recognize that the temperature of the vessel can be adjusted in a known manner to control the amount of precursor that is evaporated.

可將每一前驅物之蒸氣引入至反應器中歷時約0.01秒至約60秒、或約5秒至約25秒、或約10秒至約20秒之時間週期。The vapor of each precursor can be introduced into the reactor for a time period of from about 0.01 seconds to about 60 seconds, or from about 5 seconds to about 25 seconds, or from about 10 seconds to about 20 seconds.

在一具體實例中,可將反應物引入至反應器中,在反應器處反應物與基板上之自吸附層反應。任何未反應或「多餘」之反應物接著被清除出反應器。反應物可為N2 、NH3 、N2 H4 、NMeH2 、NEtH2 、NMe2 H、NEt2 H、NMe3 、NEt3 、MeHNNH2 、Me2 NNH2 、苯肼及其混合物。較佳地,反應物為NH3 。然而,如隨後之實施例中將進一步詳細描述,可選反應物步驟之包括將取決於所得含金屬氮化物之薄膜中的元素之所要化學計量比。In one embodiment, the reactants can be introduced into a reactor where the reactants react with the self-adsorbing layer on the substrate. Any unreacted or "excess" reactants are then removed from the reactor. The reactants can be N 2 , NH 3 , N 2 H 4 , NMeH 2 , NEtH 2 , NMe 2 H, NEt 2 H, NMe 3 , NEt 3 , MeHNNH 2 , Me 2 NNH 2 , benzoquinone, and mixtures thereof. Preferably, the reactant is NH 3 . However, as will be described in further detail in the examples that follow, the optional reactant step will depend on the desired stoichiometric ratio of the elements in the resulting metal nitride-containing film.

反應物可藉由電漿來處理,以便將反應物分解成其自由基形式。電漿可產生或存在於反應器自身內。或者,(例如)在遠端定位之電漿系統中,電漿可一般處於遠離反應器的位置處。熟習此項技術者將認識到適於此電漿處理之方法及裝置。The reactants can be treated by plasma to decompose the reactants into their free radical form. The plasma can be produced or present within the reactor itself. Alternatively, for example, in a remotely located plasma system, the plasma can generally be at a location remote from the reactor. Those skilled in the art will recognize methods and apparatus suitable for such plasma processing.

舉例而言,可將反應物引入至直接電漿反應器(其在反應器中產生電漿)中,以在反應器中產生電漿處理之反應物。例示性直接電漿反應器包括由Trion技術所生產的TitanTM PECVD系統。可在電漿處理之前將反應物引入並保持於反應器中。或者,電漿處理可與反應物之引入同時發生。原位電漿典型地為在簇射頭與基板固持器之間所產生的13.56 MHz RF電容性耦合電漿。基板或簇射頭可取決於正離子碰撞是否發生而為帶電電極。原位電漿產生器中之典型施加電力為自約100 W至約1000 W。對於相同的電力輸入,使用原位電漿來解除關聯反應物典型地比不上使用遠端電漿源來達成反應物之解除關聯,且因此不如遠端電漿系統在反應物解除關聯方面有效,此可有益於在易於受電漿損壞的基板上沈積含金屬氮化物之薄膜。For example, the reactants can be introduced into a direct plasma reactor (which produces a plasma in the reactor) to produce a plasma treated reactant in the reactor. Exemplary direct plasma reactor technology includes a Trion produced Titan TM PECVD system. The reactants can be introduced and maintained in the reactor prior to the plasma treatment. Alternatively, the plasma treatment can occur simultaneously with the introduction of the reactants. The in-situ plasma is typically a 13.56 MHz RF capacitively coupled plasma produced between the showerhead and the substrate holder. The substrate or showerhead can be a charged electrode depending on whether a positive ion collision occurs. Typical applied power in an in-situ plasma generator is from about 100 W to about 1000 W. For the same power input, the use of in-situ plasma to dissociate the reactants is typically less than the use of a remote plasma source to achieve the disassociation of the reactants, and therefore less effective than the far end plasma system in detaching the reactants. This can be beneficial for depositing a metal nitride-containing film on a substrate that is susceptible to plasma damage.

或者,可在反應器之外部產生經電漿處理之反應物。MKS儀器之i活性氣體產生器可用以在傳遞至反應器中之前處理反應物。在2.45 GHz、7kW電漿電力及自約3托變化至約10托之壓力下操作,反應物NF3 可以超過96%分解效率分解成三個F- 基。較佳地,可以自約1 kW變化至約10 kW,更佳自約2.5 kW變化至約7.5 kW的電力產生遠端電漿。Alternatively, the plasma treated reactant can be produced outside of the reactor. MKS instrument The i reactive gas generator can be used to treat the reactants prior to delivery to the reactor. In 2.45 GHz, 7kW plasma power and changes from about 3 Torr to about 10 Torr at a pressure of the operation, the reaction was over 96% of NF 3 decomposition efficiency can be decomposed into three F - group. Preferably, the remote plasma can be generated from about 1 kW to about 10 kW, more preferably from about 2.5 kW to about 7.5 kW.

ALD反應器可為具有安置於其內之至少一或多個基板的加熱之器皿。反應器具有如下出口:可連接至真空泵以允許副產物自反應器移除,或允許反應器內之壓力被修改或調節。合適之ALD反應器之實例包括(不限於)平行板型反應器、冷壁型反應器、熱壁型反應器、單晶圓反應器、多晶圓反應器、直接電漿反應器,或在適於使前驅物反應並形成多個層之條件下的其他類型之沈積系統。The ALD reactor can be a heated vessel having at least one or more substrates disposed therein. The reactor has an outlet that can be connected to a vacuum pump to allow by-product removal from the reactor, or to allow the pressure within the reactor to be modified or adjusted. Examples of suitable ALD reactors include, without limitation, parallel plate reactors, cold wall reactors, hot wall reactors, single wafer reactors, multi-wafer reactors, direct plasma reactors, or Other types of deposition systems suitable for reacting precursors and forming multiple layers.

一般而言,反應器含有上面將沈積含金屬氮化物之薄膜的一或多個基板。舉例而言,反應器可含有自1至200個具有自25.4 mm至450 mm之直徑的矽晶圓。基板可為用於半導體、光伏打、平板或LCD-TFT器件製造中的任何合適基板。基板可含有一或多個額外材料層,該一或多個層可自先前製造步驟而呈現。介電層及導電層為此等額外材料層之實例。在本申請案之範疇內,基板及沈積於基板上之任何層中的全部共同地包括於術語基板內。合適之基板的實例包括(不限於)金屬基板、金屬氮化物基板、矽基板、矽石基板、氮化矽基板、氮氧化矽基板、鎢基板及其組合。另外,可使用包含鎢或貴金屬(例如,鉑、鈀、銠或金)之基板。較佳地,基板為金屬薄膜或金屬氮化物薄膜。In general, the reactor contains one or more substrates on which a metal nitride-containing film will be deposited. For example, the reactor can contain from 1 to 200 tantalum wafers having diameters from 25.4 mm to 450 mm. The substrate can be any suitable substrate for use in semiconductor, photovoltaic, flat panel or LCD-TFT device fabrication. The substrate may contain one or more additional layers of material that may be presented from previous fabrication steps. Examples of additional layers of dielectric layers such as dielectric layers and conductive layers. Within the scope of the present application, all of the substrate and any of the layers deposited on the substrate are collectively included within the term substrate. Examples of suitable substrates include, without limitation, metal substrates, metal nitride substrates, tantalum substrates, vermiculite substrates, tantalum nitride substrates, tantalum oxynitride substrates, tungsten substrates, and combinations thereof. In addition, a substrate containing tungsten or a noble metal such as platinum, palladium, rhodium or gold may be used. Preferably, the substrate is a metal film or a metal nitride film.

反應器之溫度可藉由控制基板固持器之溫度或控制反應器壁之溫度來控制。此項技術中已知用以加熱基板之器件。反應器被加熱至足夠之溫度,以獲得在足夠生長速率下生長並具有所要物理狀態及組成的所要的含金屬氮化物之薄膜。可將反應器加熱至的非限制例示性溫度範圍包括自約200℃至約700℃。當利用電漿沈積製程時,沈積溫度可自約200℃變化至約550℃。或者,當執行熱製程時,沈積溫度可自約400℃變化至約600℃。The temperature of the reactor can be controlled by controlling the temperature of the substrate holder or controlling the temperature of the reactor wall. Devices for heating substrates are known in the art. The reactor is heated to a temperature sufficient to obtain a desired metal nitride-containing film that grows at a sufficient growth rate and has the desired physical state and composition. Non-limiting exemplary temperature ranges to which the reactor can be heated include from about 200 °C to about 700 °C. When utilizing a plasma deposition process, the deposition temperature can vary from about 200 °C to about 550 °C. Alternatively, the deposition temperature may vary from about 400 ° C to about 600 ° C when the thermal process is performed.

ALD反應器中之壓力為約0.1托(13帕)至約10托(1300帕)。The pressure in the ALD reactor is from about 0.1 Torr (13 Pa) to about 10 Torr (1300 Pa).

在一較佳具體實例中,所揭示方法利用氯矽烷前驅物(較佳HfCl4 或HCDS)及胺基矽烷前驅物(較佳3DMAS、4DMAS或肆(乙基甲基胺基)鉿)以形成含SiN或含SiCN之薄膜。所形成之薄膜具有極低(自約0至約5原子%)的氯或氧含量。In a preferred embodiment, the disclosed process utilizes a chlorodecane precursor (preferably HfCl 4 or HCDS) and an amino decane precursor (preferably 3DMAS, 4DMAS or hydrazine (ethylmethylamino) hydrazine) to form A film containing SiN or SiCN. The resulting film has a very low (from about 0 to about 5 atomic %) chlorine or oxygen content.

所揭示方法藉由低溫下的原子層沈積及含SiN之薄膜中的C插入而解決關於含SiN之薄膜之薄膜品質的問題。The disclosed method solves the problem of film quality with respect to SiN-containing films by atomic layer deposition at low temperatures and C insertion in SiN-containing films.

所揭示方法提供勝於現有方法之以下優點:- 碳插入至具有胺基矽烷與氯矽烷之可調諧組合的SiN薄膜中原因為:- 組合之比率改變製成不同組成之薄膜。The disclosed method provides the following advantages over prior methods: - The insertion of carbon into a SiN film having a tunable combination of an amine decane and chlorodecane is due to: - The ratio of the combinations is changed to a film of a different composition.

實施例Example

提供以下非限制實施例以進一步說明本發明之具體實例。然而,該等實施例不欲包括全部且不欲限制本文中所描述之本發明的範疇。The following non-limiting examples are provided to further illustrate specific examples of the invention. However, the embodiments are not intended to be exhaustive or to limit the scope of the invention described herein.

實施例1Example 1

使用ALD方法以及三氯矽烷(3CS)及參(二甲基胺基)矽烷(3DMAS)前驅物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且100 sccm之Ar連續地流動。沈積製程由以下步驟組成:1)將約1 sccm之3CS的脈衝供應至反應腔室歷時10秒,2)藉由100 sccm之Ar清除3CS前驅物歷時30秒,3)將約1 sccm之3DMAS的脈衝供應至反應腔室歷時10秒,4)藉由100 sccm之Ar清除3DMAS前驅物歷時30秒。重複序列1)至4),直至沈積層達成合適之層厚度為止。A dense SiCN film was deposited using the ALD method and a precursor of trichloromethane (3CS) and dimethyl (dimethylamino) decane (3DMAS). The reaction chamber was controlled at 5 Torr, 550 ° C, and Ar of 100 sccm continuously flowed. The deposition process consisted of the following steps: 1) supplying a pulse of 3 CS of about 1 sccm to the reaction chamber for 10 seconds, 2) removing the 3CS precursor by 100 sccm for 30 seconds, 3) 3DMAS of about 1 sccm. The pulse was supplied to the reaction chamber for 10 seconds, 4) the 3DMAS precursor was purged by 100 sccm of Ar for 30 seconds. Sequences 1) to 4) are repeated until the deposited layer reaches a suitable layer thickness.

沈積薄膜展示沈積速率為約0.6埃/循環。折射率為2.1以上。The deposited film exhibited a deposition rate of about 0.6 angstroms/cycle. The refractive index is 2.1 or more.

實施例2aExample 2a

使用ALD方法藉由六氯二矽烷(HCDS)及參(二甲基胺基)矽烷(3DMAS)前驅物以及氨(NH3 )反應物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之3DMAS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除3DMAS前驅物歷時30秒,3)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,4)藉由55 sccm之Ar清除HCDS前驅物歷時30秒,5)將約50 sccm之NH3 的脈衝引入至反應腔室中歷時10秒,及6)藉由55 sccm之Ar清除NH3 反應物歷時10秒。重複序列1)至6),直至沈積層達成合適之層厚度為止。A dense SiCN film was deposited by the ALD method by a hexachlorodioxane (HCDS) and a dimethyl (dimethylamino) decane (3DMAS) precursor and an ammonia (NH 3 ) reactant. The reaction chamber was controlled at 5 Torr, 550 ° C, and Ar of 55 sccm continuously flowed. The deposition process comprises the steps of: 1) introducing a pulse of 3 DMAS of about 1 sccm into the reaction chamber for 10 seconds, 2) removing the 3DMAS precursor by 55 sccm of Ar for 30 seconds, 3) about 1 sccm of HCDS. The pulse was introduced into the reaction chamber for 10 seconds, 4) the HCDS precursor was purged by 55 sccm of Arrox for 30 seconds, 5) a pulse of about 50 sccm of NH 3 was introduced into the reaction chamber for 10 seconds, and 6) The NH 3 reaction was purged by 55 sccm of Ar for 10 seconds. Sequences 1) to 6) are repeated until the deposited layer reaches a suitable layer thickness.

圖1中展示沈積薄膜之沈積速率及折射率。所得薄膜中之矽及氮每一者的原子組成百分比大於40%但小於45%,而碳的原子組成百分比為約10%。所得薄膜中之氯及氧每一者的原子組成百分比為0%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為4.24埃/分鐘。The deposition rate and refractive index of the deposited film are shown in FIG. The atomic composition percentage of each of ruthenium and nitrogen in the obtained film is more than 40% but less than 45%, and the atomic composition percentage of carbon is about 10%. The atomic composition percentage of each of chlorine and oxygen in the obtained film was 0% or more but less than 5%. The wet etch rate of the resulting film etched from the HF solution was 4.24 angstroms per minute.

實施例2bExample 2b

使用ALD方法藉由HCDS 3DMAS前驅物以及氨(NH3 )反應物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除HCDS前驅物歷時30秒,3)將約1 sccm之3DMAS的脈衝引入至反應腔室中歷時10秒,4)藉由55 sccm之Ar清除3DMAS前驅物歷時30秒,5)將約50 sccm之NH3 的脈衝引入至反應腔室中歷時10秒,及6)藉由55 sccm之Ar清除NH3 反應物歷時10秒。重複序列1)至6),直至沈積層達合適層厚度為止。A dense SiCN film was deposited by the ALD method by the HCDS 3DMAS precursor and the ammonia (NH 3 ) reactant. The reaction chamber was controlled at 5 Torr, 550 ° C, and Ar of 55 sccm continuously flowed. The deposition process comprises the steps of: 1) introducing a pulse of about 1 sccm of HCDS into the reaction chamber for 10 seconds, 2) removing the HCDS precursor by 55 sccm of Ar for 30 seconds, 3) 3 DMA of about 1 sccm. The pulse is introduced into the reaction chamber for 10 seconds, 4) the 3DMAS precursor is removed by 55 sccm of Arrox for 30 seconds, 5) a pulse of about 50 sccm of NH 3 is introduced into the reaction chamber for 10 seconds, and 6) The NH 3 reaction was purged by 55 sccm of Ar for 10 seconds. Sequences 1) to 6) are repeated until the deposited layer reaches a suitable layer thickness.

圖2中展示沈積薄膜之沈積速率及折射率。所得薄膜中之矽的原子組成百分比大於45%但小於50%,所得薄膜中之氮的原子組成百分比大於30%但小於35%,且碳之原子組成百分比大於15%但小於20%。所得薄膜中之氯及氧每一者的原子組成百分比為0%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為0.54埃/分鐘。The deposition rate and refractive index of the deposited film are shown in FIG. The atomic composition percentage of ruthenium in the obtained film is more than 45% but less than 50%, and the atomic composition percentage of nitrogen in the obtained film is more than 30% but less than 35%, and the atomic composition percentage of carbon is more than 15% but less than 20%. The atomic composition percentage of each of chlorine and oxygen in the obtained film was 0% or more but less than 5%. The wet etch rate of the resulting film etched from the HF solution was 0.54 Å/min.

實施例2cExample 2c

使用ALD方法藉由HCDS及3DMAS前驅物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之3DMAS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除3DMAS前驅物歷時30秒,3)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,及4)藉由55 sccm之Ar清除HCDS前驅物歷時30秒。重複序列1)至4),直至沈積層達成合適之層厚度為止。A dense SiCN film was deposited by the ALD method by using HCDS and 3DMAS precursors. The reaction chamber was controlled at 5 Torr, 550 ° C, and Ar of 55 sccm continuously flowed. The deposition process comprises the steps of: 1) introducing a pulse of 3 DMAS of about 1 sccm into the reaction chamber for 10 seconds, 2) removing the 3DMAS precursor by 55 sccm of Ar for 30 seconds, 3) about 1 sccm of HCDS. The pulse was introduced into the reaction chamber for 10 seconds, and 4) the HCDS precursor was purged by 55 sccm of Ar for 30 seconds. Sequences 1) to 4) are repeated until the deposited layer reaches a suitable layer thickness.

圖3中展示沈積薄膜之沈積速率及折射率。所得薄膜中之矽的原子組成百分比大於50%但小於55%,所得薄膜中之碳的原子組成百分比大於30%但小於35%,且氮之原子組成百分比為約10%。所得薄膜中之氯及氧每一者的原子組成百分比為1%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為0.04埃/分鐘。The deposition rate and refractive index of the deposited film are shown in FIG. The atomic composition percentage of ruthenium in the obtained film is more than 50% but less than 55%, the atomic composition percentage of carbon in the obtained film is more than 30% but less than 35%, and the atomic composition percentage of nitrogen is about 10%. The atomic composition percentage of each of chlorine and oxygen in the obtained film was 1% or more but less than 5%. The wet etch rate of the resulting film etched from the HF solution was 0.04 Å/min.

實施例3aExample 3a

使用ALD方法藉由六氯二矽烷(HCDS)及肆(二甲基胺基)矽烷(4DMAS)前驅物以及氨(NH3 )反應物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之4DMAS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除4DMAS前驅物歷時30秒,3)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,4)藉由55 sccm之Ar清除HCDS前驅物歷時30秒,5)將約50 sccm之NH3 的脈衝引入至反應腔室中歷時10秒,及6)藉由55 sccm之Ar清除NH3 反應物歷時10秒。重複序列1)至6),直至沈積層達成合適之層厚度為止。A dense SiCN film was deposited by the ALD method by a hexachlorodioxane (HCDS) and a ruthenium (dimethylamino) decane (4DMAS) precursor and an ammonia (NH 3 ) reactant. The reaction chamber was controlled at 5 Torr, 550 ° C, and Ar of 55 sccm continuously flowed. The deposition process comprises the steps of: 1) introducing a pulse of 4 DMAS of about 1 sccm into the reaction chamber for 10 seconds, 2) removing the 4 DMAS precursor by 55 sccm of Ar for 30 seconds, 3) about 1 sccm of HCDS. The pulse was introduced into the reaction chamber for 10 seconds, 4) the HCDS precursor was purged by 55 sccm of Arrox for 30 seconds, 5) a pulse of about 50 sccm of NH 3 was introduced into the reaction chamber for 10 seconds, and 6) The NH 3 reaction was purged by 55 sccm of Ar for 10 seconds. Sequences 1) to 6) are repeated until the deposited layer reaches a suitable layer thickness.

圖4中展示沈積薄膜之沈積速率及折射率。所得薄膜中之氮的原子組成百分比為約45%,所得薄膜中之矽的原子組成百分比大於40%但小於45%,且碳之原子組成百分比大於5%但小於10%。所得薄膜中之氯及氧每一者的原子組成百分比為0%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為5.76埃/分鐘。The deposition rate and refractive index of the deposited film are shown in FIG. The atomic composition percentage of nitrogen in the obtained film was about 45%, the atomic composition percentage of ruthenium in the obtained film was more than 40% but less than 45%, and the atomic composition percentage of carbon was more than 5% but less than 10%. The atomic composition percentage of each of chlorine and oxygen in the obtained film was 0% or more but less than 5%. The wet etch rate of the resulting film etched from the HF solution was 5.76 angstroms per minute.

實施例3bExample 3b

使用ALD方法藉由HCDS及4DMAS前驅物以及氨(NH3 )反應物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除HCDS前驅物歷時30秒,3)將約1 sccm之4DMAS的脈衝引入至反應腔室中歷時10秒,4)藉由55 sccm之Ar清除4DMAS前驅物歷時30秒,5)將約50 sccm之NH3 的脈衝引入至反應腔室中歷時10秒,及6)藉由55 sccm之Ar清除NH3 反應物歷時10秒。重複序列1)至6),直至沈積層達成合適之層厚度為止。ALD process by using HCDS and ammonia 4DMAS precursor and (NH 3) dense reaction was deposited SiCN film. The reaction chamber was controlled at 5 Torr, 550 ° C, and Ar of 55 sccm continuously flowed. The deposition process comprises the steps of: 1) introducing a pulse of about 1 sccm of HCDS into the reaction chamber for 10 seconds, 2) removing the HCDS precursor by 55 sccm of Ar for 30 seconds, and 3) 4 DMAs of about 1 sccm. The pulse is introduced into the reaction chamber for 10 seconds, 4) the 4DMAS precursor is removed by 55 sccm of Ar for 30 seconds, 5) a pulse of about 50 sccm of NH 3 is introduced into the reaction chamber for 10 seconds, and 6) The NH 3 reaction was purged by 55 sccm of Ar for 10 seconds. Sequences 1) to 6) are repeated until the deposited layer reaches a suitable layer thickness.

圖5中展示沈積薄膜之沈積速率及折射率。所得薄膜中之矽的原子組成百分比大於40%但小於45%,所得薄膜中之氮的原子組成百分比為約40%,且碳之原子組成百分比大於10%但小於15%。所得薄膜中之氯及氧每一者的原子組成百分比為0%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為4.31埃/分鐘。The deposition rate and refractive index of the deposited film are shown in FIG. The atomic composition percentage of ruthenium in the obtained film is more than 40% but less than 45%, the atomic composition percentage of nitrogen in the obtained film is about 40%, and the atomic composition percentage of carbon is more than 10% but less than 15%. The atomic composition percentage of each of chlorine and oxygen in the obtained film was 0% or more but less than 5%. The wet etch rate of the resulting film etched from the HF solution was 4.31 Å/min.

實施例3cExample 3c

使用ALD方法藉由HCDS及4DMAS前驅物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之4DMAS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除4DMAS前驅物歷時30秒,3)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,及4)藉由55 sccm之Ar清除HCDS前驅物歷時30秒。重複序列1)至4),直至沈積層達成合適之層厚度為止。A dense SiCN film was deposited by the ALD method by HCDS and 4DMAS precursors. The reaction chamber was controlled at 5 Torr, 550 ° C, and Ar of 55 sccm continuously flowed. The deposition process comprises the steps of: 1) introducing a pulse of 4 DMAS of about 1 sccm into the reaction chamber for 10 seconds, 2) removing the 4 DMAS precursor by 55 sccm of Ar for 30 seconds, 3) about 1 sccm of HCDS. The pulse was introduced into the reaction chamber for 10 seconds, and 4) the HCDS precursor was purged by 55 sccm of Ar for 30 seconds. Sequences 1) to 4) are repeated until the deposited layer reaches a suitable layer thickness.

圖6中展示沈積薄膜之沈積速率及折射率。所得薄膜中之矽的原子組成百分比大於50%但小於55%,所得薄膜中之碳的原子組成百分比大於30%但小於35%,且氮之原子組成百分比為約10%。所得薄膜中之氯及氧每一者的原子組成百分比為1%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為0.15埃/分鐘。The deposition rate and refractive index of the deposited film are shown in FIG. The atomic composition percentage of ruthenium in the obtained film is more than 50% but less than 55%, the atomic composition percentage of carbon in the obtained film is more than 30% but less than 35%, and the atomic composition percentage of nitrogen is about 10%. The atomic composition percentage of each of chlorine and oxygen in the obtained film was 1% or more but less than 5%. The obtained film was etched by an HF solution at a wet etching rate of 0.15 Å/min.

實施例4aExample 4a

使用ALD方法藉由六氯二矽烷(HCDS)及雙(二乙基胺基)矽烷(BDEAS)前驅物以及氨(NH3 )反應物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之BDEAS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除BDEAS前驅物歷時30秒,3)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,4)藉由55 sccm之Ar清除HCDS前驅物歷時30秒,5)將約50 sccm之NH3 的脈衝引入至反應腔室中歷時10秒,及6)藉由55 sccm之Ar清除NH3 反應物歷時10秒。重複序列1)至6),直至沈積層達成合適之層厚度為止。A dense SiCN film was deposited by the ALD method by a hexachlorodioxane (HCDS) and a bis(diethylamino) decane (BDEAS) precursor and an ammonia (NH 3 ) reactant. The reaction chamber was controlled at 5 Torr, 550 ° C, and Ar of 55 sccm continuously flowed. The deposition process comprises the steps of: 1) introducing a pulse of about 1 sccm of BDEAS into the reaction chamber for 10 seconds, 2) removing the BDEAS precursor by 55 sccm of Ar for 30 seconds, 3) about 1 sccm of HCDS. The pulse was introduced into the reaction chamber for 10 seconds, 4) the HCDS precursor was purged by 55 sccm of Arrox for 30 seconds, 5) a pulse of about 50 sccm of NH 3 was introduced into the reaction chamber for 10 seconds, and 6) The NH 3 reaction was purged by 55 sccm of Ar for 10 seconds. Sequences 1) to 6) are repeated until the deposited layer reaches a suitable layer thickness.

圖7中展示沈積薄膜之沈積速率及折射率。所得薄膜中之矽的原子組成百分比稍微大於約40%,所得薄膜中之氮的原子組成百分比稍微小於40%,且碳之原子組成百分比稍微大於15%。所得薄膜中之氯及氧每一者的原子組成百分比為0%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為1.65埃/分鐘。The deposition rate and refractive index of the deposited film are shown in FIG. The atomic composition percentage of ruthenium in the obtained film is slightly more than about 40%, the atomic composition percentage of nitrogen in the obtained film is slightly less than 40%, and the atomic composition percentage of carbon is slightly more than 15%. The atomic composition percentage of each of chlorine and oxygen in the obtained film was 0% or more but less than 5%. The resulting film was etched by HF solution at a wet etch rate of 1.65 angstroms per minute.

實施例4bExample 4b

使用ALD方法藉由HCDS及BDEAS前驅物以及氨(NH3 )反應物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除HCDS前驅物歷時30秒,3)將約1 sccm之BDEAS的脈衝引入至反應腔室中歷時10秒,4)藉由55 sccm之Ar清除BDEAS前驅物歷時30秒,5)將約50 sccm之NH3 的脈衝引入至反應腔室中歷時10秒,及6)藉由55 sccm之Ar清除NH3 反應物歷時10秒。重複序列1)至6),直至沈積層達成合適之層厚度為止。A dense SiCN film was deposited by the ALD method by using HCDS and BDEAS precursors and ammonia (NH 3 ) reactants. The reaction chamber was controlled at 5 Torr, 550 ° C, and Ar of 55 sccm continuously flowed. The deposition process comprises the steps of: 1) introducing a pulse of about 1 sccm of HCDS into the reaction chamber for 10 seconds, 2) removing the HCDS precursor by 55 sccm of Ar for 30 seconds, and 3) BDEAS of about 1 sccm. a pulse is introduced into the reaction chamber for 10 seconds, 4) the BDEAS precursor is purged by 55 sccm of Ar for 30 seconds, 5) a pulse of about 50 sccm of NH 3 is introduced into the reaction chamber for 10 seconds, and 6) The NH 3 reaction was purged by 55 sccm of Ar for 10 seconds. Sequences 1) to 6) are repeated until the deposited layer reaches a suitable layer thickness.

圖8中展示沈積薄膜之沈積速率及折射率。所得薄膜中之矽的原子組成百分比為約45%,所得薄膜中之氮的原子組成百分比為約30%,且碳之原子組成百分比為約20%。所得薄膜中之氯及氧每一者的原子組成百分比為0%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為0.54埃/分鐘。The deposition rate and refractive index of the deposited film are shown in FIG. The atomic composition percentage of ruthenium in the obtained film was about 45%, the atomic composition percentage of nitrogen in the obtained film was about 30%, and the atomic composition percentage of carbon was about 20%. The atomic composition percentage of each of chlorine and oxygen in the obtained film was 0% or more but less than 5%. The wet etch rate of the resulting film etched from the HF solution was 0.54 Å/min.

實施例4cExample 4c

使用ALD方法藉由HCDS及BDEAS前驅物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之BDEAS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除BDEAS前驅物歷時30秒,3)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,及4)藉由55 sccm之Ar清除HCDS前驅物歷時30秒。重複序列1)至4),直至沈積層達成合適之層厚度為止。A dense SiCN film was deposited by the ALD method by using HCDS and BDEAS precursors. The reaction chamber was controlled at 5 Torr, 550 ° C, and Ar of 55 sccm continuously flowed. The deposition process comprises the steps of: 1) introducing a pulse of about 1 sccm of BDEAS into the reaction chamber for 10 seconds, 2) removing the BDEAS precursor by 55 sccm of Ar for 30 seconds, 3) about 1 sccm of HCDS. The pulse was introduced into the reaction chamber for 10 seconds, and 4) the HCDS precursor was purged by 55 sccm of Ar for 30 seconds. Sequences 1) to 4) are repeated until the deposited layer reaches a suitable layer thickness.

所得薄膜之碳含量過高以致不允許藉由橢圓對稱法來量測沈積速率及折射率。所得薄膜中之矽的原子組成百分比大於55%但小於60%,所得薄膜中之碳的原子組成百分比大於30%但小於35%,且氮之原子組成百分比稍微大於5%。所得薄膜中之氯及氧每一者的原子組成百分比為0%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為0.15埃/分鐘。The carbon content of the resulting film is too high to allow measurement of the deposition rate and refractive index by ellipsometry. The atomic composition percentage of ruthenium in the obtained film is more than 55% but less than 60%, the atomic composition percentage of carbon in the obtained film is more than 30% but less than 35%, and the atomic composition percentage of nitrogen is slightly more than 5%. The atomic composition percentage of each of chlorine and oxygen in the obtained film was 0% or more but less than 5%. The obtained film was etched by an HF solution at a wet etching rate of 0.15 Å/min.

實施例5Example 5

申請者咸信,將使用所揭示之ALD方法藉由HfCl4 及3DMAS前驅物來沈積緻密的HfSiCN薄膜。申請者咸信,所揭示方法可用以更改所得薄膜中之化學計量比。Applicants are convinced that a dense HfSiCN film will be deposited by HfCl 4 and 3DMAS precursors using the disclosed ALD method. Applicants are convinced that the disclosed method can be used to modify the stoichiometric ratio in the resulting film.

實施例6Example 6

申請者咸信,將使用所揭示之ALD方法藉由肆(乙基甲基胺基)鉿及HCDS前驅物來沈積緻密HfSiCN薄膜。申請者咸信,所揭示方法可用以更改所得薄膜中之化學計量比。Applicants are convinced that a dense HfSiCN film will be deposited by ruthenium (ethylmethylamino) oxime and HCDS precursor using the disclosed ALD method. Applicants are convinced that the disclosed method can be used to modify the stoichiometric ratio in the resulting film.

應理解,可在如附加申請專利範圍中所表達的本發明之原理及範疇內藉由熟習此項技術者進行在細節、材料、步驟及部件之配置方面的許多額外改變(其在本文中已被描述及說明以便解釋本發明之本質)。因此,本發明不欲限於上文所給出的實施例及/或附圖中之特定具體實例。It will be appreciated that many additional changes in the details, materials, steps, and arrangements of the components may be made by those skilled in the art in the <RTIgt; It has been described and illustrated to explain the nature of the invention. Therefore, the present invention is not intended to be limited to the specific embodiments shown in the embodiments and/or the accompanying drawings.

圖1為展示根據所揭示方法使用參(二甲基胺基)矽烷(3DMAS)及六氯二矽烷(HCDS)所沈積的SiCN薄膜之沈積速率及折射率對薄膜距入口之距離的曲線圖;1 is a graph showing the deposition rate and refractive index of a SiCN film deposited using ginseng (dimethylamino) decane (3DMAS) and hexachlorodioxane (HCDS) according to the disclosed method;

圖2為展示根據所揭示方法之一替代方法使用3DMAS及HCDS所沈積的SiCN薄膜之沈積速率及折射率對距離的曲線圖;2 is a graph showing deposition rates and refractive index versus distance for a SiCN film deposited using 3DMAS and HCDS in accordance with an alternative method of the disclosed method;

圖3為展示根據所揭示方法之第二替代方法使用3DMAS及HCDS所沈積的SiCN薄膜之沈積速率及折射率對距離的曲線圖;3 is a graph showing deposition rates and refractive index versus distance for a SiCN film deposited using 3DMAS and HCDS in accordance with a second alternative method of the disclosed method;

圖4為展示根據所揭示方法使用肆(二甲基胺基)矽烷(4DMAS)及六氯二矽烷(HCDS)所沈積的SiCN薄膜之沈積速率及折射率對薄膜距入口之距離的曲線圖;4 is a graph showing the deposition rate and refractive index of a SiCN film deposited using yttrium (dimethylamino) decane (4DMAS) and hexachlorodioxane (HCDS) according to the disclosed method;

圖5為展示根據所揭示方法之一替代方法使用4DMAS及HCDS所沈積的SiCN薄膜之沈積速率及折射率對距離的曲線圖;5 is a graph showing deposition rates and refractive index versus distance for a SiCN film deposited using 4DMAS and HCDS in accordance with an alternative method of the disclosed method;

圖6為展示根據所揭示方法之第二替代方法使用4DMAS及HCDS所沈積的SiCN薄膜之沈積速率及折射率對距離的曲線圖;6 is a graph showing deposition rates and refractive index versus distance for a SiCN film deposited using 4DMAS and HCDS in accordance with a second alternative method of the disclosed method;

圖7為展示根據所揭示方法使用雙(二乙基胺基)矽烷(BDEAS)及六氯二矽烷(HCDS)所沈積的SiCN薄膜之沈積速率及折射率對薄膜距入口之距離的曲線圖;及7 is a graph showing the deposition rate and refractive index of a SiCN film deposited using bis(diethylamino) decane (BDEAS) and hexachlorodioxane (HCDS) according to the disclosed method versus the distance of the film from the inlet; and

圖8為展示根據所揭示方法之一替代方法使用BDEAS及HCDS所沈積的SiCN薄膜之沈積速率及折射率對距離的曲線圖。8 is a graph showing deposition rates and refractive index versus distance for a SiCN film deposited using BDEAS and HCDS in accordance with an alternative method of the disclosed method.

Claims (4)

一種形成含氮化物之薄膜之方法,該方法包含以下步驟:a)控制ALD反應器在自約200℃至約600℃之溫度範圍內;b)將六氯二矽烷引入至含有至少一基板之該ALD反應器中;c)將多餘六氯二矽烷自該反應器清除;d)將雙(二乙基胺基)矽烷引入至該反應器中;e)將多餘雙(二乙基胺基)矽烷自該反應器清除;f)將NH3 引入至該反應器中;及g)將多餘NH3 自該反應器清除。A method of forming a nitride-containing film, the method comprising the steps of: a) controlling an ALD reactor at a temperature ranging from about 200 ° C to about 600 ° C; b) introducing hexachlorodioxane to at least one substrate In the ALD reactor; c) removing excess hexachlorodioxane from the reactor; d) introducing bis(diethylamino) decane into the reactor; e) removing excess bis(diethylamino) The decane is purged from the reactor; f) introducing NH 3 into the reactor; and g) removing excess NH 3 from the reactor. 如申請專利範圍第1項之方法,其中變化該方法步驟(b)至(g)的次序產生具有指定化學計量之SiCN薄膜。 The method of claim 1, wherein the order of steps (b) through (g) of the method is varied to produce a SiCN film having a specified stoichiometry. 一種形成SiCN薄膜之方法,該方法包含以下步驟:a)控制ALD反應器在自約200℃至約600℃之溫度範圍內;b)將六氯二矽烷引入至含有至少一基板之該ALD反應器中;c)將多餘六氯二矽烷自該反應器清除;d)將肆(二甲基胺基)矽烷引入至該反應器中;e)將多餘肆(二甲基胺基)矽烷自該反應器清除;f)將NH3 引入至該反應器中,及g)將多餘NH3 自該反應器清除。A method of forming a SiCN film, the method comprising the steps of: a) controlling an ALD reactor at a temperature ranging from about 200 ° C to about 600 ° C; b) introducing hexachlorodioxane to the ALD reaction containing at least one substrate ; c) removing excess hexachlorodioxane from the reactor; d) introducing hydrazine (dimethylamino) decane into the reactor; e) removing excess hydrazine (dimethylamino) decane from the reactor is cleared; F) 3 NH2 was introduced into the reactor which, and g) from the reactor 3 to remove the excess NH. 如申請專利範圍第3項之方法,其中變化該方法步驟(b)至(g)的次序產生具有指定化學計量之SiCN薄膜。The method of claim 3, wherein the order of steps (b) through (g) of the method is varied to produce a SiCN film having a specified stoichiometry.
TW100111512A 2010-04-01 2011-04-01 Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors TWI498447B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US32023610P 2010-04-01 2010-04-01

Publications (2)

Publication Number Publication Date
TW201213597A TW201213597A (en) 2012-04-01
TWI498447B true TWI498447B (en) 2015-09-01

Family

ID=44712857

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100111512A TWI498447B (en) 2010-04-01 2011-04-01 Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors

Country Status (7)

Country Link
US (1) US20130078376A1 (en)
EP (2) EP2730676A1 (en)
JP (1) JP5815669B2 (en)
KR (1) KR101226876B1 (en)
CN (1) CN102471885A (en)
TW (1) TWI498447B (en)
WO (1) WO2011123792A2 (en)

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101366002B1 (en) * 2010-04-09 2014-02-21 가부시키가이샤 히다치 고쿠사이 덴키 Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
JPWO2013054655A1 (en) 2011-10-14 2015-03-30 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and recording medium
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5951443B2 (en) 2011-12-09 2016-07-13 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP6049395B2 (en) 2011-12-09 2016-12-21 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP6039996B2 (en) 2011-12-09 2016-12-07 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP5869923B2 (en) * 2012-03-09 2016-02-24 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP5959907B2 (en) 2012-04-12 2016-08-02 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP2015525774A (en) 2012-07-20 2015-09-07 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Organosilane precursor for ALD / CVD silicon-containing films
JP6007031B2 (en) 2012-08-23 2016-10-12 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6022273B2 (en) * 2012-09-14 2016-11-09 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP6022272B2 (en) 2012-09-14 2016-11-09 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (en) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method for depositing a film on a sensitive substrate
EP2938439B1 (en) * 2012-12-28 2019-07-31 Compagnie Générale des Etablissements Michelin Thin film diffusion barrier
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6125279B2 (en) 2013-03-05 2017-05-10 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
KR102052664B1 (en) 2013-03-15 2019-12-06 삼성전자주식회사 Trialkylsilane Si precursor compound and method of forming a layer using the same
TW201509799A (en) 2013-07-19 2015-03-16 Air Liquide Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6347544B2 (en) * 2014-07-09 2018-06-27 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP5886381B2 (en) * 2014-07-23 2016-03-16 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9580801B2 (en) * 2014-09-04 2017-02-28 Applied Materials, Inc. Enhancing electrical property and UV compatibility of ultrathin blok barrier film
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TWI706957B (en) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 Catalyst dehydrogenative coupling of carbosilanes with ammonia, amnines and amidines
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102658085B1 (en) * 2015-07-09 2024-04-16 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Alkylamino substituted halocarbosilane precursor
JP6523080B2 (en) 2015-07-10 2019-05-29 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus and program
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US11104990B2 (en) 2015-09-11 2021-08-31 Versum Materials Us, Llc Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI724141B (en) 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 Si-containing film forming compositions and methods of making and using the same
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10262858B2 (en) 2016-04-25 2019-04-16 Applied Materials, Inc. Surface functionalization and passivation with a control layer
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10640523B2 (en) 2018-01-12 2020-05-05 Entegris, Inc. Aminoiodosilanes and methods of synthesizing these aminoiodosilanes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (en) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. Method for depositing a ruthenium-containing film on a substrate by a cyclic deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10867839B2 (en) * 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (en) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and films and structures comprising metal-containing material
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20210055098A (en) * 2018-10-03 2021-05-14 버슘머트리얼즈 유에스, 엘엘씨 Method of making silicone and nitrogen-containing films
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP7156999B2 (en) * 2019-05-13 2022-10-19 大陽日酸株式会社 Silicon-containing compound for forming silicon-containing thin film, and method for forming silicon-containing thin film
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210047119A (en) 2019-10-21 2021-04-29 삼성전자주식회사 Method of manufacturing a metal nitride layer and electronic device including the metal nitride layer
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112978679B (en) * 2019-12-12 2022-11-22 中国科学院大连化学物理研究所 Preparation method of multi-metal hydrogen-containing compound
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060199357A1 (en) * 2005-03-07 2006-09-07 Wan Yuet M High stress nitride film and method for formation thereof
EP1967609A2 (en) * 2007-02-27 2008-09-10 Air Products and Chemicals, Inc. Plasma enhanced cyclic chemical vapor deposition of silicon-containing films

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (en) * 1999-10-15 2007-07-31 Asm Int Process for modifying the starting chemical in an ALD process
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
KR20060079144A (en) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2007043147A (en) * 2005-07-29 2007-02-15 Samsung Electronics Co Ltd Method of forming silicon-rich nanocrystal structure using atomic layer deposition process and method of manufacturing nonvolatile semiconductor device using the same
JP5149273B2 (en) * 2006-04-03 2013-02-20 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for depositing silicon nitride film and / or silicon oxynitride film by chemical vapor deposition
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
JP4929932B2 (en) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
TWI462179B (en) * 2006-09-28 2014-11-21 Tokyo Electron Ltd Film formation method and apparatus for forming silicon oxide film
JP5119832B2 (en) * 2007-09-27 2013-01-16 富士通株式会社 Interface roughness reducing film, wiring layer, semiconductor device, and method of manufacturing semiconductor device
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5384291B2 (en) * 2008-11-26 2014-01-08 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060199357A1 (en) * 2005-03-07 2006-09-07 Wan Yuet M High stress nitride film and method for formation thereof
EP1967609A2 (en) * 2007-02-27 2008-09-10 Air Products and Chemicals, Inc. Plasma enhanced cyclic chemical vapor deposition of silicon-containing films

Also Published As

Publication number Publication date
JP5815669B2 (en) 2015-11-17
CN102471885A (en) 2012-05-23
WO2011123792A3 (en) 2011-12-01
EP2730676A1 (en) 2014-05-14
JP2013524522A (en) 2013-06-17
KR20120028999A (en) 2012-03-23
WO2011123792A2 (en) 2011-10-06
EP2553141A4 (en) 2013-08-21
EP2553141A2 (en) 2013-02-06
TW201213597A (en) 2012-04-01
KR101226876B1 (en) 2013-01-28
US20130078376A1 (en) 2013-03-28

Similar Documents

Publication Publication Date Title
TWI498447B (en) Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
TWI626329B (en) Compositions and methods for depositing silicon nitride films
KR102256536B1 (en) Methods for depositing silicon nitride films
JP6781165B2 (en) Method for depositing boron-containing compounds, compositions, and boron-containing films
TWI695905B (en) Method of depositing silicon nitride thin film
JP2021180323A (en) DEPOSITION OF SiN
JP2018528615A (en) Method for depositing conformal metal or metalloid silicon nitride films and resulting films
CN101015047A (en) Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata
US9875889B2 (en) Atomic layer deposition of films comprising Si(C)N using hydrazine, azide and/or silyl amine derivatives
WO2014118751A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
US20160032454A1 (en) Bis(alkylimido)-bis(alkylamido)tungsten molecules for deposition of tungsten-containing films
WO2014118750A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
WO2014118747A1 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US20110206862A1 (en) Titanium Nitride Film Deposition by Vapor Deposition Using Cyclopentadienyl Alkylamino Titanium Precursors