TW201529511A - Treatment of a surface modification layer for controlled bonding of thin sheets with carriers - Google Patents

Treatment of a surface modification layer for controlled bonding of thin sheets with carriers Download PDF

Info

Publication number
TW201529511A
TW201529511A TW104102739A TW104102739A TW201529511A TW 201529511 A TW201529511 A TW 201529511A TW 104102739 A TW104102739 A TW 104102739A TW 104102739 A TW104102739 A TW 104102739A TW 201529511 A TW201529511 A TW 201529511A
Authority
TW
Taiwan
Prior art keywords
carrier
sheet
glass
bonding
energy
Prior art date
Application number
TW104102739A
Other languages
Chinese (zh)
Inventor
Robert Alan Bellman
Dana Craig Bookbinder
Theresa Chang
Shiwen Liu
Robert George Manley
Prantik Mazumder
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Corning Inc filed Critical Corning Inc
Publication of TW201529511A publication Critical patent/TW201529511A/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/06Interconnection of layers permitting easy separation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/32Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with synthetic or natural resins
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C27/00Joining pieces of glass to pieces of other inorganic material; Joining glass to glass other than by fusing
    • C03C27/06Joining glass to glass by processes other than fusing
    • C03C27/10Joining glass to glass by processes other than fusing with the aid of adhesive specially adapted for that purpose
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J5/00Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers
    • C09J5/02Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers involving pretreatment of the surfaces to be joined
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/32After-treatment
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J2400/00Presence of inorganic and organic materials
    • C09J2400/10Presence of inorganic materials
    • C09J2400/14Glass
    • C09J2400/143Glass in the substrate
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J2400/00Presence of inorganic and organic materials
    • C09J2400/10Presence of inorganic materials
    • C09J2400/14Glass
    • C09J2400/146Glass in the pretreated surface to be joined

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Laminated Bodies (AREA)
  • Re-Forming, After-Treatment, Cutting And Transporting Of Glass Products (AREA)
  • Surface Treatment Of Glass (AREA)

Abstract

A method of controllably bonding a thin sheet to a carrier, wherein the thin sheet has a thin sheet bonding surface, and the carrier has a carrier bonding surface. Depositing a surface modification layer onto at least one of the thin sheet bonding surface and the carrier bonding surface so as to obtain a first surface energy on the one of the thin sheet bonding surface and the carrier bonding surface. Then, treating the surface modification layer so as to change the first surface energy to a second surface energy, wherein the second surface energy is greater than the first. And bonding the thin sheet bonding surface to the carrier bonding surface via the surface modification layer. Depositing the surface modification layer, and treating it, may be done by plasma polymerization processes.

Description

用於受控接合薄板與載具的表面改質層的處理 Treatment of surface modification layers for controlled joining of sheets and carriers

本申請案主張2014年1月27日申請之美國臨時申請案序列號第61/931912號之優先權權益,該申請案之內容為本文之基礎且以全文引用方式併入本文中。 The present application claims priority to U.S. Provisional Application Serial No. 61/931, 912, filed on Jan. 27, 2014, the disclosure of which is hereby incorporated by reference in its entirety.

本發明係關於用於在載具上處理可撓性片材的物件及方法,且更特定而言,係關於用於在玻璃載具上處理可撓性玻璃片的物件及方法。 The present invention relates to articles and methods for processing flexible sheets on a carrier, and more particularly to articles and methods for processing flexible glass sheets on glass carriers.

可撓性基板提供在使用輥對輥處理之較廉價裝置方面之前景,以及製成較薄、較輕、更可撓及耐用顯示器之可能性。然而,高品質顯示器之輥對輥處理所需的技術、裝備及製程尚未得到充分開發。因為面板製造者已重資投入在處理大型玻璃片的成套工具(toolset)中,所以將可撓性基板積層至載具並藉由片材至片材處理製成顯示裝置提供的是:發展對較薄、較輕及更可撓顯示器之價值命題的較為短期的解決方案。已在例如聚萘二甲酸乙二酯(polyethylene naphthalate; PEN)之聚合物片材上示範論證顯示器,其中裝置製作係利用積層至玻璃載具之PEN的片材至片材製作。PEN之溫度上限限制裝置品質及可使用的製程。另外,聚合物基板之高滲透性導致OLED裝置之環境劣化,在此種情況下,需要近氣密包裝。薄膜封裝提供在克服此種限制方面之前景,但尚未證明其在大體積下提供可接受的產率。 Flexible substrates offer the potential for a relatively inexpensive device that uses roll-to-roll processing, as well as the possibility of making thinner, lighter, more flexible, and durable displays. However, the techniques, equipment and processes required for roll-to-roll processing of high quality displays have not been fully developed. Since the panel manufacturer has invested heavily in the tool set for processing large glass sheets, it is provided that the flexible substrate is laminated to the carrier and processed by the sheet-to-sheet processing to provide a display device: A shorter-term solution to the value proposition of thin, lighter, and more flexible displays. Already in, for example, polyethylene naphthalate; A demonstration of a display on a polymer sheet of PEN) in which the fabrication of the device is made using a sheet of PEN laminated to a glass carrier to a sheet. The upper temperature limit of PEN limits the quality of the device and the process available. In addition, the high permeability of the polymer substrate results in environmental degradation of the OLED device, in which case near airtight packaging is required. Thin film encapsulation offers the prospect of overcoming such limitations, but it has not been proven to provide acceptable yields at large volumes.

以類似方式,顯示裝置可使用積層至一或多個薄玻璃基板之玻璃載具來製造。預期薄玻璃之低滲透性及改良耐溫度性與耐化學性將允許實現較高效能、較長壽命的可撓性顯示器。 In a similar manner, the display device can be fabricated using a glass carrier laminated to one or more thin glass substrates. It is expected that the low permeability of thin glass and improved temperature resistance and chemical resistance will allow for a higher performance, longer life flexible display.

然而,熱、真空、溶劑及酸性以及超音波平板顯示器(Flat Panel Display;FPD)製程需要供薄玻璃結合至載具之穩健接合。FPD製程典型地涉及真空沉積(濺鍍金屬、透明導電氧化物及氧化物半導體,非晶矽、氮化矽及二氧化矽之化學氣相沉積(Chemical Vapor Deposition;CVD)沉積,以及金屬及絕緣體之乾式蝕刻),熱製程(包括約300-400℃ CVD沉積、至多600℃ p-Si結晶、350-450℃氧化物半導體退火、至多650℃摻雜劑退火,以及約200-350℃接觸退火),酸性蝕刻(金屬蝕刻、氧化物半導體蝕刻)、溶劑暴露(剝除光阻劑、聚合物封裝之沉積),以及超音波暴露(在光阻劑之溶劑剝除及水性清潔中,典型地在鹼性溶液中)。 However, thermal, vacuum, solvent and acid and ultra-flat Flat Panel Display (FPD) processes require a robust bond for the bonding of thin glass to the carrier. FPD processes typically involve vacuum deposition (sputtered metals, transparent conductive oxides and oxide semiconductors, chemical vapor deposition (CVD) deposition of amorphous germanium, tantalum nitride and hafnium, and metals and insulators). Dry etching), thermal process (including about 300-400 ° C CVD deposition, up to 600 ° C p-Si crystallization, 350-450 ° C oxide semiconductor annealing, up to 650 ° C dopant annealing, and about 200-350 ° C contact annealing ), acid etching (metal etching, oxide semiconductor etching), solvent exposure (stripping photoresist, deposition of polymer package), and ultrasonic exposure (in solvent stripping and aqueous cleaning of photoresists, typically) In an alkaline solution).

黏著晶圓接合已廣泛用於微機械系統(Micromechanical Systems;MEMS)及用於後端步驟之半導體處理中,在該等後端步驟中,製程較不苛刻。Brewer Science and Henkel之商業黏著劑典型地為厚聚合物黏著層,為5-200微米厚。此等層之大厚度造成大量揮發成分、所捕獲溶劑及所吸附物質污染FPD製程之可能性。此等材料在約250℃以上熱分解且除氣。該等材料亦可由於充當用於氣體、溶劑及酸之槽渠(sink)而引起下游步驟之污染,該等氣體、溶劑及酸可在後續製程中除氣。 Adhesive wafer bonding has been widely used in micromechanical systems (MEMS) and semiconductor processing for back-end steps where processes are less demanding. Brewer Science And Henkel's commercial adhesives are typically thick polymer adhesive layers that are 5-200 microns thick. The large thickness of these layers causes a large amount of volatile components, trapped solvents and adsorbed substances to contaminate the FPD process. These materials thermally decompose and degas at temperatures above about 250 °C. Such materials may also cause contamination of downstream steps by acting as sinks for gases, solvents and acids, which may be degassed in subsequent processes.

2012年2月8日申請的名稱為Processing Flexible Glass with a Carrier之美國臨時申請案序列號第61/596,727號(下文為US‘727)揭示涉及以下之概念:初始地藉由凡得瓦力將例如可撓性玻璃片之薄片接合至載具,隨後增加某些區域中之接合強度,同時保持在處理薄片/載具以於其上成形裝置(例如,電子或顯示裝置、電子或顯示裝置之組件、有機發光裝置(organic light emitting device;OLED)材料、光電(photo-voltaic;PV)結構或薄膜電晶體)之後移除薄片之諸多部分的能力。將薄玻璃之至少一部分接合至載具,以使得阻止裝置製程流體進入薄片與載具之間,藉以污染下游製程之機會得以減少,亦即,薄片與載具之間的接合密封部分為氣密的,且在一些較佳實施例中,此密封包圍物件之外部,進而阻止液體或氣體侵入密封物件之任何區域中或之外。 Name February 8, 2012 to apply for Processing Flexible Glass with / No. 596,727 of 61 a Carrier of US Provisional Application Serial Number (hereinafter US'727) revealed that the concept involves the following: initially by Van der Waals force will For example, a sheet of flexible glass sheet is bonded to the carrier, and then the bonding strength in certain areas is increased while remaining on the processing sheet/carrier to form the device thereon (eg, an electronic or display device, an electronic or display device) The ability of a component, an organic light emitting device (OLED) material, a photo-voltaic (PV) structure, or a thin film transistor to remove portions of the wafer. At least a portion of the thin glass is bonded to the carrier such that the process process fluid is prevented from entering between the sheet and the carrier, thereby reducing the chance of contaminating the downstream process, i.e., the joint seal between the sheet and the carrier is airtight. And in some preferred embodiments, the seal surrounds the exterior of the article, thereby preventing liquid or gas from invading into or out of any area of the article of sealing.

US‘727繼續揭示的是:在低溫多晶矽(low temperature polysilicon;LTPS)(相較於固相結晶處理之低溫,其可至多約750℃)裝置製作製程中,可使用接近600℃或更大之溫度、真空及濕式蝕刻環境。此等條件限制可使用的材料,且對載具/薄片提出高的要求。因此,需要一種載具方 法,其利用製造商之現存資本基礎架構,允許實現在較高處理溫度下對薄玻璃(亦即具有0.3mm厚之厚度的玻璃)的處理,而無污染或薄玻璃與載具之間的接合強度損失,且其中薄玻璃易於在製程結束時與載具脫接合(de-bond)。 US '727 continues to reveal that in low temperature polysilicon (LTPS) (which can be up to about 750 ° C compared to the low temperature of solid phase crystallization treatment), it can be used up to 600 ° C or greater. Temperature, vacuum and wet etching environments. These conditions limit the materials that can be used and place high demands on the carrier/sheet. Therefore, there is a need for a carrier method that utilizes the manufacturer's existing capital infrastructure to allow for the implementation of thin glass at higher processing temperatures (ie, having Treatment of a 0.3 mm thick glass) without contamination or loss of joint strength between the thin glass and the carrier, and wherein the thin glass is easily de-bonded to the carrier at the end of the process.

US‘727中揭示之方法的一個商業優點在於:如在US‘727中所指出,製造商將能夠利用其於處理裝備中之現存資本投資,同時獲得薄玻璃片用於例如PV、OLED、LCD及圖案化薄膜電晶體(Thin Film Transistor;TFT)電子設備之優點。另外,彼方法允許實現製程靈活性,包括:針對薄玻璃片及載具之清潔及表面製備以促進接合之製程靈活性;針對強化薄片與載具之間於接合區域處之接合的製程靈活性;針對維持薄片於非接合(或強度降低接合/低強度接合)區域處自載具之可釋放性的製程靈活性;以及針對切割薄片以促進自載具之提取的製程靈活性。 A commercial advantage of the method disclosed in US '727 is that, as indicated in US '727, manufacturers will be able to utilize their existing capital investment in processing equipment while obtaining thin glass sheets for use in, for example, PV, OLED, LCD. And the advantages of patterned Thin Film Transistor (TFT) electronic devices. In addition, the method allows for process flexibility, including: process flexibility for thin glass sheets and carriers cleaning and surface preparation to facilitate bonding; process flexibility for bonding between the sheet and the carrier at the joint area Process flexibility for maintaining the releasability of the self-carrier at the non-joined (or strength-reduced joint/low-strength joint) region; and process flexibility for cutting the flakes to facilitate extraction of the self-carrier.

在玻璃至玻璃接合製程中,將玻璃表面清潔以移除所有金屬、有機物及微粒殘餘物,且留下幾乎矽醇封端的表面。首先使玻璃表面進行緊密接觸,其中凡得瓦力及/或氫鍵結力將該等表面拉到一起。利用熱及視需要壓力,表面矽醇基縮合形成跨於界面之強共價Si-O-Si鍵,從而將玻璃塊永久地熔合。金屬、有機物及微粒殘餘物將藉由遮蔽表面而阻止接合,從而阻止接合所需的緊密接觸。亦需要高的矽醇表面濃度來形成強鍵,因為每單位面積之鍵的數量將藉由相反表面上兩種矽醇物質反應縮合成水之機率來決定。Zhuravlel已報導對充分水化二氧化矽而言,每nm2之羥基的平均數為4.6 至4.9個。Zhuravlel,L.T.,The Surface Chemistry of Amorphous Silika,Zhuravlev Model,Colloids and Surfaces A:Physiochemical Engineering Aspects 173(2000)1-38。在US‘727中,非接合區域係形成於接合周邊內,且描述用於形成此非接合區域之主要方式為增加表面粗糙度。大於2nm Ra之平均表面粗糙度可阻止在接合製程之高溫期間形成玻璃至玻璃接合。在由相同發明人於2012年12月13日申請的且名稱為Facilitated Processing for Controlling Bonding Between Sheet and Carrier之美國臨時專利申請案序列號第61/736,880號(下文為US‘880)中,受控接合區域係藉由控制載具與薄玻璃片之間的凡得瓦力接合及/或氫鍵結來形成,但亦仍使用共價鍵結區域。因此,儘管在US‘727及US‘880中用於處理薄片與載具之物件及方法能夠耐受FPD處理之苛刻環境,但對一些應用而言不合需要地,由於薄玻璃與玻璃載具於接合區域中之強共價鍵而阻止載具之重複使用,該接合區域係藉由例如Si-O-Si之共價鍵結,以約1000-2000mJ/m2之黏著力(大約為玻璃之斷裂強度)來接合。撬動或剝離無法用以自載具分離薄玻璃之共價鍵結部分,且因此,整個薄片無法自載具移除。替代而言,將其上具有裝置之非接合區域劃線且進行提取,從而在載具上留下薄玻璃片之接合周邊。 In the glass to glass bonding process, the glass surface is cleaned to remove all metal, organic, and particulate residues, leaving a nearly sterol terminated surface. The glass surfaces are first brought into intimate contact, wherein the van der Waals and/or hydrogen bonding forces pull the surfaces together. The surface sterol groups condense to form a strong covalent Si-O-Si bond across the interface using heat and depending on the desired pressure to permanently fuse the glass block. Metals, organics, and particulate remnants will resist bonding by masking the surface, thereby preventing the intimate contact required for bonding. High sterol surface concentrations are also required to form strong bonds because the number of bonds per unit area will be determined by the probability of condensation of two sterol species on the opposite surface to synthesize water. Zhuravlel has reported that for fully hydrated cerium oxide, the average number of hydroxyl groups per nm 2 is 4.6 to 4.9. Zhuravlel, LT, The Surface Chemistry of Amorphous Silika, Zhuravlev Model, Colloids and Surfaces A: Physiochemical Engineering Aspects 173 (2000) 1-38. In US '727, a non-joining zone is formed in the joint perimeter, and the primary way to form this non-joining zone is to increase the surface roughness. An average surface roughness greater than 2 nm Ra prevents the formation of glass to glass bonds during the high temperature of the bonding process. In the name and by the same inventors on December 13, 2012 filed Facilitated Processing for Controlling Bonding Between Sheet and of U.S. Provisional No. 61 / 736,880 Patent Application Serial No. Carrier (hereinafter US'880), the controlled The joint area is formed by controlling the van der Waals bonding and/or hydrogen bonding between the carrier and the thin glass sheet, but still uses a covalent bonding region. Thus, while the articles and methods for processing sheets and carriers in US '727 and US '880 are capable of withstanding the harsh environment of FPD processing, it is undesirable for some applications due to thin glass and glass carriers. Strong covalent bonds in the joint region prevent re-use of the carrier by covalent bonding of, for example, Si-O-Si, with an adhesion of about 1000-2000 mJ/m 2 (about glass) Breaking strength) to join. The swaying or peeling cannot be used to separate the covalently bonded portion of the thin glass from the carrier, and therefore, the entire sheet cannot be removed from the carrier. Alternatively, the non-joined area on which the device is mounted is scribed and extracted to leave a bonded perimeter of the thin glass sheet on the carrier.

鑒於以上,需要一種薄片-載具物件,其可耐受包括高溫處理的FPD處理之苛刻條件(不存在與其中使用該薄片-載具物件之半導體或顯示器製作製程不相容的除氣),但允許 薄片之整體區域自載具移除(一次性移除,或分部分移除),以便允許載具重複使用於處理另一薄片。本說明書描述用以控制載具與薄片之間的黏著以產生暫時接合之方式,該暫時接合足夠強以在FPD處理(包括LTPS處理)中保全,但足夠弱以甚至在高溫處理之後允許片材與載具之脫接合。此種受控接合可利用來產生具有可重複使用載具之物件,或替代地產生具有在載具與片材之間的受控接合與共價鍵結之圖案化區域的物件。更確切言之,本揭示內容提供可提供於薄片上、載具上或兩者上之表面改質層(包括各種材料及相關聯表面熱處理),以便控制薄片與載具之間的室溫凡得瓦力接合及/或氫鍵結及高溫共價鍵結兩者。甚至更確切言之,室溫接合可受控以便足以在真空處理、濕式處理及/或超音波清潔處理期間將薄片及載具固持在一起。而且同時,高溫共價鍵結可受控以便在高溫處理期間阻止薄片與載具之間的永久接合,以及維持足夠接合以阻止在高溫處理期間之脫層。在替代實施例中,表面改質層可用於產生各種受控接合區域(其中載具及片材仍經由各種製程而保持充分接合,該等製程包括真空處理、濕式處理及/或超音波清潔處理)連同共價鍵結區域,以提供其他處理選擇,例如,甚至在將物件切塊成用於額外裝置處理的小塊之後,仍維持載具與片材之間的氣密性。另外,一些表面改質層提供對載具與片材之間的接合之控制,而同時減少在FPD(例如,LTPS)處理環境中之苛刻條件期間的除氣排放,該等苛刻條件例如包括高溫及/或真空處理。另外,在替代實施例中,一些表面改質層可用於具有玻璃接合表面 之載具上,以便可控制地接合具有聚合物接合表面之薄片。聚合物接合表面可為聚合物薄片之部分,該部分上形成有電子或其他結構,或替代地,聚合物接合表面可為包含玻璃層之複合物片材之部分,該部分上形成有電子或其他結構。 In view of the above, there is a need for a sheet-and-carriage article that can withstand the harsh conditions of FPD processing including high temperature processing (there is no outgassing that is incompatible with the semiconductor or display fabrication process in which the sheet-carrier article is used), But allowed The entire area of the sheet is removed from the carrier (disposed one time, or partially removed) to allow the carrier to be reused for processing another sheet. This specification describes the manner in which the adhesion between the carrier and the sheet is controlled to create a temporary bond that is strong enough to be preserved in FPD processing (including LTPS processing) but weak enough to allow the sheet even after high temperature processing. Disengagement from the carrier. Such controlled engagement can be utilized to create an article having a reusable carrier, or alternatively to produce an article having a controlled bond and covalently bonded patterned region between the carrier and the sheet. More specifically, the present disclosure provides surface modifying layers (including various materials and associated surface heat treatments) that can be provided on, on, or both of the sheets to control the room temperature between the sheets and the carrier. Both wattage bonding and/or hydrogen bonding and high temperature covalent bonding. Even more precisely, the room temperature bonding can be controlled to hold the sheet and carrier together during vacuum processing, wet processing, and/or ultrasonic cleaning processes. At the same time, high temperature covalent bonding can be controlled to prevent permanent bonding between the sheet and the carrier during high temperature processing, as well as maintaining sufficient bonding to prevent delamination during high temperature processing. In an alternate embodiment, the surface modifying layer can be used to create a variety of controlled joint regions (where the carrier and sheet remain fully joined through various processes including vacuum processing, wet processing, and/or ultrasonic cleaning). Processing) along with covalent bonding regions to provide other processing options, for example, maintaining the airtightness between the carrier and the sheet even after dicing the article into small pieces for additional device processing. In addition, some surface modifying layers provide control of the bond between the carrier and the sheet while reducing degassing emissions during harsh conditions in an FPD (eg, LTPS) processing environment, such as high temperatures. And / or vacuum processing. Additionally, in alternative embodiments, some surface modifying layers can be used to have a glass bonded surface The carrier is adapted to controllably engage a sheet having a polymeric bonding surface. The polymeric bonding surface can be part of a polymer sheet on which electrons or other structures are formed, or alternatively, the polymeric bonding surface can be part of a composite sheet comprising a glass layer on which electrons are formed or Other structures.

其他特徵及優點將在以下的詳述中闡述,且在部分程度上,熟習此項技術者將根據該描述而容易明白該等特徵及優點,或藉由實踐本書面描述及隨附圖式中例證的各種態樣來認識該等特徵及優點。應理解,前述的一般描述及以下詳述僅僅為各種態樣之示範,且意欲提供用於理解本發明所主張之性質及特徵的概述及框架。 Other features and advantages will be set forth in the description which follows, and in the <RTIgt; Various aspects of the illustrations are made to recognize such features and advantages. It is to be understood that the foregoing general descriptions

包括隨附圖式來提供對本發明之原理的進一步理解,且將隨附圖式併入本說明書中並構成本說明書之一部分。圖式例示一或多個實施例,且連同說明書一起用以藉由實例來解釋本發明之原理及操作。應理解,在本說明書中及在圖式中揭示之各種特徵可以任何及所有組合來使用。藉由非限制性實例,各種特徵可彼此組合,如隨附申請專利範圍中所闡述。 A further understanding of the principles of the invention will be set forth in the <RTIgt; The drawings illustrate one or more embodiments and, together with the It is to be understood that the various features disclosed in the specification and in the drawings can be used in any and all combinations. By way of non-limiting example, various features may be combined with one another as set forth in the appended claims.

2‧‧‧物件 2‧‧‧ objects

5‧‧‧線 5‧‧‧ line

8‧‧‧厚度/物件厚度 8‧‧‧Thickness/object thickness

10‧‧‧載具/玻璃載具 10‧‧‧Carriage/glass vehicle

12‧‧‧第一表面 12‧‧‧ first surface

14‧‧‧接合表面 14‧‧‧ joint surface

16‧‧‧周邊 16‧‧‧around

18‧‧‧厚度 18‧‧‧ thickness

20‧‧‧薄片/薄玻璃片/片材 20‧‧‧Sheet/thin glass/sheet

22‧‧‧第一表面 22‧‧‧ first surface

24‧‧‧接合表面 24‧‧‧ joint surface

26‧‧‧周邊 26‧‧‧around

28‧‧‧厚度 28‧‧‧ thickness

30‧‧‧表面改質層 30‧‧‧ Surface modification layer

38‧‧‧厚度 38‧‧‧ thickness

40‧‧‧接合區域/受控接合區域 40‧‧‧Joint area/controlled joint area

50‧‧‧受控接合區域/區域/陣列 50‧‧‧Controlled joint area/area/array

52‧‧‧周邊 52‧‧‧around

56‧‧‧所要部分/中介層 56‧‧‧Parts/Intermediary

57‧‧‧周邊 57‧‧‧around

402‧‧‧線 402‧‧‧ line

404‧‧‧線 404‧‧‧ line

406‧‧‧線 406‧‧‧ line

502‧‧‧總表面能量 502‧‧‧ Total surface energy

504‧‧‧極性分量 504‧‧‧polar component

506‧‧‧分散分量 506‧‧‧Dispersed component

760‧‧‧堆疊 760‧‧‧Stacking

770‧‧‧玻璃片/片材 770‧‧‧glass/sheet

771‧‧‧玻璃片/片材 771‧‧‧glass/sheet

772‧‧‧玻璃片/片材 772‧‧‧glass/sheet

776‧‧‧第一主表面/主表面/第一表面 776‧‧‧First main surface/main surface/first surface

778‧‧‧第二主表面/主表面/第二表面 778‧‧‧Second major/main surface/second surface

780‧‧‧蓋片/蓋材 780‧‧‧ Cover/Cover

781‧‧‧蓋片/蓋材 781‧‧‧ Cover/Cover

790‧‧‧表面改質層 790‧‧‧ Surface modification layer

791‧‧‧界面 791‧‧‧ interface

792‧‧‧界面 792‧‧‧ interface

793‧‧‧界面 793‧‧‧ interface

794‧‧‧界面 794‧‧‧ interface

900‧‧‧第一基板/載具 900‧‧‧First substrate/carrier

902‧‧‧表面 902‧‧‧ surface

910‧‧‧第二基板/蓋材/載具 910‧‧‧Second substrate/cover material/carrier

912‧‧‧表面/裸表面/蓋材表面/蓋材 912‧‧‧Surface/Naked Surface/Cover Surface/Cover

920‧‧‧隔片 920‧‧‧ spacer

930‧‧‧加熱室 930‧‧‧heating room

940‧‧‧箭頭 940‧‧‧ arrow

1001‧‧‧線 Line 1001‧‧

1002‧‧‧線 Line 1002‧‧

1003‧‧‧線 Line 1003‧‧

1004‧‧‧線 Line 1004‧‧

1201‧‧‧線 Line 1201‧‧

1202‧‧‧線 1202‧‧‧ line

1203‧‧‧線 Line 1203‧‧

1204‧‧‧線 Line 1204‧‧

1301‧‧‧線 Line 1301‧‧

1302‧‧‧線 Line 1302‧‧

1303‧‧‧線 Line 1303‧‧

1304‧‧‧線 Line 1304‧‧

1312‧‧‧總表面能量 1312‧‧‧ Total surface energy

1314‧‧‧極性分量 1314‧‧‧polar component

1316‧‧‧分散分量 1316‧‧‧Dispersed component

1401‧‧‧線 Line 1401‧‧

1402‧‧‧線 Line 1402‧‧

1403‧‧‧線 Line 1403‧‧

1404‧‧‧線 Line 1404‧‧

1501‧‧‧線 Line 1501‧‧

1502‧‧‧線 Line 1502‧‧

1503‧‧‧線 Line 1503‧‧

1504‧‧‧線 Line 1504‧‧

1601‧‧‧線 1601‧‧‧ line

1602‧‧‧線 Line 1602‧‧

1603‧‧‧線 1603‧‧‧ line

1604‧‧‧線 Line 1604‧‧

1701‧‧‧線 Line 1701‧‧

1702‧‧‧線 1702‧‧‧ line

1703‧‧‧線 Line 1703‧‧

1704‧‧‧線 Line 1704‧‧

第1圖為物件之示意側視圖,該物件具有接合至薄片之載具,該載具與該薄片之間具有表面改質層。 Figure 1 is a schematic side elevational view of an article having a carrier bonded to a sheet having a surface modifying layer between the carrier and the sheet.

第2圖為第1圖中之物件之展開及部分剖視圖。 Fig. 2 is a development and partial cross-sectional view of the object in Fig. 1.

第3圖為二氧化矽上之表面羥基濃度隨溫度變化之圖表。 Figure 3 is a graph of the concentration of surface hydroxyl groups on cerium oxide as a function of temperature.

第4圖為SC1-清潔玻璃片之表面能量隨退火溫度變 化之圖表。 Figure 4 shows the surface energy of SC1-clean glass as the annealing temperature changes. Chart of the transformation.

第5圖為沉積於玻璃片上之薄氟聚合物膜之表面能量隨該膜自其製成之成分材料之一的百分比變化之圖表。 Figure 5 is a graph of the surface energy of a thin fluoropolymer film deposited on a glass sheet as a function of the percentage of one of the constituent materials from which the film is made.

第6圖為藉由接合區域接合至載具之薄片之示意俯視圖。 Figure 6 is a schematic plan view of a sheet joined to a carrier by a joint region.

第7圖為玻璃片堆疊之示意側視圖。 Figure 7 is a schematic side view of a stack of glass sheets.

第8圖為第7圖中之堆疊之一個實施例的展開圖。 Figure 8 is an expanded view of one embodiment of the stack in Figure 7.

第9圖為測試裝置之示意圖。 Figure 9 is a schematic diagram of the test device.

第10圖為針對各種材料在不同條件下的表面能量(第9圖之測試裝置之不同部分的表面能量)相對時間之一系列圖表。 Figure 10 is a series of graphs showing the relative energy of surface energy (surface energy of different parts of the test apparatus of Figure 9) for various materials under different conditions.

第11圖為針對各種材料的%氣泡面積變化相對溫度之圖表。 Figure 11 is a graph of % bubble area change versus temperature for various materials.

第12圖為針對各種材料的%氣泡面積變化相對溫度之另一圖表。 Figure 12 is another graph of % bubble area change versus temperature for various materials.

第13圖為沉積於玻璃片上之氟聚合物膜之表面能量隨沉積期間所使用氣體之一的百分比變化之圖表。 Figure 13 is a graph of the surface energy of a fluoropolymer film deposited on a glass sheet as a function of the percentage of one of the gases used during deposition.

第13A圖為沉積於玻璃片上之氟聚合物膜之表面能量隨沉積期間所使用氣體之一的百分比變化之圖表。 Figure 13A is a graph of the surface energy of a fluoropolymer film deposited on a glass sheet as a function of the percentage of one of the gases used during deposition.

第14圖為針對表面改質層的表面能量相對沉積時間之圖表。 Figure 14 is a graph of surface energy versus deposition time for a surface modifying layer.

第15圖為針對表面改質層的在雙對數尺度上的厚度相對沉積時間之圖表。 Figure 15 is a graph of thickness vs. deposition time on a double logarithmic scale for a surface modifying layer.

第16圖為針對不同表面改質層的表面能量相對處 理溫度之圖表。 Figure 16 shows the relative energy of the surface energy for different surface modification layers. A chart of temperature.

第17圖為表面改質層表面覆蓋率之圖表。 Figure 17 is a graph of the surface coverage of the surface modification layer.

第18圖為在接合至玻璃載具之200微米PEN膜上製作的有機電晶體之效能之匯總。 Figure 18 is a summary of the efficacy of an organic transistor fabricated on a 200 micron PEN film bonded to a glass carrier.

在以下詳細描述中,出於解釋之目的且無限制地,闡述揭示特定細節之示例性實施例,以便提供對本發明之各種原理的徹底理解。然而,已受益於本揭示內容之一般技藝人士應明白的是,本發明可在脫離本文揭示的特定細節之其他實施例中實踐。此外,可省略對熟知裝置、方法及材料之描述,以便不模糊對本發明之各種原理之描述。最後,在任何可適用的情況下,相同元件符號指代相同元件。 In the following detailed description, exemplary embodiments of the invention are in the However, it is apparent to those skilled in the art that the present invention may be practiced in other embodiments without departing from the specific details disclosed herein. In addition, descriptions of well-known devices, methods, and materials may be omitted so as not to obscure the description of the various principles of the invention. Finally, the same element symbols refer to the same elements, wherever applicable.

本文中可將範圍表述為自「約」一個特定值,及/或至「約」另一特定值。當表述此範圍時,另一實施例包括自一個特定值及/或至另一特定值。類似地,當藉由使用先行詞「約」將值表述為近似值時,應理解,特定值形成另一實施例。應進一步理解,範圍中每一者之端點相對於另一端點而言及獨立於另一端點而言均有意義。 Ranges may be expressed herein as "about" a particular value, and/or to "about" another particular value. When describing this range, another embodiment includes from a particular value and/or to another particular value. Similarly, when values are expressed as approximations, the use of the It should be further understood that the endpoints of each of the ranges are meaningful relative to the other endpoint and independent of the other endpoint.

如本文所使用的方向性用詞--例如,上、下、左、右、前、後、頂部、底部--僅係參考所繪製之圖式而言,且不意欲暗示絕對定向。 The directional terms as used herein - for example, up, down, left, right, front, back, top, bottom - are only referenced to the drawing, and are not intended to imply absolute orientation.

除非上下文另外明確指定,否則如本文所使用,單數形式「一」及「該」包括複數提及物。因此,例如,除非上下文另外明確指示,否則提及「組分」包括具有兩種或兩 種以上此等「組分」之態樣。 As used herein, the singular forms "" Therefore, for example, reference to "a component" includes two or two unless the context clearly indicates otherwise. The above aspects of these "components".

在US‘727及US‘880兩者中,提供允許在載具上處理薄玻璃片之解決方案,藉以薄玻璃片之至少部分保持為「非接合的」,以便在薄玻璃片上處理之裝置可自載具移除。然而,薄玻璃之周邊經由共價Si-O-Si鍵之形成而永久地(或共價地,或氣密地)接合至載具玻璃。此共價鍵結周邊阻止載具之重複使用,因為此永久接合區中之薄玻璃無法在不破壞薄玻璃及載具的情況下移除。 In both US '727 and US '880, a solution is provided that allows for the processing of thin glass sheets on a carrier, whereby at least a portion of the thin glass sheets remain "unjoined" so that the device can be processed on a thin glass sheet. Removed from the carrier. However, the periphery of the thin glass is permanently (or covalently, or airtightly) bonded to the carrier glass via the formation of covalent Si-O-Si bonds. This covalently bonded perimeter prevents reuse of the carrier because the thin glass in this permanent joint cannot be removed without damaging the thin glass and the carrier.

為維持有利的表面形狀特性,載具典型地為顯示器級玻璃基板。因此,在一些情形下,僅在一次使用之後就處置載具是浪費及代價大的。因此,為降低顯示器製造之成本,合乎需要的是能夠重複使用載具來處理大於一個薄片基板。本揭示內容闡述物件及方法,用於允許薄片經由FPD處理線中包括高溫處理之苛刻環境處理--其中高溫處理係於400℃之溫度下處理,且可取決於所製成之裝置之類型而改變,例如,在非晶矽或非晶銦鎵鋅氧化物(indium gallium zinc oxide;IGZO)背板處理中為至多約450℃之溫度,在結晶IGZO處理中為至多約500-550℃,或如在LTPS製程中典型的,為至多約600-650℃--且仍允許薄片易於自載具移除,而無對薄片或載具之破壞(例如,其中載具及薄片之一破裂或開裂成兩個或兩個以上碎塊),藉以載具可得以重複使用。 To maintain favorable surface shape characteristics, the carrier is typically a display grade glass substrate. Therefore, in some cases, disposing of the carrier only after one use is wasteful and costly. Therefore, in order to reduce the cost of display manufacturing, it is desirable to be able to reuse the carrier to process more than one sheet substrate. The present disclosure sets forth articles and methods for allowing sheets to be processed through harsh environments including high temperature processing in FPD processing lines - where high temperature processing is Treated at a temperature of 400 ° C, and may vary depending on the type of device being fabricated, for example, up to about at least in the gallium or amorphous indium gallium zinc oxide (IGZO) backsheet treatment The temperature of 450 ° C is up to about 500-550 ° C in the crystalline IGZO treatment, or as typical as in the LTPS process, up to about 600-650 ° C - and still allows the sheet to be easily removed from the carrier without Destruction of the sheet or carrier (eg, where one of the carrier and sheet is broken or cracked into two or more pieces) whereby the carrier can be reused.

如第1圖及第2圖所示,物件2具有厚度8,且包括具有厚度18之載具10、具有厚度28之薄片20(亦即,具有300微米之厚度的薄片,該厚度包括但不限於例如以下厚 度:10-50微米、50-100微米、100-150微米、150-300微米、300、250、200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、40、30、20或10微米),以及具有厚度38之表面改質層30。物件2經設計以允許在設計用於較厚片材(亦即,大約.4mm,例如,.4mm、.5mm、.6mm、.7mm、.8mm、.9mm或1.0mm之彼等片材)之裝備中處理薄片20,儘管薄片20自身300微米。亦即,為厚度18、28及38之總和的厚度8係設計成等於較厚片材之彼厚度,一件裝備--例如,設計來將電子裝置組件安置於基板片材上之裝備--係設計來處理該較厚片材。例如,若處理裝備係設計用於700微米片材,且薄片具有300微米之厚度28,則在假定厚度38可忽略的情況下,厚度18將選擇為400微米。亦即,表面改質層30未按比例展示;替代而言,僅出於說明起見將該表面改質層大大誇示。另外,表面改質層以剖面展示。事實上,當提供可重複使用載具時,表面改質層將均勻地安置於接合表面14上。典型地,厚度38將為大約幾奈米,例如0.1至2.0nm或至多10nm,且在一些情況下,可為至多100nm。厚度38可藉由橢圓偏光計量測。另外,表面改質層之存在可藉由表面化學分析偵測,例如藉由ToF Sims質譜測定法偵測。因此,厚度38對物件厚度8之貢獻可忽略,且可在針對判定用於處理具有厚度28之給定薄片20的載具10之適合厚度18之計算中予以略去。然而,在表面改質層30具有任何顯著厚度38之程度上,此厚度可考慮用於針對薄片20之給定厚度28及設計處理裝備所針對的給定厚度來判定載具 10之厚度18。 As shown in Figures 1 and 2, the article 2 has a thickness 8 and includes a carrier 10 having a thickness 18 and a sheet 20 having a thickness 28 (i.e., having A sheet having a thickness of 300 microns, including but not limited to, for example, the following thicknesses: 10-50 microns, 50-100 microns, 100-150 microns, 150-300 microns, 300, 250, 200, 190, 180, 170, 160 150, 140, 130, 120, 110, 100, 90, 80, 70, 60, 50, 40, 30, 20 or 10 microns), and a surface modifying layer 30 having a thickness 38. Object 2 is designed to allow for designing for thicker sheets (ie, about .4 mm, for example, .4 mm, .5 mm, .6 mm, .7 mm, .8 mm, .9 mm, or 1.0 mm of the sheets are processed in the sheet 20, although the sheet 20 itself 300 microns. That is, the thickness 8 of the sum of the thicknesses 18, 28, and 38 is designed to be equal to the thickness of the thicker sheet, and one piece of equipment - for example, equipment designed to place the electronic device components on the substrate sheet - Designed to handle this thicker sheet. For example, if the processing equipment is designed for a 700 micron sheet and the sheet has a thickness 28 of 300 microns, the thickness 18 would be chosen to be 400 microns assuming a negligible thickness 38. That is, the surface modifying layer 30 is not shown to scale; instead, the surface modifying layer is greatly exaggerated for illustrative purposes only. In addition, the surface modifying layer is shown in cross section. In fact, when a reusable carrier is provided, the surface modifying layer will be evenly disposed on the bonding surface 14. Typically, thickness 38 will be on the order of a few nanometers, such as 0.1 to 2.0 nm or up to 10 nm, and in some cases, up to 100 nm. The thickness 38 can be measured by ellipsometry. In addition, the presence of the surface modifying layer can be detected by surface chemical analysis, for example by ToF Sims mass spectrometry. Thus, the contribution of thickness 38 to the thickness 8 of the article is negligible and may be omitted in the calculation for determining the suitable thickness 18 of the carrier 10 for processing a given sheet 20 having a thickness of 28. However, to the extent that the surface modifying layer 30 has any significant thickness 38, this thickness can be considered for determining the thickness 18 of the carrier 10 for a given thickness 28 of the sheet 20 and a given thickness for which the processing equipment is designed.

載具10具有第一表面12、接合表面14、周邊16及厚度18。另外,載具10可具有任何適合材料,包括例如玻璃。載具無需為玻璃,而可替代地為陶瓷、玻璃-陶瓷或金屬(因為表面能量及/或接合可以類似於以下結合玻璃載具所描述方式之方式來控制)。若載具10由玻璃製成,則該載具可具有任何適合組成物,包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽、鈉鈣矽酸鹽,且可為含鹼金屬或不含鹼金屬的,此取決於其最終應用。厚度18可為約0.2至3mm或更大,例如0.2、0.3、0.4、0.5、0.6、0.65、0.7、1.0、2.0或3mm或更大,且將取決於厚度28及厚度38(如以上指出,當此厚度不可忽略時如此)。另外,載具10可如圖所示由一個層製成,或由接合在一起的多個層(包括多個相同或不同材料之薄片)製成。另外,載具可具有Gen 1大小或更大,例如,Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如,100mm x 100mm至3公尺x 3公尺或更大的片材大小)。 The carrier 10 has a first surface 12, an engagement surface 14, a perimeter 16 and a thickness 18. Additionally, carrier 10 can have any suitable material including, for example, glass. The carrier need not be glass, but may alternatively be ceramic, glass-ceramic or metal (because the surface energy and/or bonding may be controlled in a manner similar to that described below in connection with the glass carrier). If the carrier 10 is made of glass, the carrier may have any suitable composition, including aluminosilicate, borosilicate, aluminoboronate, sodium calcium citrate, and may be an alkali metal or Alkali-free, depending on its end use. The thickness 18 can be about 0.2 to 3 mm or greater, such as 0.2, 0.3, 0.4, 0.5, 0.6, 0.65, 0.7, 1.0, 2.0, or 3 mm or greater, and will depend on the thickness 28 and thickness 38 (as noted above, When this thickness is not negligible, this is the case). Additionally, the carrier 10 can be formed from one layer as shown, or from a plurality of layers joined together (including a plurality of sheets of the same or different materials). In addition, the carrier may have a Gen 1 size or larger, for example, Gen 2, Gen 3, Gen 4, Gen 5, Gen 8 or larger (for example, 100 mm x 100 mm to 3 m x 3 m or more) Sheet size).

薄片20具有第一表面22、接合表面24、周邊26及厚度28。周邊16及26可具有任何適合形狀,可彼此相同,或可彼此不同。另外,薄片20可具有任何適合材料,包括例如玻璃、陶瓷或玻璃-陶瓷。在一些情況下,薄片20可為聚合物或具有聚合物及/或玻璃接合表面之複合物片材。當薄片20由玻璃製成時,該薄片可具有任何適合組成物,包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽、鈉鈣矽酸鹽,且可為含鹼金屬或不含鹼金屬的,此取決於其最終應用。薄片之熱膨脹係數 可與載具之熱膨脹係數相對緊密地匹配,以便阻止物件在高溫下處理期間的翹曲。當在較低溫度下處理物件2時,即CTE匹配不為此類關注點的情況,則聚合物薄片可與玻璃載具一起使用。當然,可存在其他情況,其中聚合物片材可與玻璃載具一起使用。如以上指出,薄片20之厚度28為300微米或更小。另外,薄片可具有Gen 1大小或更大,例如,Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如,100mm x 100mm至3公尺x 3公尺或更大的片材大小)。 Sheet 20 has a first surface 22, an engagement surface 24, a perimeter 26, and a thickness 28. The perimeters 16 and 26 can have any suitable shape, can be identical to one another, or can be different from one another. Additionally, the sheet 20 can have any suitable material including, for example, glass, ceramic or glass-ceramic. In some cases, the sheet 20 can be a polymer or a composite sheet having a polymeric and/or glass bonded surface. When the sheet 20 is made of glass, the sheet may have any suitable composition, including aluminosilicates, borosilicates, aluminoboronates, soda-calcium silicates, and may be alkali-containing or non-containing. Alkali metal, depending on its end use. Thermal expansion coefficient of the sheet It can be relatively closely matched to the coefficient of thermal expansion of the carrier to prevent warpage of the article during processing at elevated temperatures. When the article 2 is processed at a lower temperature, i.e., where the CTE match is not such a concern, the polymer sheet can be used with a glass carrier. Of course, there may be other situations where the polymeric sheet can be used with a glass carrier. As indicated above, the thickness 28 of the sheet 20 is 300 microns or less. In addition, the sheet may have a Gen 1 size or larger, for example, Gen 2, Gen 3, Gen 4, Gen 5, Gen 8 or larger (for example, a piece of 100 mm x 100 mm to 3 m x 3 m or more) Material size).

物件2不僅需要具有正確的厚度以在現存裝備中處理,而且有時其亦將需要能夠在其中進行處理之苛刻環境中保全。例如,平板顯示器(FPD)處理可包括濕式處理、超音波處理、真空處理及在一些情況下高溫(例如,400℃)處理。對一些製程而言,如以上指出,溫度可為500℃,或600℃及至多650℃。 The article 2 not only needs to have the correct thickness to be disposed of in existing equipment, but sometimes it will also need to be preserved in the harsh environment in which it can be handled. For example, flat panel display (FPD) processing can include wet processing, ultrasonic processing, vacuum processing, and in some cases high temperatures (eg, 400 ° C) treatment. For some processes, as noted above, the temperature can be 500 ° C, or 600 ° C and up to 650 ° C.

為在其中物件2將受處理之苛刻環境中保全,如在例如FPD製造期間保全,接合表面14應以足夠強度接合至接合表面24,薄片20不與載具10分離。而且,此強度應在處理期間得以維持,以便薄片20在處理期間不與載具10分離。另外,為允許薄片20自載具10移除(以便載具10可得以重複使用),接合表面14不應藉由初始設計接合力及/或藉由因初始設計接合力之改質而產生的接合力(如可例如在物件經歷高溫下處理,例如,經歷在400℃之溫度下處理時發生)過於強烈地接合至接合表面24。表面改質層30可用於控制接合表面14與接合表面24之間的接合強度,以便達成這兩個目標。受 控接合力係藉由控制凡得瓦力(及/或氫鍵結)及共價吸引能量對總黏著能量之貢獻來達成,該總黏著能量係藉由調變薄片20及載具10之極性及非極性表面能量分量來控制。此受控接合足夠強以在FPD處理(包括濕式製程、超音波製程、真空製程及熱製程,其包括400℃之溫度,且在一些情況下,500℃或600℃且至多650℃之處理溫度)中保全,且保持可藉由施加足夠分離力及亦藉由不引起對薄片20及/或載具10之毀滅性破壞之力而脫接合。此種脫接合允許薄片20及其上所製作的裝置之移除,且亦允許載具10之重複使用。 In order to preserve in the harsh environment in which the article 2 will be treated, such as during fabrication of, for example, FPD, the bonding surface 14 should be joined to the bonding surface 24 with sufficient strength that the sheet 20 is not separated from the carrier 10. Moreover, this strength should be maintained during processing so that the sheet 20 is not separated from the carrier 10 during processing. Additionally, to allow the sheet 20 to be removed from the carrier 10 (so that the carrier 10 can be reused), the engagement surface 14 should not be created by initial design engagement forces and/or by modification of the initial design engagement force. Engagement force (eg, can be processed, for example, when the article is subjected to high temperatures, for example, experienced in Occurs when processed at a temperature of 400 ° C) is too strongly bonded to the bonding surface 24 . The surface modifying layer 30 can be used to control the joint strength between the joining surface 14 and the joining surface 24 in order to achieve both of these goals. The controlled bonding force is achieved by controlling the contribution of van der Waals force (and/or hydrogen bonding) and covalent attraction energy to the total adhesion energy by modulating the sheet 20 and the carrier 10 Polar and non-polar surface energy components are controlled. This controlled joint is strong enough for FPD processing (including wet process, ultrasonic process, vacuum process, and thermal process, including 400 ° C temperature, and in some cases, 500 ° C or The processing is maintained at 600 ° C and at a processing temperature of up to 650 ° C and remains uncoupled by the application of sufficient separation force and also by the force that does not cause catastrophic damage to the sheet 20 and/or the carrier 10. Such disengagement allows removal of the sheet 20 and the device made thereon, and also allows for repeated use of the carrier 10.

儘管表面改質層30係展示為薄片20與載具10之間的實心層,但無需為此種狀況。例如,層30可為大約0.1至2nm厚,且可不完全地覆蓋接合表面14之每一區位(bit)。例如,覆蓋率可為100%、1%至100%、10%至100%、20%至90%或50%至90%。在其他實施例中,層30可為至多10nm厚,或在其他實施例中,甚至至多100nm厚。表面改質層30可視為安置於載具10與薄片20之間,儘管其可不接觸載具10及薄片20中之任一者。在任何情況下,表面改質層30之重要態樣在於,其改質接合表面14與接合表面24接合之能力,進而控制載具10與薄片20之間的接合之強度。表面改質層30之材料及厚度,以及在接合之前對接合表面14、24之處理可用於控制載具10與薄片20之間的接合(黏著之能量)之強度。 Although the surface modifying layer 30 is shown as a solid layer between the sheet 20 and the carrier 10, this need not be the case. For example, layer 30 can be about 0.1 to 2 nm thick and can not completely cover each bit of bonding surface 14. For example, the coverage rate can be 100%, 1% to 100%, 10% to 100%, 20% to 90% or 50% to 90%. In other embodiments, layer 30 can be up to 10 nm thick, or in other embodiments, even up to 100 nm thick. The surface modifying layer 30 can be considered to be disposed between the carrier 10 and the sheet 20, although it may not contact any of the carrier 10 and the sheet 20. In any event, an important aspect of the surface modifying layer 30 is its ability to bond the bonding surface 14 to the bonding surface 24, thereby controlling the strength of the bond between the carrier 10 and the sheet 20. The material and thickness of the surface modifying layer 30, as well as the treatment of the joining surfaces 14, 24 prior to joining, can be used to control the strength of the bond (energy of adhesion) between the carrier 10 and the sheet 20.

一般而言,兩個表面之間的黏著之能量由以下給出(「A theory for the estimation of surface and interfacial energies. I.derivation and application to interfacial tension」,L.A.Girifalco及R.J.Good,J.Phys.Chem.,V 61,p904):W=Y 1 +Y 2 -Y 12 (1)其中Y 1 、Y 2 及Y 12 分別為表面1、表面2之表面能量及表面1及表面2之界面能量。個別表面能量通常為兩個項之組合;即,分散分量γd及極性分量(polar component)γp Y=Y d+Y p (2) In general, the energy of adhesion between two surfaces is given by ("A theory for the estimation of surface and interfacial energies. I. derivation and application to interfacial tension", LAGirifalco and RJGood, J. Phys. Chem. , V 61, p904): W = Y 1 + Y 2 - Y 12 (1) wherein Y 1 , Y 2 and Y 12 are the surface energy of the surface 1, the surface 2 and the interface energy of the surface 1 and the surface 2, respectively. The individual surface energy is usually a combination of two terms; that is, the dispersion component γ d and the polar component γ p Y = Y d + Y p (2)

當黏著主要係歸因於倫敦分散力(γd)及例如氫鍵結之極性力(γp)時,界面能量可由以下給出(Girifalco及R.J.Good,如以上所提及): When the adhesion major is attributed to the London dispersive force (γ d ) and the polar force (γ p ) such as hydrogen bonding, the interfacial energy can be given by (Girifalco and RJGood, as mentioned above):

在將(3)代入(1)之後,黏著之能量可大致計算為: After substituting (3) into (1), the energy of adhesion can be roughly calculated as:

以上方程式(4)中,僅考慮黏著能量之凡得瓦力(及/或氫鍵結)分量。此等分量包括極性-極性相互作用(Keesom)、極性-非極性相互作用(Debye)及非極性-非極性相互作用(London)。然而,亦可存在其他吸引能量,例如共價鍵結及靜電鍵結。因此,以更一般化之形式,將以上方程式寫為: 其中w c w e 為共價及靜電黏著能量。共價黏著能量相當常見,如常見於矽晶圓接合中,其中將初始氫鍵結的一對晶圓加熱至較高溫度,以將大部分或所有矽醇-矽醇氫鍵轉化成Si-O-Si共價鍵。雖然初始、室溫氫鍵結產生允許接合表面之分離的大約為約100-200mJ/m2之黏著能量,但如在高溫處理 (大約400至800℃)期間達成的完全共價鍵結晶圓對具有約1000-3000mJ/m2之黏著能量,其不允許接合表面之分離;替代而言,兩個晶圓充當單塊(monolith)。另一方面,若兩個表面完全用例如氟聚合物之低表面能量材料(其厚度足夠大以屏蔽下伏基板之效應)塗佈,則黏著能量將為塗層材料之黏著能量,且將為極低的,從而導致接合表面14、24之間低的黏著或無黏著,藉以薄片20不能夠在載具10處理。考慮兩種極端狀況:(a)兩個標準清潔1(SC1,如此項技術所知)清潔玻璃表面,其矽醇基飽和,在室溫下經由氫鍵結接合在一起(藉以黏著能量為約100-200mJ/m2),接著加熱至將矽醇基轉化成共價Si-O-Si鍵之高溫(藉以黏著能量變為1000-3000mJ/m2)。此後一種黏著能量對欲脫離的一對玻璃表面而言過高;以及(b)完全用具有低表面黏著能量(每個表面約12mJ/m2)之氟聚合物塗佈的兩個玻璃表面,其在室溫下接合且加熱至高溫。在此後一種狀況(b)中,表面不僅不接合(因為當表面置放在一起時,約24mJ/m2之總黏著能量過低),而且該等表面在高溫下亦不接合,因為不存在(或存在十分少的)極性反應基團。在此兩種極端情況之間,存在黏著能量之範圍,例如,介於50-1000mJ/m2之間,此可產生所要程度之受控接合。因此,發明人已發現提供可調表面改質層30之各種方式,該可調表面改質層產生介於此兩種極端情況之間的黏著能量,且使得可產生受控接合,該受控接合足以維持一對玻璃基板(例如玻璃載具10及薄玻璃片20)在FPD處理之苛刻條件期間彼此接合,而且該受控接合之程度(甚至在例如400℃之高溫處理之後)允許 在處理完成之後薄片20自載具10之脫離。此外,薄片20自載具10之脫離可藉由機械力來執行,且以不存在對至少薄片20之毀滅性破壞的方式執行,且較佳地亦使得不存在對載具10之毀滅性破壞。 In the above equation (4), only the van der Waals force (and/or hydrogen bonding) component of the adhesion energy is considered. These components include polar-polar interactions (Keesom), polar-nonpolar interactions (Debye), and non-polar-nonpolar interactions (London). However, other attractive energies may also be present, such as covalent bonding and electrostatic bonding. Therefore, in a more general form, the above equation is written as: Where w c and w e are covalent and electrostatic adhesion energy. Covalent adhesion energy is quite common, as is common in tantalum wafer bonding, where a pair of initial hydrogen bonded wafers are heated to a higher temperature to convert most or all of the sterol-sterol hydrogen bonds to Si- O-Si covalent bond. Although the initial, room temperature hydrogen bonding produces an adhesion energy of about 100-200 mJ/m 2 that allows separation of the bonding surfaces, a complete covalent bond crystal circle pair as achieved during high temperature processing (about 400 to 800 ° C). There is an adhesion energy of about 1000-3000 mJ/m 2 which does not allow separation of the bonding surfaces; instead, the two wafers act as monoliths. On the other hand, if the two surfaces are completely coated with a low surface energy material such as a fluoropolymer (the thickness of which is large enough to shield the underlying substrate), the adhesion energy will be the adhesion energy of the coating material and will be Extremely low, resulting in low or no adhesion between the bonding surfaces 14, 24, whereby the sheet 20 cannot be processed in the carrier 10. Consider two extreme conditions: (a) Two standard cleanings 1 (SC1, known in the art) clean the glass surface, which is saturated with sterol groups and joined together via hydrogen bonding at room temperature (by means of adhesion energy) 100-200 mJ/m 2 ), followed by heating to a high temperature at which the sterol group is converted to a covalent Si-O-Si bond (by which the adhesion energy becomes 1000-3000 mJ/m 2 ). Thereafter, an adhesive energy is too high for a pair of glass surfaces to be detached; and (b) two glass surfaces coated entirely with a fluoropolymer having a low surface adhesion energy (about 12 mJ/m 2 per surface), It is joined at room temperature and heated to a high temperature. In this latter condition (b), the surface is not only not joined (because the total adhesion energy of about 24 mJ/m 2 is too low when the surfaces are placed together), and the surfaces are not joined at high temperatures because they do not exist. (or very few) polar reactive groups. Between these two extremes, there is a range of adhesive energies, for example between 50 and 1000 mJ/m 2 , which produces a desired degree of controlled bonding. Accordingly, the inventors have discovered various ways of providing an adjustable surface modifying layer 30 that produces adhesive energy between these two extremes and that enables controlled engagement, which is controlled The bonding is sufficient to maintain a pair of glass substrates (e.g., glass carrier 10 and thin glass sheet 20) engaged with one another during the harsh conditions of FPD processing, and the extent of the controlled engagement (even at example, for example After the high temperature treatment at 400 ° C) the detachment of the sheet 20 from the carrier 10 is allowed after the treatment is completed. Moreover, the detachment of the sheet 20 from the carrier 10 can be performed by mechanical force and is performed in a manner that does not have devastating damage to at least the sheet 20, and preferably also does not cause devastating damage to the carrier 10. .

方程式(5)描述:黏著能量為四個表面能量參數加共價及靜電能量(若存在)之函數。 Equation (5) describes: Adhesive energy is a function of four surface energy parameters plus covalent and electrostatic energy, if any.

適當的黏著能量可藉由對表面改質劑,亦即對表面改質層30之明智選擇,及/或在接合之前表面之熱處理來達成。適當的黏著能量可藉由對接合表面14及接合表面24中一或兩者之化學改質劑的選擇來獲得,該等化學改質劑又控制凡得瓦力(及/或氫鍵結,因為此等術語在本說明書全文中可互換地使用)黏著能量以及由高溫處理(例如,大約400℃)引起的可能共價鍵結黏著能量。例如,採用SC1清潔玻璃之接合表面(其最初矽醇基飽和,具有表面能量之高極性分量)且用低能量氟聚合物對其塗佈,此提供對表面藉由極性及非極性基團之分數覆蓋率的控制。此不僅提供對在室溫下的初始凡得瓦力接合(及/或氫鍵結)之控制,而且提供對在較高溫度下共價鍵結之範圍/程度的控制。執行對在室溫下的初始凡得瓦力接合(及/或氫鍵結)之控制,以便提供一個表面與另一表面之接合,從而允許真空處理及或旋轉-清洗-乾燥(spin-rinse-dry;SRD)類型處理,且在一些情況下亦提供一個表面與另一表面之易於形成的接合--其中易於形成的接合可在室溫下、在無對薄片20之整體區域施加外部施力的情況下(如將薄片20壓製至載具10中所進行的情況)、利用塗刷器 或利用減壓環境來執行。亦即,初始的凡得瓦力接合提供至少最低程度之接合,其將薄片及載具固持在一起以便其在一者受固持且使另一者經受重力的情況下不會分離。在大多數狀況下,初始的凡得瓦力接合(及/或氫鍵結)將具有使得物件亦可經歷真空處理、SRD處理及超音波處理而不使薄片與載具脫層的範圍。經由表面改質層30(包括自其製成該表面改質層之材料及/或對施加該表面改質層之表面的表面處理)及/或在將表面接合在一起之前藉由接合表面之熱處理對凡得瓦力(及/或氫鍵結)及共價相互作用於適當水準下之此種精確控制達成所要黏著能量,該黏著能量允許薄片20在FPD型處理全程與載具10接合,而同時允許薄片20在FPD型處理之後自載具10分離(藉由避免對薄片20及/或載具之破壞的適當力)。另外,在適當的情況下,可將靜電荷施加於一或兩個玻璃表面以提供對黏著能量之另一水準控制。 Appropriate adhesion energy can be achieved by a sensible selection of surface modifiers, i.e., surface modification layer 30, and/or heat treatment of the surface prior to bonding. Appropriate adhesion energy can be obtained by the selection of a chemical modifier for one or both of the bonding surface 14 and the bonding surface 24, which in turn controls the van der Waals force (and/or hydrogen bonding, Because such terms are used interchangeably throughout the specification, as well as adhesion energy and processing by high temperatures (eg, approximately Possible covalent bond bonding energy caused by 400 ° C). For example, SC1 cleans the bonding surface of the glass (which is initially saturated with sterol groups, has a high polarity component of surface energy) and is coated with a low energy fluoropolymer, which provides for the surface by polar and non-polar groups. Control of fractional coverage. This not only provides control of the initial van der Waals bonding (and/or hydrogen bonding) at room temperature, but also provides control over the extent/degree of covalent bonding at higher temperatures. Performing control of the initial van der Waals joint (and/or hydrogen bonding) at room temperature to provide a surface to the other surface to allow vacuum processing and or spin-rinse (spin-rinse) -dry;SRD) type treatment, and in some cases also provides an easy-to-form bond between the surface and the other surface - wherein the easy-to-form bond can be applied externally at room temperature without the entire area of the sheet 20 In the case of a force (such as the case where the sheet 20 is pressed into the carrier 10), it is performed using a squeegee or using a reduced pressure environment. That is, the initial Van der Waals joint provides at least a minimum degree of engagement that holds the sheet and carrier together so that they do not separate if one is held by one and the other is subjected to gravity. In most cases, the initial van der Waals bonding (and/or hydrogen bonding) will have a range that allows the article to undergo vacuum processing, SRD processing, and ultrasonic processing without delaminating the wafer from the carrier. Passing through the surface modifying layer 30 (including the material from which the surface modifying layer is made and/or the surface treatment of the surface on which the surface modifying layer is applied) and/or by bonding the surface before joining the surfaces together The heat treatment achieves the desired adhesion energy for such precise control of van der Waals (and/or hydrogen bonding) and covalent interaction at an appropriate level, which allows the sheet 20 to engage the carrier 10 throughout the FPD type process, At the same time, the sheet 20 is allowed to separate from the carrier 10 after the FPD type treatment (by avoiding the appropriate force to damage the sheet 20 and/or the carrier). Additionally, where appropriate, an electrostatic charge can be applied to one or both of the glass surfaces to provide another level of control of the adhesion energy.

例如p-Si及氧化物TFT製作的FPD處理典型地涉及在400℃以上、在500℃以上且在一些情況下於600℃下或在600℃以上、至多650℃之溫度下的熱製程,該等溫度在不存在表面改質層30的情況下引起薄玻璃片20與玻璃載具10之玻璃至玻璃接合。因此,控制Si-O-Si鍵結之形成產生可重複使用載具。控制在高溫下Si-O-Si鍵結之形成的一種方法為減少欲接合之表面上的表面羥基之濃度。 FPD processing, such as p-Si and oxide TFT fabrication, typically involves a thermal process at temperatures above 400 ° C, above 500 ° C and in some cases at 600 ° C or above 600 ° C, up to 650 ° C. The isothermal temperature causes the thin glass sheet 20 to be bonded to the glass to glass of the glass carrier 10 in the absence of the surface modifying layer 30. Thus, controlling the formation of Si-O-Si bonds results in a reusable carrier. One method of controlling the formation of Si-O-Si bonds at elevated temperatures is to reduce the concentration of surface hydroxyl groups on the surface to be joined.

如第3圖所示,該圖為二氧化矽上之表面羥基濃度隨溫度變化之Iler氏繪圖(R.K.Iller:The Chemistry of Silica(Wiley-Interscience,New York,1979),每平方nm之羥基(OH 基)之數量隨表面之溫度的增加而減小。因此,加熱二氧化矽表面(及藉由類似方法加熱玻璃表面,例如接合表面14及/或接合表面24)減少表面羥基之濃度,從而減小兩個玻璃表面上之羥基相互作用之機率。表面羥基濃度之此種減少又減少每單位面積形成的Si-O-Si鍵,從而降低黏著力。然而,消除表面羥基需要在高溫下(在750℃以上以完全消除表面羥基)長的退火時間。此長的退火時間及高的退火溫度導致昂貴製程及不實際之製程,因為其可能高於典型顯示玻璃之應變點。 As shown in Fig. 3, the graph is an Iler's plot of the surface hydroxyl concentration on cerium oxide as a function of temperature (RKIller: The Chemistry of Silica (Wiley-Interscience, New York, 1979), hydroxyl groups per square nm ( OH The number of bases decreases as the temperature of the surface increases. Thus, heating the cerium oxide surface (and heating the glass surface by similar means, such as bonding surface 14 and/or bonding surface 24) reduces the concentration of surface hydroxyl groups, thereby reducing the probability of hydroxyl interactions on the two glass surfaces. This reduction in surface hydroxyl concentration, in turn, reduces the Si-O-Si bond formed per unit area, thereby reducing adhesion. However, the elimination of surface hydroxyl groups requires long annealing times at elevated temperatures (above 750 ° C to completely eliminate surface hydroxyl groups). This long annealing time and high annealing temperature result in an expensive process and an impractical process because it may be higher than the strain point of a typical display glass.

自以上分析,發明人已發現:適合於FPD處理(包括LTPS處理)的包括薄片及載具之物件可藉由平衡以下三個概念來製成:(1)藉由控制初始室溫接合對載具及/或薄片接合表面之改質,其可藉由控制凡得瓦力接合(及/或氫鍵結)來進行,以產生適度黏著能量(例如,在表面接合之前,具有每個表面>40mJ/m2之表面能量)以促進初始室溫接合,且足以在非高溫FPD製程中保全,該非高溫FPD製程例如真空處理、SRD處理及/或超音波處理;(2)以一方式對載具及/或薄片之表面改質,該方式為熱穩定的以在FPD製程中保全而無除氣,該除氣可在裝置製作中引起脫層及/或不可接受的污染,例如,其中可使用物件之半導體及/或顯示器製作製程所不可接受的污染;以及(3)控制在高溫下的接合,其可藉由控制載具表面羥基濃度及能夠在高溫(例如,400℃之溫度)下形成強共價鍵的其他物質之濃度來進行,藉以可控制載具之接合表面與薄 片之接合表面之間的接合能量,以使得甚至在高溫處理(尤其在500-650℃範圍內之熱製程期間,如在FPD製程中)之後,載具與薄片之間的黏著力保持在一範圍內,該範圍允許利用不破壞至少薄片(及較佳地不破壞薄片或載具任一者)之分離力使薄片與載具脫接合,且仍足以維持載具與薄片之間的接合,以便該載具及該薄片在製程期間不會脫層。 From the above analysis, the inventors have found that objects including sheets and carriers suitable for FPD processing (including LTPS processing) can be made by balancing the following three concepts: (1) by controlling the initial room temperature bonding Modifications with and/or sheet bonding surfaces that can be performed by controlling van der Waals bonding (and/or hydrogen bonding) to produce moderate adhesion energy (eg, having each surface prior to surface bonding) 40 mJ/m 2 of surface energy) to promote initial room temperature bonding, and sufficient to preserve in a non-high temperature FPD process, such as vacuum processing, SRD processing, and/or ultrasonic processing; (2) in one way Surface modification of the sheet and/or sheet, which is thermally stable for preservation in the FPD process without degassing, which can cause delamination and/or unacceptable contamination in the fabrication of the device, for example, Unacceptable contamination of the semiconductor and/or display fabrication process using the article; and (3) controlling bonding at elevated temperatures by controlling the concentration of hydroxyl groups on the surface of the carrier and being capable of high temperatures (eg, The concentration of other substances forming a strong covalent bond at a temperature of 400 ° C, whereby the bonding energy between the bonding surface of the carrier and the bonding surface of the sheet can be controlled so that even at high temperatures (especially at 500-650) After the thermal process in the °C range, as in the FPD process, the adhesion between the carrier and the sheet is maintained within a range that allows for the use without damaging at least the sheet (and preferably without destroying the sheet or carrier). The separation force of either) disengages the sheet from the carrier and is still sufficient to maintain the bond between the carrier and the sheet so that the carrier and the sheet do not delaminate during processing.

另外,發明人已發現:表面改質層30(適當時連同接合表面製備一起)之使用可平衡以上概念,以便易於達成受控接合區域,亦即,提供薄片20與載具10之間的足夠室溫接合之接合區域,以允許物件2在FPD類型製程(包括真空製程及濕式製程)中處理,而且為控制薄片20與載具10之間(甚至在400℃之高溫下)的共價鍵結之接合區域,以便在物件2已完成高溫處理(例如,FPD類型處理或LTPS處理)之後允許薄片20自載具10移除(而無對至少薄片之破壞,且較佳亦無對載具之破壞)。為評估將提供適用於FPD處理之可重複使用載具之潛在接合表面製備及表面改質層,使用一系列試驗。不同FPD應用具有不同的要求,但此時LTPS及氧化物TFT製程似乎為最嚴格的,且因此選擇代表此等製程中之步驟的試驗,因為此等試驗為物件2之所要應用。真空製程、濕式清潔(包括SRD製程及超音波類型製程)及濕式蝕刻對許多FPD應用而言為常見的。典型的Si TFT製作需要至多320℃之處理。在400℃下之退火用於氧化物TFT製程,而在超過600℃之結晶及摻雜劑活化步驟用於LTPS處理。因此,使用以下五種試驗來評估以下可能性:特定接合表面製備及表面改質 層30允許薄片20在FPD處理全程保持接合至載具10,同時在此種處理(包括在400℃之溫度下處理)之後允許薄片20自載具10移除(而不破壞薄片20及/或載具10)。依次執行試驗,且除非存在不允許後續測試之類型的失效,否則將樣本自一個試驗進送至下一試驗。 In addition, the inventors have discovered that the use of the surface modifying layer 30 (together with the bonding surface as appropriate) can balance the above concepts in order to easily achieve a controlled joint area, i.e., provide sufficient between the sheet 20 and the carrier 10. The joint area is joined at room temperature to allow the article 2 to be processed in an FPD type process (including vacuum process and wet process) and between the control sheet 20 and the carrier 10 (even in Covalently bonded bonding regions at a high temperature of 400 ° C to allow removal of the sheet 20 from the carrier 10 after the article 2 has completed high temperature processing (eg, FPD type processing or LTPS processing) (without Destruction, and preferably no damage to the vehicle). A series of tests were used to evaluate potential joint surface preparation and surface modification layers that would provide reusable carriers for FPD processing. Different FPD applications have different requirements, but at this point the LTPS and oxide TFT processes appear to be the most stringent, and therefore the tests that represent the steps in such processes are chosen because these tests are the applications of the object 2. Vacuum processes, wet cleaning (including SRD processes and ultrasonic type processes) and wet etching are common to many FPD applications. Typical Si TFT fabrication requires processing up to 320 °C. Annealing at 400 ° C is used for the oxide TFT process, while the crystallization and dopant activation steps above 600 ° C are used for the LTPS process. Therefore, the following five tests were used to evaluate the possibility that the particular joint surface preparation and surface modification layer 30 allowed the sheet 20 to remain bonded to the carrier 10 throughout the FPD process, while at the same time (including in The sheet 20 is allowed to be removed from the carrier 10 after treatment at a temperature of 400 ° C (without breaking the sheet 20 and/or the carrier 10). The test is performed in sequence, and the sample is sent from one test to the next unless there is a failure of the type that does not allow subsequent tests.

(1)真空測試。在STS多工PECVD負載鎖定室(loadlock)(可購自SPTS,Newport,UK)中執行真空相容性測試--該負載鎖定室藉由帶軟泵閥之Ebara A10S乾泵(可購自Ebara Technologies Inc.,Sacramento,CA)來泵抽。將樣本置放於負載鎖定室中,且隨後將負載鎖定室於45sec內自大氣壓泵抽降至70mTorr。藉由於下表之「真空」欄中之記法「F」所指示的失效在以下情況下視為已發生:(a)載具與薄片之間的黏著之損失(根據利用肉眼之目視檢查,其中在薄片已自載具掉落或部分地與該載具脫接合的情況下,視為已發生失效);(b)載具與薄片之間起泡(如藉由利用肉眼之目視檢查來判定--在處理之前及之後對樣本拍攝照片,且隨後進行比較,在缺陷之大小增加肉眼可見之尺寸的情況下,判定已發生失效);或(c)薄片相對於載具之移動(如藉由利用肉眼之目視檢查來判定--在測試之前及之後對樣本拍攝照片,其中在以下情況下視為已發生失效:存在例如氣泡之接合缺陷之移動,或邊緣脫接合,或存在載具上之薄片之移動)。在以下表中,「真空」欄中之記法「P」指示:根據先前準則,樣本未失效。 (1) Vacuum test. Vacuum compatibility testing was performed in an STS multiplexed PECVD load lock chamber (available from SPTS, Newport, UK) - the Ebara A10S dry pump with soft pump valve (available from Ebara) Technologies Inc., Sacramento, CA) pumped. The sample was placed in a load lock chamber and the load lock chamber was then pumped from atmospheric pressure to 70 mTorr in 45 sec. The failure indicated by the notation "F" in the "vacuum" column of the following table is deemed to have occurred in the following cases: (a) the loss of adhesion between the carrier and the sheet (according to visual inspection using the naked eye, In the case where the sheet has been dropped from the carrier or partially disengaged from the carrier, it is considered to have failed; (b) foaming between the carrier and the sheet (eg, by visual inspection using the naked eye) - taking photographs of the sample before and after processing, and then comparing, determining that a failure has occurred if the size of the defect increases in size visible to the naked eye; or (c) moving the sheet relative to the carrier (eg, borrowing Determined by visual inspection with the naked eye - photographs were taken of the samples before and after the test, where failure was considered to have occurred in the following cases: there was movement of joint defects such as bubbles, or edge disengagement, or presence on the carrier The movement of the sheet). In the table below, the notation "P" in the "Vacuum" column indicates that the sample has not expired according to previous guidelines.

(2)濕式製程測試。使用Semitool SRD-470S型(可購 自Applied Materials,Santa Clara,CA)執行濕式製程相容性測試。測試由以下組成:60秒500rpm清洗、500rpm下Q-清洗至15MOhm-cm、在500rpm下10秒沖洗、在暖流氮下在1800rpm下90秒乾燥及在2400rpm下180秒乾燥。藉由於下表之「SRD」欄中之記法「F」所指示的失效在以下情況下視為已發生:(a)載具與薄片之間的黏著之損失(根據利用肉眼之目視檢查,其中在薄片已自載具掉落或部分地與該載具脫接合的情況下,視為已發生失效);(b)載具與薄片之間起泡(如藉由利用肉眼之目視檢查來判定--在處理之前及之後對樣本拍攝照片,且隨後進行比較,在缺陷之大小增加肉眼可見之尺寸的情況下,判定已發生失效);或(c)薄片相對於載具之移動(如藉由利用肉眼之目視檢查來判定--在測試之前及之後對樣本拍攝照片,其中在以下情況下視為已發生失效:存在例如氣泡之接合缺陷之移動,或邊緣脫接合,或存在載具上之薄片之移動);或(d)水於薄片下方之滲透(如藉由利用光學顯微鏡在50x下之目視檢查來判定,其中在液體或殘餘物為可觀察的情況下,判定已發生失效)。在以下表中,「SRD」欄中之記法「P」指示:根據先前準則,樣本未失效。 (2) Wet process test. Use Semitool SRD-470S (available Wet process compatibility testing was performed from Applied Materials, Santa Clara, CA). The test consisted of 60 seconds of 500 rpm cleaning, Q-cleaning to 15 MOhm-cm at 500 rpm, 10 seconds of rinsing at 500 rpm, drying at 1800 rpm for 90 seconds under warm nitrogen and 180 seconds at 2400 rpm. The failure indicated by the notation "F" in the "SRD" column of the following table is deemed to have occurred in the following cases: (a) the loss of adhesion between the carrier and the sheet (according to visual inspection using the naked eye, In the case where the sheet has been dropped from the carrier or partially disengaged from the carrier, it is considered to have failed; (b) foaming between the carrier and the sheet (eg, by visual inspection using the naked eye) - taking photographs of the sample before and after processing, and then comparing, determining that a failure has occurred if the size of the defect increases in size visible to the naked eye; or (c) moving the sheet relative to the carrier (eg, borrowing Determined by visual inspection with the naked eye - photographs were taken of the samples before and after the test, where failure was considered to have occurred in the following cases: there was movement of joint defects such as bubbles, or edge disengagement, or presence on the carrier Or (d) the penetration of water under the sheet (as judged by visual inspection at 50x using an optical microscope, where the failure has been determined if the liquid or residue is observable) . In the table below, the notation "P" in the "SRD" column indicates that the sample has not expired according to previous criteria.

(3)達400℃之溫度測試。使用Alwin21 Accuthermo610 RTP(可購自Alwin21,Santa Clara CA)執行400℃製程相容性測試。將具有與其接合的薄片之載具在腔室中自室溫以6.2℃/min循環加熱至400℃,於400℃下保持600秒,且以1℃/min冷卻至300℃。隨後使載具及薄片冷卻至室溫。藉由於下表之「400℃」欄中之記法「F」所指示的失效 在以下情況下視為已發生:(a)載具與薄片之間的黏著之損失(根據利用肉眼之目視檢查,其中在薄片已自載具掉落或部分地與該載具脫接合的情況下,視為已發生失效);(b)載具與薄片之間起泡(如藉由利用肉眼之目視檢查來判定--在處理之前及之後對樣本拍攝照片,且隨後進行比較,在缺陷之大小增加肉眼可見之尺寸的情況下,判定已發生失效);或(c)載具與薄片之間的增大黏著,藉以此種增大黏著阻止薄片與載具之脫接合(藉由刀片於薄片與載具之間的插入,及/或藉由將一塊1”寬x 6”長(其中2-3”附接至100mm平方薄玻璃的KaptonTM膠帶(來自Saint Gobain Performance Plastic,Hoosik NY之K102系列)黏結至薄片,且拉動膠帶)而不破壞薄片或載具,其中在試圖將薄片與載具分離時存在對該薄片或該載具之破壞的情況下,或在薄片及載具不可藉由任一脫接合方法之執行而脫接合的情況下,視為已發生失效。另外,在薄片與載具接合之後,且在熱循環之前,對代表性樣本執行脫接合試驗,以判定包括任何相關聯表面處理之特定材料允許薄片在溫度循環之前與載具之脫接合。在以下表中,「400℃」欄中之記法「P」指示:根據先前準則,樣本未失效。 (3) Temperature test up to 400 °C. The 400 °C process compatibility test was performed using an Alwin 21 Accuthermo 610 RTP (available from Alwin 21, Santa Clara CA). The carrier having the sheet joined thereto was cycled from room temperature at 6.2 ° C/min to 400 ° C in the chamber, held at 400 ° C for 600 seconds, and cooled to 300 ° C at 1 ° C/min. The carrier and sheet were then allowed to cool to room temperature. The failure indicated by the notation "F" in the "400 ° C" column of the following table is deemed to have occurred in the following cases: (a) the loss of adhesion between the carrier and the sheet (according to visual inspection by the naked eye, Where in the case where the sheet has been dropped from the carrier or partially disengaged from the carrier, it is considered to have failed; (b) foaming between the carrier and the sheet (eg by visual inspection by the naked eye) Judgment--taking a photograph of the sample before and after processing, and then comparing, determining that a failure has occurred in the case where the size of the defect increases in size visible to the naked eye; or (c) an increase between the carrier and the sheet Adhesive, whereby the increased adhesion prevents disengagement of the sheet from the carrier (by insertion of the blade between the sheet and the carrier, and/or by lengthing a piece 1"6"(2-3" 100mm square attached to the thin glass when the Kapton TM tape (from Saint Gobain Performance Plastic, Hoosik NY series of K102) bonding to the sheet, and pulling on the tape) without breaking the sheet or carrier, wherein the carrier sheet and attempt to separate In the case where the sheet or the carrier is damaged, In the event that the sheet and carrier are not disengageable by any of the disengagement methods, failure is considered to have occurred. Additionally, after the sheet is engaged with the carrier, and prior to thermal cycling, the representative sample is taken off. Bonding tests to determine that a particular material including any associated surface treatment allows the sheet to be disengaged from the carrier prior to temperature cycling. In the table below, the notation "P" in the "400 ° C" column indicates: according to previous criteria, the sample Not expired.

(4)達600℃之溫度測試。使用Alwin21 Accuthermo610 RTP執行600℃製程相容性測試。將具有薄片之載具在腔室中自室溫以9.5℃/min循環加熱至600℃,於600℃下保持600秒,且隨後以1℃/min冷卻至300℃。隨後使載具及薄片冷卻至室溫。藉由於下表之「600℃」欄中之記法「F」所指示的失效在以下情況下視為已發生:(a)載具與薄片之間 的黏著之損失(根據利用肉眼之目視檢查,其中在薄片已自載具掉落或部分地與該載具脫接合的情況下,視為已發生失效);(b)載具與薄片之間起泡(如藉由利用肉眼之目視檢查來判定--在處理之前及之後對樣本拍攝照片,且隨後進行比較,在缺陷之大小增加肉眼可見之尺寸的情況下,判定已發生失效);或(c)載具與薄片之間的增大黏著,藉以此種增大黏著阻止薄片與載具之脫接合(藉由刀片於薄片與載具之間的插入,及/或藉由將一塊如上所述的KaptonTM膠帶黏結至薄片,且拉動膠帶)而不破壞薄片或載具,其中在試圖將薄片與載具分離時存在對該薄片或該載具之破壞的情況下,或在薄片及載具不可藉由任一脫接合方法之執行而脫接合的情況下,視為已發生失效。另外,在薄片與載具接合之後,且在熱循環之前,對代表性樣本執行脫接合試驗,以判定特定材料及任何相關聯表面處理允許薄片在溫度循環之前與載具之脫接合。在以下表中,「600℃」欄中之記法「P」指示:根據先前準則,樣本未失效。 (4) Temperature test up to 600 °C. Perform 600 °C process compatibility testing with Alwin21 Accuthermo610 RTP. The carrier with the sheet was heated in a chamber from room temperature at 9.5 ° C/min to 600 ° C, held at 600 ° C for 600 seconds, and then cooled to 300 ° C at 1 ° C/min. The carrier and sheet were then allowed to cool to room temperature. The failure indicated by the notation "F" in the "600 ° C" column of the following table is deemed to have occurred in the following cases: (a) the loss of adhesion between the carrier and the sheet (according to visual inspection by the naked eye, Where in the case where the sheet has been dropped from the carrier or partially disengaged from the carrier, it is considered to have failed; (b) foaming between the carrier and the sheet (eg by visual inspection by the naked eye) Judgment--taking a photograph of the sample before and after processing, and then comparing, determining that a failure has occurred in the case where the size of the defect increases in size visible to the naked eye; or (c) an increase between the carrier and the sheet adhesive, thereby increasing the adhesion of this carrier sheet and prevents the disengage (by sheet inserted between the blade and the carrier and / or Kapton TM tape by the adhesive to a sheet as described above, and pulls Tape) without damaging the sheet or carrier, where there is damage to the sheet or the carrier when attempting to separate the sheet from the carrier, or the sheet and carrier are not executable by any disengagement method In the case of disengagement, it is considered to have failed . Additionally, after the sheet is bonded to the carrier, and prior to thermal cycling, a de-bonding test is performed on the representative sample to determine that the particular material and any associated surface treatments allow the sheet to disengage from the carrier prior to temperature cycling. In the table below, the notation "P" in the "600 °C" column indicates that the sample has not expired according to the previous guidelines.

(5)超音波測試。藉由在四槽管線中清潔物件來執行超音波相容性測試,其中順序地自槽#1至槽#4將物件在每一槽中處理。對四個槽之每一者而言,槽尺寸為18.4”L x 10”W x 15”D。兩個清潔槽(#1及#2)含有在50℃下、於DI水中之1%Semiclean KG,其可購自Yokohama Oils and Fats Industry Co Ltd.,Yokohama Japan。利用NEY prosonik 2 104kHz超音波產生器(可購自Blackstone-NEY Ultrasonics,Jamestown,NY)攪動清潔槽#1,且利用NEY prosonik 2 104kHz超音波產生器 攪動清潔槽#2。兩個清洗槽(槽#3及槽#4)含有在50℃下之DI水。藉由NEY sweepsonik 2D 72kHz超音波產生器攪動清洗槽#3,且藉由NEY sweepsonik 2D 104kHz超音波產生器攪動清洗槽#4。在槽#1-4之每一者中進行製程10min,接著在自槽#4移除樣本之後旋轉清洗乾燥(SRD)。藉由於下表之「超音波」欄中之記法「F」所指示的失效在以下情況下視為已發生:(a)載具與薄片之間的黏著之損失(根據利用肉眼之目視檢查,其中在薄片已自載具掉落或部分地與該載具脫接合的情況下,視為已發生失效);(b)載具與薄片之間起泡(如藉由利用肉眼之目視檢查來判定--在處理之前及之後對樣本拍攝照片,且隨後進行比較,在缺陷之大小增加肉眼可見之尺寸的情況下,判定已發生失效);或(c)其他大體(gross)缺陷之形成(如藉由利用光學顯微鏡以50x之目視檢查來判定,其中在存在之前未觀察到的捕獲於薄玻璃與載具之間的粒子的情況下,判定已發生失效;或(d)水於薄片下方之滲透(如藉由利用光學顯微鏡在50x下之目視檢查來判定,其中在液體或殘餘物為可觀察的情況下,判定已發生失效。在以下表中,「超音波」欄中之記法「P」指示:根據先前準則,樣本未失效。另外,在以下表中,「超音波」欄中之空白指示樣本未以此方式測試。 (5) Ultrasonic testing. The ultrasonic compatibility test is performed by cleaning the articles in a four-slot pipeline in which the articles are processed sequentially in each of the slots from slot #1 to slot #4. For each of the four slots, the slot size is 18.4"L x 10"W x 15"D. The two cleaning slots (#1 and #2) contain 1% Semiclean in DI water at 50 °C KG, which is commercially available from Yokohama Oils and Fats Industry Co Ltd., Yokohama Japan. The cleaning tank #1 is agitated using a NEY prosonik 2 104 kHz ultrasonic generator (available from Blackstone-NEY Ultrasonics, Jamestown, NY), and utilizes NEY prosonik 2 104kHz ultrasonic generator Stir the cleaning tank #2. The two cleaning tanks (tank #3 and tank #4) contain DI water at 50 °C. The cleaning tank #3 was agitated by a NEY sweepsonik 2D 72 kHz ultrasonic generator, and the cleaning tank #4 was agitated by a NEY sweepsonik 2D 104 kHz ultrasonic generator. The process was carried out for 10 min in each of the tanks #1-4, followed by spin-drying (SRD) after removing the sample from the tank #4. The failure indicated by the notation "F" in the "Ultrasonic" column of the following table is deemed to have occurred in the following cases: (a) the loss of adhesion between the carrier and the sheet (according to visual inspection by the naked eye, Where in the case where the sheet has been dropped from the carrier or partially disengaged from the carrier, it is considered to have failed; (b) foaming between the carrier and the sheet (eg by visual inspection by the naked eye) Judgment--photographing the sample before and after processing, and then comparing, determining that a failure has occurred in the case where the size of the defect increases in size visible to the naked eye; or (c) formation of other gross defects ( As judged by visual inspection with a light microscope at 50x, in the case where particles captured between the thin glass and the carrier are not observed before the presence, it is judged that failure has occurred; or (d) water is under the sheet Penetration (as determined by visual inspection at 50x using an optical microscope, where the liquid or residue is observable, the failure has been determined. In the table below, the notation in the "Ultra-Optical" column" P" indication: according to Former criterion, the sample did not fail. In the following tables, blank samples indicative of "ultrasound" column of this test is not the way.

接合能量試驗Joint energy test

接合能量為用以將薄片與載具分離所耗之能量。接合能量可以各種不同的方式量測。然而,如本文所使用,接合能量係如下量測。 The joining energy is the energy used to separate the sheet from the carrier. The bonding energy can be measured in a variety of different ways. However, as used herein, the bonding energy is measured as follows.

使用雙懸臂梁方法(亦稱為楔形物方法)量測接合能量。在此方法中,將具有已知厚度之楔形物置放於接合薄片與載具玻璃之間的邊緣處。楔形物產生特性脫層距離L。此脫層距離經量測且使用來以方程式6計算接合能量γ BE The joint energy is measured using a double cantilever beam method (also known as a wedge method). In this method, a wedge having a known thickness is placed at the edge between the bonding sheet and the carrier glass. The wedge produces a characteristic delamination distance L. This delamination distance is measured and used to calculate the joint energy γ BE in Equation 6.

EXG組成物對載具(1)及薄片(2)兩者之楊氏模數E為73.6GPa。載具之典型厚度t s1 為0.7mm,且薄片之厚度t s2 為0.13mm。Martor 37010.20刀片用於由95μm之厚度t w 組成之楔形物。具有極高接合能量之樣本,其中利用獨立楔形物預開裂。此舉允許楔形物之較易插入及特性脫層長度之產生。對所報導的接合能量資料而言,2500之值指示試驗-極限條件,且對彼特定樣本而言,薄片不可與載具脫接合。 The Young's modulus E of the EXG composition to both the carrier (1) and the sheet (2) was 73.6 GPa. The typical thickness t s1 of the carrier is 0.7 mm, and the thickness t s2 of the sheet is 0.13 mm. The Martor 37010.20 insert is used for a wedge consisting of a thickness t w of 95 μm. A sample with very high bonding energy where pre-cracking with independent wedges. This allows for easier insertion of the wedge and generation of characteristic delamination lengths. For the reported joint energy data, a value of 2500 indicates the test-limit condition, and for a particular sample, the sheet cannot be disengaged from the carrier.

藉由加熱、經由羥基減少的接合表面之製備Preparation of a bonding surface by heating, reduced via hydroxyl groups

利用表面改質層30改質接合表面14、24之一或多者,因而物件2能夠成功地經歷FPD處理(亦即,其中薄片20在處理期間保持接合至載具10,但在包括高溫處理之處理之後仍可與載具10分離)之益處係藉由處理具有玻璃載具10及薄玻璃片20而其之間不具有表面改質層30之物件2來證明。確切言之,首先藉由加熱以減少羥基、但在不具有表面改質層30的情況下嘗試接合表面14、24之製備。將載具10及薄片20清潔,使接合表面14及24彼此接合,且隨後測試物件2。用於製備供接合之玻璃的典型清潔製程為SC1清潔製程,其中在稀過氧化氫及鹼(通常為氫氧化銨,但亦可使用 氫氧化四甲銨溶液,例如JT Baker JTB-100或JTB-111)中清潔玻璃。清潔將粒子自接合表面移除,且獲得已知的表面能量,亦即,其提供表面能量之基線。清潔之方式無需為SC1,可使用其他類型之清潔,如清潔之類型可能僅對表面上之矽醇基具有極微小的效應。各種試驗之結果列明於以下表1中。 One or more of the bonded surfaces 14, 24 are modified with the surface modifying layer 30 so that the article 2 can successfully undergo FPD processing (i.e., wherein the sheet 20 remains bonded to the carrier 10 during processing, but includes high temperature processing The benefit of being able to be separated from the carrier 10 after processing is demonstrated by treating the article 2 having the glass carrier 10 and the thin glass sheet 20 without the surface modifying layer 30 therebetween. Specifically, the preparation of the bonding surfaces 14, 24 is first attempted by heating to reduce the hydroxyl groups but without the surface modifying layer 30. The carrier 10 and the sheet 20 are cleaned so that the joint surfaces 14 and 24 are joined to each other, and then the article 2 is tested. A typical cleaning process for preparing a glass for bonding is an SC1 cleaning process in which dilute hydrogen peroxide and a base (usually ammonium hydroxide, but can also be used) The glass is cleaned in a tetramethylammonium hydroxide solution such as JT Baker JTB-100 or JTB-111. Cleaning removes the particles from the bonded surface and obtains a known surface energy, i.e., it provides a baseline of surface energy. The cleaning method does not need to be SC1, and other types of cleaning can be used. For example, the type of cleaning may have only a minimal effect on the surface of the sterol group. The results of the various tests are listed in Table 1 below.

強的但可分離的初始室溫接合或凡得瓦力接合及/或氫鍵係藉由以下方式產生:簡單地清潔100mm平方x 100微米厚之薄玻璃片,及玻璃載具,即150mm直徑、0.50或0.63mm厚之單一均勻平坦(single mean flat;SMF)晶圓,該薄玻璃片及該玻璃載具各自包含Eagle XG®顯示玻璃(不含鹼金屬、鋁硼矽酸鹽玻璃,具有大約0.2nm之平均表面粗糙度Ra,其可購自Corning Incorporated,Corning,NY)。在此實例中,在40:1:2 DI水:JTB-111:過氧化氫之65℃浴中清潔玻璃10min。薄玻璃或玻璃載具可已經或可尚未在400℃下、在氮中退火10min以移除殘餘水--於以下表1中之「載具」欄或「薄玻璃」欄中之記法「400℃」指示在400℃下將樣本在氮中退火10分鐘。FPD製程相容性測試證明:此SC1-SC1初始、室溫接合在機械上足夠強,從而順利完成真空測試、SRD測試及超音波測試。然而,在400℃及400℃以上加熱產生薄玻璃與載具之間的永久接合,亦即,薄玻璃片不可在不破壞薄玻璃片及載具中之任一者或兩者的情況下自載具移除。而且,甚至對實例1c而言,亦為此狀況,其中載具及薄玻璃之每一者經受退火步驟以減少表面羥基之濃度。因此,接合表面14、24經由單獨加熱之上述製備及隨後在不具有表 面改質層30的情況下載具10及薄片12之接合不為用於FPD製程(其中溫度將為400℃)之適合受控接合。 Strong but detachable initial room temperature bonding or van der Waals bonding and/or hydrogen bonding is produced by simply cleaning a thin glass piece of 100 mm square x 100 μm thick, and a glass carrier, ie 150 mm diameter a single mean flat (SMF) wafer of 0.50 or 0.63 mm thickness, the thin glass piece and the glass carrier each comprising Eagle XG® display glass (without alkali metal, aluminum borosilicate glass, An average surface roughness Ra of about 0.2 nm, which is commercially available from Corning Incorporated, Corning, NY). In this example, the glass was cleaned for 10 min in a bath of 40:1:2 DI water: JTB-111: hydrogen peroxide at 65 °C. A thin glass or glass carrier may or may not have been annealed in nitrogen for 10 min at 400 ° C to remove residual water - in the "Carriage" column or "Thin Glass" column in Table 1 below, "400"°C" indicates that the sample was annealed in nitrogen for 10 minutes at 400 °C. The FPD process compatibility test proves that the initial and room temperature bonding of this SC1-SC1 is mechanically strong enough to successfully complete the vacuum test, SRD test and ultrasonic test. However, heating at 400 ° C and above produces a permanent bond between the thin glass and the carrier, ie, the thin glass sheet cannot be self-destructed without destroying either or both of the thin glass sheet and the carrier. The vehicle is removed. Moreover, even for Example 1c, this is the case where each of the carrier and the thin glass is subjected to an annealing step to reduce the concentration of surface hydroxyl groups. Therefore, the bonding surfaces 14 and 24 are prepared by the above-described heating separately and then the bonding of the device 10 and the sheet 12 without the surface modifying layer 30 is not used for the FPD process (wherein the temperature will be Suitable for controlled joints at 400 ° C).

藉由羥基減少及表面改質層的接合表面之製備Preparation of a bonding surface by a hydroxyl group reduction and surface modification layer

如藉由例如熱處理達成之羥基減少及表面改質層30可一起使用以控制接合表面14、24之相互作用。例如,接合表面14、24之接合能量(在室溫下由於極性/分散能量分量之凡得瓦力接合及/或氫鍵結,以及在高溫下由於共價能量分量之共價鍵結)可受控以便在以下情況之間提供變化接合強度:自其中室溫接合為困難的情況,至允許接合表面之容易室溫接合及接合表面在高溫處理之後分離的情況下,至--在高溫處理之後--在無破壞的情況下阻止表面分離的情況。在一些應用中,合乎需要的可為不具有接合或具有極弱接合(如在表面處於「非接合」區域中時如此,「非接合」區域係描述於US‘727之薄片/載具概念中且如以下所述)。在其他應用中,例如提供用於FPD製程及類似製程(其中可達成500℃,或600℃且至多650℃之製程溫度)之可重複使用載具,合乎需要的是在室溫下具有足夠的凡得瓦力接合及/或氫鍵結,以初始地將薄片及載具置放於一起,且仍阻止或限制高溫共價鍵結。對其他應用而言,合乎需要的可為具有足夠的室溫接合以初始地將薄片及載具置放於一起,以及在高溫下產生強共 價鍵結(如當表面處於「接合區域」中如此,「接合區域」描述於US‘727之薄片/載具概念中且如以下所論述)。儘管不希望受理論約束,但在一些情況下,表面改質層可用於控制薄片及載具初始地藉由其置放於一起的室溫接合,而表面上羥基之減少(如藉由加熱表面,或例如藉由使羥基與表面改質層之反應而達成)可用於控制共價鍵結,尤其高溫下之共價鍵結。 The hydroxyl reduction as achieved by, for example, heat treatment and the surface modifying layer 30 can be used together to control the interaction of the bonding surfaces 14, 24. For example, the bonding energy of the bonding surfaces 14, 24 (for van derma bonding and/or hydrogen bonding at room temperature due to polarity/dispersion energy components, and covalent bonding due to covalent energy components at high temperatures) Controlled to provide varying bond strength between: where room temperature bonding is difficult, to allow easy room temperature bonding of the joint surface and where the joint surface separates after high temperature processing, to - at high temperatures After that - to prevent surface separation without damage. In some applications, it may be desirable to have no joints or have very weak joints (as when the surface is in a "non-joined" region), and the "non-joined" regions are described in the sheet/carrier concept of US '727. And as described below). In other applications, for example, for FPD processes and similar processes (where achievable) 500 ° C, or Reusable carrier at a process temperature of 600 ° C and up to 650 ° C. It is desirable to have sufficient van derma bonding and/or hydrogen bonding at room temperature to initially place the sheet and carrier Together, and still prevent or limit high temperature covalent bonding. For other applications, it may be desirable to have sufficient room temperature bonding to initially place the sheets and carriers together, and to create strong covalent bonds at elevated temperatures (eg, when the surface is in the "joining region") Thus, the "joining area" is described in the sheet/carrier concept of US '727 and is discussed below. Although not wishing to be bound by theory, in some cases, the surface modifying layer can be used to control the sheet and the initial placement of the carrier by which it is placed, while reducing the hydroxyl groups on the surface (eg, by heating the surface) Or, for example, by reacting a hydroxyl group with a surface modifying layer, can be used to control covalent bonding, especially covalent bonding at elevated temperatures.

用於表面改質層30之材料可提供具有能量(例如,如對一個表面所量測,<40mJ/m2之能量,且包括極性及分散分量)之接合表面14、24,藉以表面僅產生弱接合。在一個實例中,六甲基二矽氮烷(hexamethyldisilazane;HMDS)可用於通過與表面羥基反應以留下三甲基矽烷基(trimethylsilyl;TMS)封端表面而產生此低能量表面。作為表面改質層之HMDS可連同表面加熱一起使用以減少羥基濃度,從而控制室溫接合及高溫接合。藉由選擇用於每一接合表面14、24之適合接合表面製備,可達成具有一定能力範圍之物件。更確切言之,對提供用於LTPS處理之可重複使用載具關注的情況下,可在薄玻璃片20與玻璃載具10之間達成適合接合,以便在真空處理試驗、SRD處理試驗、400℃(部分a及c)處理試驗及600℃(部分a及c)處理試驗之每一者中保全(或順利完成每一者)。 The material for the surface modifying layer 30 can provide bonding surfaces 14, 24 having energy (eg, as measured for one surface, <40 mJ/m 2 , and including polar and dispersed components), whereby the surface is only produced Weakly engaged. In one example, hexamethyldisilazane (HMDS) can be used to produce this low energy surface by reacting with surface hydroxyl groups to leave a trimethylsilyl (TMS) capped surface. The HMDS as a surface modifying layer can be used in conjunction with surface heating to reduce the hydroxyl concentration to control room temperature bonding and high temperature bonding. By selecting a suitable joint surface for each of the joint surfaces 14, 24, an article having a range of capabilities can be achieved. More specifically, in the case of providing a reusable carrier for LTPS processing, a suitable bond can be achieved between the thin glass sheet 20 and the glass carrier 10 for vacuum processing testing, SRD processing testing, 400 The °C (parts a and c) treatment test and each of the 600 °C (parts a and c) treatment tests were preserved (or successfully completed each).

在一個實例中,SC1清潔後接對薄玻璃及載具兩者之HMDS處理產生弱接合表面,從而對在室溫下利用凡得瓦力(及/或氫鍵結)力之接合提出挑戰。施加機械力來將薄玻璃接合至載具。如表2之實例2a所示,此接合十分弱,使得在 真空試驗及SRD處理中觀察到載具之偏轉,在400℃及600℃熱製程中觀察到起泡(可能由於除氣),且在超音波處理之後觀察到微粒缺陷。 In one example, the HMDS treatment of both the thin glass and the carrier after SC1 cleaning creates a weak joint surface, challenging the bonding with van der Waals (and/or hydrogen bonding) forces at room temperature. A mechanical force is applied to join the thin glass to the carrier. As shown in Example 2a of Table 2, this joint is very weak, so that The deflection of the carrier was observed in the vacuum test and the SRD treatment, and foaming was observed in the thermal processes at 400 ° C and 600 ° C (possibly due to degassing), and particle defects were observed after the ultrasonic treatment.

在另一實例中,僅一個表面(所引用實例中之載具)之HMDS處理產生較強室溫黏著,其在真空處理及SRD處理中保全。然而,在400℃及400℃以上之熱製程將薄玻璃永久地接合至載具。此並不意外,因為相對完全羥基化的二氧化矽之4.6-4.9/nm2之羥基濃度而言,已由Sindorf及Maciel於J.Phys.Chem.1982,86,5208-5219中計算出二氧化矽上三甲基矽烷基之最大表面覆蓋率為2.8/nm2,且由Suratwala等人於Journal of Non-Crystalline Solids 316(2003)349-363中量測為2.7/nm2。亦即,儘管三甲基矽烷基與一些表面羥基鍵結,但將仍保留一些未鍵結羥基。因此,在給定充分時間及溫度的情況下,將預期表面矽醇基之縮合以將薄玻璃及載具永久地接合。 In another example, HMDS processing of only one surface (the carrier in the cited example) produced a stronger room temperature adhesion that was preserved in vacuum processing and SRD processing. However, a hot process at 400 ° C and above 400 ° C permanently bonds the thin glass to the carrier. This is not surprising since the hydroxyl concentration of 4.6-4.9/nm 2 relative to fully hydroxylated cerium oxide has been calculated by Sindorf and Maciel in J. Phys. Chem. 1982, 86, 5208-5219. The maximum surface coverage of the trimethylsulfonyl group on cerium oxide was 2.8/nm 2 and was measured by Suratwala et al. in Journal of Non-Crystalline Solids 316 (2003) 349-363 to be 2.7/nm 2 . That is, although the trimethyldecyl group is bonded to some surface hydroxyl groups, some unbonded hydroxyl groups will remain. Thus, given sufficient time and temperature, condensation of the surface sterol groups will be expected to permanently bond the thin glass and carrier.

改變的表面能量可藉由加熱玻璃表面以在HMDS暴露之前減少表面羥基濃度來產生,從而產生表面能量之增加的極性分量。此舉減小用於在高溫下形成共價Si-O-Si鍵之驅動力,且產生較強室溫接合,例如,凡得瓦力接合(及/或氫鍵結)。第4圖展示在退火之後及在HMDS處理之後Eagle XG®顯示玻璃載具之表面能量。在HMDS暴露之前增加的退火溫度藉由增加極性分佈(線404)增加在HMDS暴露之後的總(極性及分散)表面能量(線402)。亦可見:對總表面能量之分散貢獻(線406)藉由熱處理而保持大部分無變化。儘管不希望受理 論約束,但增加HMDS處理之後表面中之能量之極性分量且進而增加總能量似乎係歸因於:甚至在HMDS處理之後,由於藉由HMDS之亞單層TMS覆蓋而存在一些暴露玻璃表面區域。 The altered surface energy can be generated by heating the glass surface to reduce the surface hydroxyl concentration prior to HMDS exposure, resulting in an increased polar component of surface energy. This reduces the driving force for forming covalent Si-O-Si bonds at high temperatures and produces stronger room temperature bonds, such as van der Waals bonding (and/or hydrogen bonding). Figure 4 shows the surface energy of the Eagle XG® display glass carrier after annealing and after HMDS treatment. The increased annealing temperature prior to HMDS exposure increases the total (polar and dispersed) surface energy after HMDS exposure by increasing the polarity distribution (line 404) (line 402). It can also be seen that the dispersion contribution to the total surface energy (line 406) remains largely unchanged by heat treatment. Although not wishing to accept On the constraint, but increasing the polar component of the energy in the surface after HMDS treatment and thus increasing the total energy appears to be due to the fact that some exposed glass surface regions exist due to sub-monolayer TMS coverage by HMDS even after HMDS treatment.

在實例2b中,在與具有HMDS之塗層之非熱處理載具接合之前,在150℃之溫度下將薄玻璃片於真空中加熱一個小時。薄玻璃片之此熱處理不足以阻止在400℃之溫度下薄玻璃片與載具之永久接合。 In Example 2b, the thin glass sheets were heated in a vacuum at a temperature of 150 ° C for one hour prior to bonding with the non-heat treated carrier having the coating of HMDS. This heat treatment of thin glass sheets is not enough to stop The glass sheet is permanently bonded to the carrier at a temperature of 400 °C.

如表2之實例2c-2e所示,在HMDS暴露之前改變玻璃表面之退火溫度可改變玻璃表面之接合能量,以便控制玻璃載具與薄玻璃片之間的接合。 As shown in Examples 2c-2e of Table 2, changing the annealing temperature of the glass surface prior to HMDS exposure can alter the bonding energy of the glass surface to control the bond between the glass carrier and the thin glass sheet.

在實例2c中,在190℃之溫度下將載具於真空中退火1小時,接著HMDS暴露以提供表面改質層30。另外,在450℃下將薄玻璃片於真空中退火1小時,之後與載具接合。所得物件在真空試驗、SRD試驗及400℃(部分a及c,但未順利完成部分b,因為存在增加的起泡)中保全,但在600℃試驗中失效。因此,儘管如相較於實例2b存在對高溫接合之增加抵抗力,但此不足以產生用於在600℃之溫度下處理(例如,LTPS處理)之物件,其中載具為可重複使用的。 In Example 2c, the carrier was annealed in a vacuum at 190 ° C for 1 hour, followed by HMDS exposure to provide a surface modifying layer 30. Further, the thin glass piece was annealed in a vacuum at 450 ° C for 1 hour, and then joined to the carrier. The obtained articles were preserved in a vacuum test, an SRD test, and at 400 ° C (parts a and c, but did not successfully complete part b because of increased foaming), but failed in the 600 ° C test. Thus, although there is increased resistance to high temperature bonding as compared to Example 2b, this is not sufficient to produce An article (e.g., LTPS treated) is processed at a temperature of 600 ° C, wherein the carrier is reusable.

在實例2d中,在340℃溫度下將載具於真空中退火1小時,接著HMDS暴露以提供表面改質層30。再次,在450℃下將薄玻璃片於真空中退火1小時,之後與載具接合。結果類似於實例2c之彼等結果,其中物件在真空試驗、SRD試驗及400℃(部分a及c,但未順利完成部分b,因為存在增加 的起泡)中保全,但在600℃試驗中失效。 In Example 2d, the carrier was annealed in vacuum for 1 hour at 340 °C, followed by HMDS exposure to provide a surface modifying layer 30. Again, the thin glass sheets were annealed in vacuum for 1 hour at 450 ° C before bonding to the carrier. The results were similar to those of Example 2c, in which the articles were in vacuum test, SRD test and 400 ° C (parts a and c, but did not successfully complete part b, because there was an increase The blistering is guaranteed, but it fails in the 600 °C test.

如實例2e所示,在450℃下將薄玻璃及載具兩者於真空中退火1hr,接著載具之HMDS暴露且隨後載具及薄玻璃片之接合,此改良對永久接合之溫度抵抗力。將兩個表面退火至450℃阻止在600℃下RTP退火10min之後的永久接合,亦即,此樣本順利完成600℃處理試驗(部分a及c,但未順利通過部分b,因為存在增加的起泡;對400℃試驗發現類似結果)。 As shown in Example 2e, both the thin glass and the carrier were annealed in vacuum for 1 hr at 450 ° C, followed by HMDS exposure of the carrier and subsequent bonding of the carrier and the thin glass sheet, which improved the temperature resistance to permanent bonding. . Annealing both surfaces to 450 ° C prevented permanent bonding after RTP annealing at 600 ° C for 10 min, ie, the sample successfully completed the 600 ° C treatment test (parts a and c, but failed to pass part b because there was an increase Bubble; similar results were found for the 400 ° C test).

在以上實例2a至2e中,載具及薄片之每一者為Eagle XG®玻璃,其中載具為630微米厚的150mm直徑SMF晶圓,且薄片為100mm平方100微米厚。HMDS係藉由在YES-5 HMDS烘箱(可購自Yield Engineering Systems,San Jose CA)之脈衝氣相沉積來施加,且其為一個原子層厚(亦即,約0.2至1nm),儘管表面覆蓋可小於一個單層,亦即,表面羥基中之一些未藉由HMDS覆蓋,如由Maciel所指出且如以上所論述。由於表面改質層之小厚度,幾乎不存在除氣之風險,該除氣可引起裝置製作之污染。此外,如表2中藉由「SC1」記法所指示,載具及薄片之每一者係於熱處理或任何後續HMDS處理之前使用SC1製程來清潔。 In Examples 2a through 2e above, each of the carrier and the sheet was Eagle XG® glass, wherein the carrier was a 630 micron thick 150 mm diameter SMF wafer and the sheet was 100 mm squared and 100 microns thick. HMDS was applied by pulsed vapor deposition in a YES-5 HMDS oven (available from Yield Engineering Systems, San Jose CA) and was an atomic layer thickness (i.e., about 0.2 to 1 nm) despite surface coverage. It may be smaller than a single layer, i.e., some of the surface hydroxyl groups are not covered by HMDS, as indicated by Maciel and as discussed above. Due to the small thickness of the surface modifying layer, there is almost no risk of degassing, which can cause contamination of the device. In addition, as indicated by the "SC1" notation in Table 2, each of the carrier and the sheet is cleaned using the SC1 process prior to heat treatment or any subsequent HMDS process.

實例2a與實例2b之比較展示:薄片與載具之間的 接合能量可藉由改變包括表面改質層之表面之數量來控制。而且,控制接合能量可用於控制兩個接合表面之間的接合力。此外,實例2b-2e之比較展示:表面之接合能量可藉由改變熱處理之參數來控制,接合表面係在施加表面改質材料之前經受該熱處理。再次,熱處理可用於減少表面羥基之數量,且因此控制共價鍵結之程度,尤其高溫下共價鍵結之程度。 A comparison between Example 2a and Example 2b shows: between the sheet and the carrier The bonding energy can be controlled by varying the number of surfaces including the surface modifying layer. Moreover, controlling the engagement energy can be used to control the engagement force between the two engagement surfaces. Furthermore, a comparison of Examples 2b-2e shows that the bonding energy of the surface can be controlled by varying the parameters of the heat treatment that is subjected to the heat treatment prior to application of the surface modifying material. Again, heat treatment can be used to reduce the amount of surface hydroxyl groups and thus control the extent of covalent bonding, especially the degree of covalent bonding at elevated temperatures.

可以不同方式作用來控制接合表面上之表面能量之其他材料可用於表面改質層30,以便控制兩個表面之間的室溫接合力及高溫接合力。例如,若一或兩個接合表面利用表面改質層改質來產生適度接合力,則亦可產生可重複使用載具,該表面改質層覆蓋或空間上阻礙例如羥基之物質,以阻止在高溫下於載具與薄片之間形成強的永久共價鍵。產生可調表面能量且覆蓋表面羥基以阻止共價鍵之形成的一種方式為例如氟聚合物膜之電漿聚合物膜之沉積。電漿聚合於大氣壓或減壓及電漿激發(DC或RF平行板、感應耦合電漿(Inductively Coupled Plasma;ICP)電子迴旋共振(Electron Cyclotron Resonance;ECR)下游微波或RF電漿)下自源氣體沉積薄聚合物膜,該等源氣體例如氟碳化合物源(包括CF4、CHF3、C2F6、C3F6、C2F2、CH3F、C4F8、氟氯化碳或氫氟氯化碳),例如烷烴之烴(包括甲烷、乙烷、丙烷、丁烷),烯烴(包括乙烯、丙烯),炔烴(包括乙炔),及芳族化合物(包括苯、甲苯),氫,及其他氣源,例如SF6。電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制膜厚度、密度及化學性質,以特製用於所要應用之官能基。 Other materials that can act in different ways to control the surface energy on the bonding surface can be used in the surface modifying layer 30 to control the room temperature bonding force and the high temperature bonding force between the two surfaces. For example, if one or both of the bonding surfaces are modified with a surface modifying layer to produce a moderate bonding force, a reusable carrier can also be created that covers or spatially blocks substances such as hydroxyl groups to prevent A strong permanent covalent bond is formed between the carrier and the sheet at elevated temperatures. One way to produce a tunable surface energy and cover the surface hydroxyl groups to prevent the formation of covalent bonds is the deposition of a plasma polymer film such as a fluoropolymer film. Plasma polymerization from atmospheric or decompression and plasma excitation (DC or RF parallel plates, Inductively Coupled Plasma (ICP) Electron Cyclotron Resonance (ECR) downstream microwave or RF plasma) Gas deposition of thin polymer membranes such as fluorocarbon sources (including CF4, CHF3, C2F6, C3F6, C2F2, CH3F, C4F8, CFC or hydrochlorofluorocarbon), such as hydrocarbons of alkanes (including Methane, ethane, propane, butane), olefins (including ethylene, propylene), alkynes (including acetylene), and aromatic compounds (including benzene, toluene), hydrogen, and other sources of gas, such as SF6. Plasma polymerization produces a layer of highly crosslinked material. Control of the reaction conditions and source gases can be used to control film thickness, density, and chemistry to tailor the functional groups to be used.

第5圖展示利用Oxford ICP380蝕刻工具(可購自Oxford Instruments,Oxfordshire UK)自CF4-C4F8混合物沉積的電漿聚合氟聚合物(plasma polymerized fluoropolymer;PPFP)膜之總表面能量(線502)(包括極性分量(線504)及分散分量(線506))。膜係沉積於Eagle XG®玻璃片上,且光譜式橢圓偏光術展示膜為1-10nm厚。如自第5圖所見,用含有小於40% C4F8之電漿聚合氟聚合物膜處理的玻璃載具展現>40mJ/m2之表面能量,且在室溫下藉由凡得瓦力接合或氫鍵結於薄玻璃與載具之間產生受控接合。當在室溫下初始地接合載具及薄玻璃時,觀察到受促進的接合。亦即,當將薄片置放於載具上,且在一點處將其壓在一起時,波前跨於載具行進,但處於比對其上不具有表面改質層之SC1處理表面所觀察到的速度更低的速度下。受控接合足以耐受所有標準FPD製程,包括真空製程、濕式製程、超音波製程及至多600℃之熱製程,亦即此受控接合順利完成600℃處理試驗,而無薄玻璃自載具之移動或脫層。脫接合伴隨有如上所述利用刀片及/或KaptonTM膠帶之剝離。兩個不同PPFP膜(如上所述沉積)之製程相容性展示於表3中。實例3a之PPFP 1係利用C4F8/(C4F8+CF4)=0形成,亦即,利用CF4/H2且不利用C4F8形成,且實例3b之PPFP 2係利用C4F8/(C4F8+CF4)=0.38來沉積。兩種類型之PPFP膜在真空處理試驗、SRD處理試驗、400℃處理試驗及600℃處理試驗中保全。然而,在PPFP2之20min超音波清潔之後,觀察到脫層,從而指示不足以耐受此種處理之黏著力。儘管如此,PPFP2之表面改質層可 適用於如其中超音波處理並非必需的一些應用。 Figure 5 shows the total surface energy (line 502) of a plasma polymerized fluoropolymer (PPFP) film deposited from a CF4-C4F8 mixture using an Oxford ICP380 etching tool (available from Oxford Instruments, Oxfordshire UK). Polar component (line 504) and dispersion component (line 506)). The film was deposited on Eagle XG® glass sheets and the spectral ellipsometry exhibited a film thickness of 1-10 nm. As seen in Figure 5, a glass carrier treated with a plasma polymerized fluoropolymer film containing less than 40% C4F8 exhibits a surface energy of >40 mJ/m 2 and is joined by van der Waals at room temperature or hydrogen. Bonding between the thin glass and the carrier creates a controlled bond. When the carrier and the thin glass were initially joined at room temperature, a promoted joint was observed. That is, when the sheet is placed on the carrier and pressed together at one point, the wavefront travels across the carrier, but at an angle compared to the SC1 treated surface on which the surface modification layer is not present. At a lower speed. The controlled joint is sufficient to withstand all standard FPD processes, including vacuum process, wet process, ultrasonic process and thermal process up to 600 °C, ie the controlled joint successfully completes the 600 °C process test without the thin glass self-supporting tool Move or delamination. Disengage accompanied described above using the stripping blades and / or the Kapton TM tape. Process compatibility for two different PPFP films (deposited as described above) is shown in Table 3. The PPFP 1 of Example 3a was formed using C4F8/(C4F8+CF4)=0, that is, using CF4/H2 and not using C4F8, and the PPFP 2 of Example 3b was deposited using C4F8/(C4F8+CF4)=0.38. . Two types of PPFP films were preserved in a vacuum treatment test, an SRD treatment test, a 400 ° C treatment test, and a 600 ° C treatment test. However, after 20 minutes of ultrasonic cleaning of PPFP2, delamination was observed indicating insufficient adhesion to withstand such treatment. Nevertheless, the surface modification layer of PPFP2 can be applied to some applications such as where ultrasonic processing is not necessary.

在以上實例3a及3b中,載具及薄片之每一者為Eagle XG®玻璃,其中載具為630微米厚的150mm直徑SMF晶圓,且薄片為100mm平方100微米厚。由於表面改質層之小厚度,幾乎不存在除氣之風險,該除氣可引起裝置製作之污染。另外,因為表面改質層不呈現降級,所以又存在甚至更小的除氣風險。此外,如表3所指示,薄片之每一者係於在150℃下於真空中歷時一個小時之熱處理之前使用SC1製程來清潔。 In Examples 3a and 3b above, each of the carrier and the sheet was Eagle XG® glass, wherein the carrier was a 630 micron thick 150 mm diameter SMF wafer and the sheet was 100 mm squared and 100 microns thick. Due to the small thickness of the surface modifying layer, there is almost no risk of degassing, which can cause contamination of the device. In addition, because the surface modification layer does not exhibit degradation, there is an even smaller risk of degassing. In addition, as indicated in Table 3, each of the sheets was cleaned using the SC1 process prior to heat treatment at 150 ° C for one hour in a vacuum.

可以不同方式作用來控制表面能量之其他材料可用作表面改質層,以便控制薄片與載具之間的室溫接合力及高溫接合力。例如,可產生受控接合之接合表面可藉由矽烷處理玻璃載具及/或玻璃薄片來產生。選擇矽烷以便產生適合表面能量,且以便具有用於應用之足夠熱穩定性。欲處理之載具或薄玻璃可藉由例如以下者之製程來清潔:O2電漿或UV-臭氧,及SC1或標準清潔二(standard clean two;SC2,如此項技術中已知的)清潔,以便移除將干擾與表面矽醇基反應之矽烷的有機物及其他雜質(例如,金屬)。亦可使用基於其他化學方法之洗滌劑,例如,HF或H2SO4洗滌化學方法。載具或薄玻璃可經加熱以在矽烷施加(如以上所論述,結合HMDS之表面改質層一起施加)之前控制表面羥基濃度,及/或可在矽 烷應用之後加熱以完成與表面羥基之矽烷縮合。可在接合之前使矽烷化之後未反應羥基之濃度足夠低,以便阻止400℃之溫度下薄玻璃與載具之間的永久接合,亦即,以便形成受控接合。以下描述此種方法。 Other materials that can act to control the surface energy in different ways can be used as the surface modifying layer to control the room temperature bonding force and the high temperature bonding force between the sheet and the carrier. For example, a bonding surface that can produce controlled bonding can be produced by treating the glass carrier and/or glass flakes with decane. The decane is selected to produce a suitable surface energy and to have sufficient thermal stability for the application. The vehicle or thin glass to be treated can be cleaned by, for example, O2 plasma or UV-ozone, and SC1 or standard clean two (SC2, known in the art) cleaning, In order to remove organics and other impurities (eg, metals) that will interfere with the decane reacted with the surface sterol groups. Detergents based on other chemical methods, such as HF or H2SO4 washing chemistry, may also be used. The carrier or thin glass may be heated to control surface hydroxyl concentration prior to decane application (as discussed above in conjunction with the surface modifying layer of HMDS), and/or may be heated after decane application to complete decane with surface hydroxyl groups condensation. The concentration of unreacted hydroxyl groups after decaneization can be sufficiently low before blocking to prevent Permanent bonding between the thin glass and the carrier at a temperature of 400 ° C, i.e., to form a controlled bond. This method is described below.

實例4aExample 4a

其接合表面經O2電漿及SC1處理之玻璃載具隨後用甲苯中之1%十二烷基三乙氧基矽烷(dodecyltriethoxysilane;DDTS)處理,且在150℃下於真空中退火1hr以完成縮合。DDTS處理表面展現45mJ/m2之表面能量。如表4所示,玻璃薄片(已經SC1清潔且在400℃下於真空中加熱一個小時)接合至載具接合表面,該載具接合表面上具有DDTS表面改質層。此物件在濕式製程試驗及真空製程試驗中保全,但在沒有由於矽烷之熱分解而於載具下方形成氣泡的情況下,未在超過400℃之熱製程中保全。對所有直鏈烷氧基及氯烷基矽烷R1xSi(OR2)y(Cl)z(其中x=1至3且y+z=4-x)均預期此熱分解,而產生良好熱穩定性之塗層的甲基、二甲基及三甲基矽烷(x=1至3,R1=CH3)除外。 The glass carrier whose surface was treated with O2 plasma and SC1 was subsequently treated with 1% dodecyltriethoxysilane (DDTS) in toluene and annealed in vacuum at 150 ° C for 1 hr to complete the condensation. . The DDTS treated surface exhibited a surface energy of 45 mJ/m 2 . As shown in Table 4, a glass flake (already cleaned by SC1 and heated in vacuum at 400 ° C for one hour) was bonded to the carrier joining surface with a DDTS surface modifying layer on the carrier engaging surface. The article was preserved in the wet process test and the vacuum process test, but was not preserved in a hot process exceeding 400 ° C in the absence of bubbles formed under the carrier due to thermal decomposition of decane. This thermal decomposition is expected for all linear alkoxy groups and chloroalkyl decanes R1 x Si(OR2) y (Cl) z (where x = 1 to 3 and y + z = 4-x), resulting in good thermal stability. Except for the methyl, dimethyl and trimethyldecane (x = 1 to 3, R1 = CH 3 ) of the coating.

實例4bExample 4b

其接合表面經O2電漿及SC1處理之玻璃載具隨後用甲苯中之1% 3,3,3,三氟丙基三甲氧基矽烷(trifluoropropyltritheoxysilane;TFTS)處理,且在150℃下於真空中退火1hr以完成縮合。TFTS處理表面展現47mJ/m2之表面能量。如表4所示,玻璃薄片(已經SC1清潔且隨後在400℃下於真空中加熱一個小時)接合至載具接合表面,該載具 接合表面上具有TFTS表面改質層。此物件在真空製程試驗、SRD製程試驗及400℃製程試驗中保全,而無玻璃薄片與玻璃載具之永久接合。然而,600℃試驗由於矽烷之熱分解而產生形成於載具下方之氣泡。由於丙基之有限熱穩定性,因而此並不意外。儘管此樣本在600℃試驗中由於起泡而失效,但是此實例之材料及熱處理可用於其中可耐受氣泡及其不利效應之一些應用,該等不利效應例如表面平坦度之減小,或增加的波紋度。 The glass carrier whose bonding surface was treated with O2 plasma and SC1 was subsequently treated with 1% 3,3,3,trifluoropropyltritheoxysilane (TFTS) in toluene and vacuumed at 150 °C. Annealed for 1 hr to complete the condensation. The TFTS treated surface exhibited a surface energy of 47 mJ/m 2 . As shown in Table 4, a glass flake (which has been cleaned by SC1 and then heated in vacuum at 400 ° C for one hour) is bonded to the carrier bonding surface having a TFTS surface modifying layer on the bonding surface. The article was preserved in a vacuum process test, an SRD process test, and a 400 ° C process test without permanent bonding of the glass flakes to the glass carrier. However, the 600 ° C test produced bubbles formed under the carrier due to thermal decomposition of decane. This is not surprising due to the limited thermal stability of the propyl group. Although this sample failed due to foaming in the 600 ° C test, the material and heat treatment of this example can be used for some applications in which bubbles can be tolerated and their adverse effects, such as a reduction in surface flatness, or an increase The waviness.

實例4cExample 4c

其接合表面經O2電漿及SC1處理之玻璃載具隨後用甲苯中之1%苯基三乙氧基矽烷(phenyltriethoxysilane;PTS)處理,且在200℃下於真空中退火1hr以完成縮合。PTS處理表面展現54mJ/m2之表面能量。如表4所示,玻璃薄片(已經SC1清潔且隨後在400℃下於真空中加熱一個小時)接合至載具接合表面,該載具接合表面具有PTS表面改質層。此物件在真空製程、SRD製程及至多600℃之熱製程中保全,而無玻璃薄片與玻璃載具之永久接合。 The glass carrier whose bonding surface was treated with O2 plasma and SC1 was then treated with 1% phenyltriethoxysilane (PTS) in toluene and annealed in vacuum at 200 ° C for 1 hr to complete the condensation. The PTS treated surface exhibited a surface energy of 54 mJ/m 2 . As shown in Table 4, a glass flake (which has been cleaned by SC1 and then heated in vacuum at 400 ° C for one hour) is bonded to the carrier joining surface, which has a PTS surface modifying layer. The article is preserved in a vacuum process, an SRD process, and a thermal process up to 600 ° C without the permanent bonding of the glass flakes to the glass carrier.

實例4dExample 4d

其接合表面經O2電漿及SC1處理之玻璃載具隨後用甲苯中之1%二苯基二乙氧基矽烷(diphenyldiethoxysilane;DPDS)處理,且在200℃下於真空中退火1hr以完成縮合。DPDS處理表面展現47mJ/m2之表面能量。如表4所示,玻璃薄片(已經SC1清潔且隨後在400℃下於真空中加熱一個小時)接合至載具接合表面,該載具接 合表面具有DPDS表面改質層。此物件在真空試驗及SRD試驗以及至多600℃之熱製程中保全,而無玻璃薄片與玻璃載具之永久接合。 The glass carrier whose bonding surface was treated with O2 plasma and SC1 was then treated with 1% diphenyldiethoxysilane (DPDS) in toluene and annealed in vacuum at 200 ° C for 1 hr to complete the condensation. The DPDS treated surface exhibited a surface energy of 47 mJ/m 2 . As shown in Table 4, a glass flake (which has been cleaned by SC1 and then heated in vacuum at 400 ° C for one hour) is bonded to the carrier joining surface, which has a DPDS surface modifying layer. The article is preserved in a vacuum test and an SRD test and in a hot process up to 600 ° C without permanent bonding of the glass flakes to the glass carrier.

實例4e。Example 4e.

其接合表面經O2電漿及SC1處理之玻璃載具隨後用甲苯中之1% 4-五氟苯基三乙氧基矽烷(pentafluorophenyltriethoxysilane;PFPTS)處理,且在200℃下於真空中退火1hr以完成縮合。PFPTS處理表面展現57mJ/m2之表面能量。如表4所示,玻璃薄片(已經SC1清潔且隨後在400℃下於真空中加熱一個小時)接合至載具接合表面,該載具接合表面具有PFPTS表面改質層。此物件在真空試驗及SRD試驗以及至多600℃之熱製程中保全,而無玻璃薄片與玻璃載具之永久接合。 The glass carrier whose bonding surface was treated with O2 plasma and SC1 was then treated with 1% 4-pentafluorophenyltriethoxysilane (PFPTS) in toluene and annealed in vacuum at 200 ° C for 1 hr. Complete condensation. The PFPTS treated surface exhibited a surface energy of 57 mJ/m 2 . As shown in Table 4, a glass flake (which has been cleaned by SC1 and then heated in vacuum at 400 ° C for one hour) is bonded to the carrier joining surface, which has a PFPTS surface modifying layer. The article is preserved in a vacuum test and an SRD test and in a hot process up to 600 ° C without permanent bonding of the glass flakes to the glass carrier.

在以上實例4a至4e中,載具及薄片之每一者為Eagle XG®玻璃,其中載具為630微米厚的150mm直徑SMF晶圓,且薄片為100mm平方100微米厚。矽烷層為自組裝單層(self-assembled monolayer;SAM),且因此大約為小於約2nm厚。在以上實例中,SAM係使用具有芳基或烷基非極性尾部及單、二或三醇鹽頭部基團之有機矽烷產生。此等矽烷與 玻璃上之矽醇表面反應,以直接連接有機官能基。非極性頭部基團之間的較弱相互作用組織出有機層。由於表面改質層之小厚度,幾乎不存在除氣之風險,該除氣可引起裝置製作之污染。另外,因為在實例4c、4d及4e中表面改質層不呈現降級,所以又存在甚至更小的除氣風險。此外,如表4所指示,玻璃薄片之每一者係於在400℃下於真空中歷時一個小時之熱處理之前使用SC1製程來清潔。 In Examples 4a through 4e above, each of the carrier and the sheet was Eagle XG® glass, wherein the carrier was a 630 micron thick 150 mm diameter SMF wafer and the sheet was 100 mm square by 100 microns thick. The decane layer is a self-assembled monolayer (SAM) and is therefore approximately less than about 2 nm thick. In the above examples, the SAM was produced using an organic decane having an aryl or alkyl non-polar tail and a mono-, di- or tri-alkoxide head group. Such decane and The surface of the sterol on the glass reacts to directly attach the organic functional groups. The weaker interaction between the non-polar head groups organizes the organic layer. Due to the small thickness of the surface modifying layer, there is almost no risk of degassing, which can cause contamination of the device. In addition, because the surface modifying layers do not exhibit degradation in Examples 4c, 4d, and 4e, there is an even less risk of outgassing. In addition, as indicated in Table 4, each of the glass flakes was cleaned using the SC1 process prior to heat treatment at 400 ° C for one hour in a vacuum.

如自實例4a-4e之比較可見,將接合表面之表面能量控制至40mJ/m2以上以便促進初始室溫接合並非為產生受控接合之唯一考慮,該受控接合耐受FPD處理且仍允許薄片自載具移除而無破壞。確切言之,如自實例4a-4e所見,每一載具具有40mJ/m2以上之表面能量,從而促進初始室溫接合以便物件在真空處理及SRD處理中保全。然而,實例4a及4b未順利完成600℃處理試驗。如以上所指出,對某些應用而言,對接合亦為重要的是:在至多高溫(例如,400℃、500℃或600℃,至多650℃,如對物件經設計來用於其中之製程而言為適當的)之處理中保全,而該接合不降級至其中其不足以將薄片及載具固持在一起之點,且亦控制在此等高溫下出現的共價鍵結,以便薄片與載具之間不存在永久接合。如藉由表4中之實例所示,芳族矽烷,尤其苯基矽烷適用於提供受控接合,該受控接合將促進初始室溫接合,且將耐受FPD處理並仍允許薄片自載具移除而無破壞。 As can be seen from the comparison of Examples 4a-4e, controlling the surface energy of the bonding surface to above 40 mJ/m 2 in order to facilitate initial room temperature bonding is not the only consideration for producing a controlled joint that is resistant to FPD processing and still allows The sheet is removed from the carrier without damage. Specifically, as seen from Examples 4a-4e, each carrier has a surface energy of greater than 40 mJ/m 2 to facilitate initial room temperature bonding for preservation of the article in vacuum processing and SRD processing. However, Examples 4a and 4b did not successfully complete the 600 ° C treatment test. As noted above, for some applications, it is also important to join: at most high temperatures (for example, 400 ° C, 500 ° C or 600 ° C, up to 650 ° C, as appropriate for the process in which the article is designed for use in the process, and the bond is not degraded to a point where it is insufficient to hold the sheet and the carrier together, The covalent bonding that occurs at these elevated temperatures is also controlled so that there is no permanent bond between the sheet and the carrier. As shown by the examples in Table 4, aromatic decane, especially phenyl decane, is suitable for providing a controlled bond that will promote initial room temperature bonding and will withstand FPD processing and still allow for sheet self-loading Remove without damage.

氟碳化合物表面改質層及其處理Fluorocarbon surface modification layer and its treatment

使用電漿聚合膜來調節接合表面之表面能量且在接合表面上產生替代極性接合位點之另一實例為:表面改質層薄膜自氟碳化合物氣源之混合物的沉積,且隨後藉由使用各種方法於表面改質層上形成基於氮之極性基團。 Another example of using a plasma polymeric film to adjust the surface energy of the bonding surface and creating a surrogate polar bonding site on the bonding surface is the deposition of a mixture of surface modifying layer films from a fluorocarbon gas source, and then by use Various methods form a nitrogen-based polar group on the surface modifying layer.

表面改質層可藉由氟碳化合物氣源之各種混合物之電漿聚合形成,以便提供各種表面能量,包括大於約50mJ/m2之表面能量,如藉由擬合由S.Wu(1971)所開發適於三種不同試驗液體(在此狀況下為去離子水(水)、十六烷(hexadecane;HD)及二碘甲烷(di-iodomethane;DIM)之接觸角(CA)的理論模型所計算。(參考文獻:S.Wu,J.Polym.Sci.C,34,19,1971,下文稱為「Wu模型」)。載具接合表面上大於約50mJ/m2之表面能量對將載具接合至薄玻璃片有益,因為其促進載具與薄玻璃片之初始室溫接合,且允許實現載具/薄玻璃片之FPD處理而其在製程中不會脫接合。在一些狀況下,取決於表面改質層組成物及沉積條件,具有此表面能量之表面改質層能夠允許藉由剝離而脫接合,甚至在至多約600℃且在一些狀況下甚至更高之溫度下處理載具及薄玻璃片之後如此。一般而言,源氣體包括蝕刻氣體及聚合物形成氣體之混合物。如以上結合第5圖所論述,蝕刻氣體可為CF4,而聚合物形成氣體可為C4F8。或者,如第13圖所示,蝕刻氣體可為CF4,而聚合物形成氣體可為CHF3。如第5圖及第13圖兩者所示,一般而言,聚合物形成氣體之百分比愈低,所得接合表面之總表面能量502、1312愈高,其中總表面能量為極性分量504、1314(三角形資料點)及分散分量506、1316(正方形資 料點)之組合。在電漿聚合期間聚合物形成氣體(例如CHF3)之百分比可以類似方式藉由使用惰性氣體(例如Ar)來控制,以控制所得表面能量,如第13A圖所示,該圖展示以mJ/m2計之總表面能量。儘管不希望受理論約束,但惰性氣體可充當蝕刻劑、稀釋劑或兩者兼有。在任何情況下,顯然可藉由單獨的CHF3在氣流中無任何CF4的情況下改質載具玻璃之表面能量。表面改質層之沉積可在大氣壓或減壓中發生,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於載具、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制表面改質層膜厚度、密度及化學性質,以特製用於所要應用之官能基。而且,藉由控制膜性質,載具接合表面之表面能量可獲調節。然而,表面能量正是控制接合程度中的一個考慮。 The surface modifying layer can be formed by plasma polymerization of various mixtures of fluorocarbon gas sources to provide various surface energies, including surface energies greater than about 50 mJ/m 2 , such as by fitting by S. Wu (1971) A theoretical model developed for the contact angle (CA) of three different test liquids (deionized water (water), hexadecane (HD) and di-iodomethane (DIM) in this case) Calculation (Reference: S. Wu, J. Polym. Sci. C, 34, 19, 1971, hereinafter referred to as "Wu model"). The surface energy of the carrier on the joint surface is greater than about 50 mJ/m 2 . Bonding to a thin glass sheet is beneficial because it facilitates initial room temperature bonding of the carrier to the thin glass sheet and allows for FPD processing of the carrier/thin glass sheet without its disengagement during processing. In some cases, Depending on the surface modifying layer composition and deposition conditions, the surface modifying layer having this surface energy can allow debonding by stripping, even at temperatures up to about 600 ° C and in some cases even higher. And after the thin glass sheet. In general, the source gas includes etching gas and polymerization. A mixture of gases is formed. As discussed above in connection with Figure 5, the etching gas may be CF4 and the polymer forming gas may be C4F8. Alternatively, as shown in Figure 13, the etching gas may be CF4, and the polymer forming gas may be CHF3. As shown in both Figures 5 and 13, in general, the lower the percentage of polymer forming gas, the higher the total surface energy 502, 1312 of the resulting joint surface, wherein the total surface energy is the polar component 504. a combination of 1314 (triangular data points) and dispersed components 506, 1316 (square data points). The percentage of polymer forming gas (eg, CHF3) during plasma polymerization can be controlled in a similar manner by using an inert gas such as Ar. To control the resulting surface energy, as shown in Figure 13A, the graph shows the total surface energy in mJ/m2. Although not wishing to be bound by theory, the inert gas can act as an etchant, diluent, or both. In any case, it is obvious that the surface energy of the carrier glass can be modified without any CF4 in the gas stream by separate CHF3. The deposition of the surface modification layer can occur at atmospheric pressure or reduced pressure, and electricity is utilized. Excited to perform, the plasma is excited, for example, by DC or RF parallel plates, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream microwave or RF plasma. The plasma polymerization surface modification layer can be placed on the carrier, On the flakes or both. As indicated above in connection with the examples in Table 3, the plasma polymerization produces a layer of highly crosslinked material. Control of the reaction conditions and source gases can be used to control the thickness, density and chemical properties of the surface modifying layer. It is specially formulated for the functional groups to be applied. Moreover, by controlling the properties of the film, the surface energy of the carrier bonding surface can be adjusted. However, surface energy is one of the considerations in controlling the degree of bonding.

受控接合或適度接合之程度可另外藉由控制用以達成所要表面能量之極性接合來調節。控制極性接合的一種方式為將表面改質層(如上形成)暴露於另一處理以併入極性基團,該另一處理例如藉由含氮電漿之處理。此種處理經由基於氮之極性官能基於薄表面改質層上之形成來增加黏著力。在後續處理期間形成的基於氮之極性基團不與矽醇基縮合以引起永久共價鍵結,且因此能夠在執行來將膜或結構安置於薄片上之後續處理期間,控制薄片與載具之間的接合程度。形成基於氮之極性基團之方法包括例如氮電漿處理(實例 5b-d、k、l),氨電漿處理(實例5e、f、h-j),及氮/氫電漿處理(實例5m)。 The degree of controlled engagement or moderate engagement can additionally be adjusted by controlling the polarity engagement to achieve the desired surface energy. One way to control the polarity bonding is to expose the surface modifying layer (formed as above) to another process to incorporate a polar group, such as by treatment with a nitrogen-containing plasma. This treatment increases the adhesion based on the formation of a thin surface modifying layer via a nitrogen based polar functional. The nitrogen-based polar group formed during subsequent processing does not condense with the sterol group to cause permanent covalent bonding, and thus can control the sheet and the carrier during subsequent processing to perform placement of the film or structure on the sheet The degree of engagement between. Methods of forming nitrogen-based polar groups include, for example, nitrogen plasma treatment (examples) 5b-d, k, l), ammonia plasma treatment (Examples 5e, f, h-j), and nitrogen/hydrogen plasma treatment (Example 5m).

觀察到接合有用含氮電漿處理之表面改質層之薄玻璃片及玻璃載具在600℃下之退火之後不永久黏附,亦即,其順利完成600℃溫度測試之部分(c)。此外,此適度接合足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載具之重複使用。表面改質層之氮電漿處理可獲得以下優點中之一或多者:高表面能量及低水接觸角,其在初始接合之後產生薄片與載具之間的強黏附,而氣泡缺陷最少(參見實例5b-f及i-l);熱處理時缺陷形成之減少,其係歸因於表面改質層之改良熱穩定性(實例5c、5d、5k、5l,亦即,用N2處理之樣本展現降低的氣泡形成,如視覺上所觀察);及/或較容易的製程窗,因為表面改質層之分離、其形成及處理均允許不同製程最佳化載具/表面改質層以及表面改質層/薄玻璃界面(實例5b-f及h-m)。亦即,表面改質層之基礎材料及其自身之沉積製程可經調配以便最佳化表面改質層與載具接合表面之間的相互作用。隨後,獨立而言,在表面改質層於載具上之沉積之後,表面改質層之性質可藉由處理得以改質,以最佳化表面改質層與欲安置於其上之薄片之相互作用。 It was observed that the thin glass piece and the glass carrier bonded to the surface modification layer treated with the nitrogen-containing plasma were not permanently adhered after annealing at 600 ° C, that is, they successfully completed the portion (c) of the 600 ° C temperature test. In addition, this modest joint is strong enough to be preserved in FPD processing (including vacuum test (1), wet process test (2) and ultrasonic test (5), and can be removed by application of sufficient peel force Engage. Debonding allows removal of the device fabricated on the thin glass and reuse of the carrier. Nitrogen plasma treatment of the surface modifying layer can achieve one or more of the following advantages: high surface energy and low water contact angle, which results in strong adhesion between the sheet and the carrier after initial bonding, with minimal bubble defects ( See Examples 5b-f and il); reduction in defect formation during heat treatment due to improved thermal stability of the surface modification layer (Examples 5c, 5d, 5k, 5l, ie, samples treated with N2 exhibit reduced Bubble formation, as visually observed; and/or easier process window, because the separation of the surface modification layer, its formation and processing allow for different process optimization of the carrier/surface modification layer and surface modification. Layer/thin glass interface (Examples 5b-f and hm). That is, the base material of the surface modifying layer and its own deposition process can be formulated to optimize the interaction between the surface modifying layer and the carrier bonding surface. Subsequently, independently, after deposition of the surface modifying layer on the carrier, the properties of the surface modifying layer can be modified by processing to optimize the surface modifying layer and the sheet to be placed thereon. interaction.

在以下表5之實例中,各種條件用於將電漿聚合膜沉積於玻璃載具上。玻璃載具係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated, Corning NY)製成之基板。在表面改質層沉積之前,使用SC1及/或SC2化學方法及標準清潔技術來清潔載具。在Oxford Plasmalab 380感應耦合電漿(ICP)系統中沉積膜,該系統於線圈及平台兩者上皆具有13.56MHz RF源,且平台溫度固定於30C下。在具有三極體電極組態模式之STS多工PECVD設備(可購自SPTS,Newport,UK)中執行用於樣本5a-5j之表面改質層之氮及氨電漿處理,其中載具置放於加熱至200C之平台上,將指定瓦特數之380kHz RF能量施加於該平台,該平台上方安置有噴淋頭,將指定瓦特數之13.5MHz RF能量施加於該噴淋頭。對施加於Oxford ICP及STS PECVD兩者中之能量而言,將數值展示為#/#W,其中斜線之前的數值為施加於頂部電極(ICP上之線圈或PECVD上之噴淋頭)之瓦特數,且斜線之後的數值為施加於平台之瓦特數。在僅展示一個數值的情況下,此數值係針對頂部電極。氣體進入腔室中之流率如表5所示(流率係計為每分鐘之標準立方公分數--sccm)。因此,例如,表5之「表面處理」欄中對實例5g之記法解讀如下:在Oxford ICP設備中,使30sccm之CF4、10sccm之C4F8及20sccm之H2一起流入具有5mTorr之壓力的腔室中;將1000W之13.5MHz RF能量施加於線圈,將50W之13.56MHz RF能量施加於其上置放有載具之30C平台;且沉積時間為60秒。表面處理欄中對剩餘實例之記法可以類似方式解讀。另舉一例,在「電漿處理」欄中,對實例5h中之處理之記法解讀如下:在根據實例5h之表面處理欄中之參數形成表面改質層之後,隨即將100sccm之NH3供應至具有1托 之壓力及200℃之溫度的STS PECVD腔室;將100W之13.56MHz施加於噴淋頭;且進行處理30秒。「電漿處理」欄中對剩餘實例之記法可以類似方式解讀。表面能量,即極性分量及分散分量係藉由擬合適於三種不同試驗液體(在此狀況下為去離子水(水)、十六烷(HD)及二碘甲烷(DIM))之接觸角(CA)之Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。 In the examples of Table 5 below, various conditions were used to deposit a plasma polymeric film onto a glass carrier. The glass carrier is a substrate made of Corning® Eagle XG® aluminoborosilicate free alkali metal display glass (available from Corning Incorporated, Corning NY). The SC1 and/or SC2 chemistry and standard cleaning techniques are used to clean the vehicle prior to deposition of the surface modifying layer. The film was deposited in an Oxford Plasmalab 380 Inductively Coupled Plasma (ICP) system with a 13.56 MHz RF source on both the coil and the platform, with the platform temperature fixed at 30C. Nitrogen and ammonia plasma treatment for the surface modification layer of samples 5a-5j is performed in an STS multiplex PECVD apparatus (available from SPTS, Newport, UK) having a triode electrode configuration mode in which the carrier is placed Placed on a platform heated to 200 C, a specified wattage of 380 kHz RF energy is applied to the platform, with a showerhead placed over the platform to apply a specified wattage of 13.5 MHz RF energy to the showerhead. For the energy applied to both Oxford ICP and STS PECVD, the value is shown as #/#W, where the value before the slash is the watt applied to the top electrode (coil on ICP or sprinkler on PECVD) The number, and the value after the slash, is the wattage applied to the platform. In the case where only one value is shown, this value is for the top electrode. The flow rate of gas into the chamber is shown in Table 5 (flow rate is calculated as the standard cubic centimeter per minute - sccm). Thus, for example, the notation for Example 5g in the "Surface Treatment" column of Table 5 is interpreted as follows: in an Oxford ICP apparatus, 30 sccm of CF4, 10 sccm of C4F8, and 20 sccm of H2 are flowed together into a chamber having a pressure of 5 mTorr; 1000 W of 13.5 MHz RF energy was applied to the coil, and 50 W of 13.56 MHz RF energy was applied to the 30C platform on which the carrier was placed; and the deposition time was 60 seconds. The notation of the remaining instances in the surface treatment column can be interpreted in a similar manner. As another example, in the "plasma processing" column, the notation for the treatment in Example 5h is interpreted as follows: After the surface modifying layer is formed according to the parameters in the surface treatment column of Example 5h, then 100 sccm of NH3 is supplied to have An STS PECVD chamber with a pressure of 1 Torr and a temperature of 200 ° C; 13.56 MHz of 100 W was applied to the shower head; and treatment was carried out for 30 seconds. The notation for the remaining instances in the "plasma processing" column can be interpreted in a similar manner. The surface energy, ie the polar component and the dispersion component, are fitted to the contact angles suitable for three different test liquids (in this case deionized water (water), hexadecane (HD) and diiodomethane (DIM)) ( The Wu model of CA) is calculated in mJ/m 2 (millijoules per square meter). For surface energy, the polar component (P) and the dispersed component (D), as well as the total energy (T), are shown.

在表5之實例5b-5f及5h-5l中,基於氮之極性基團形成於表面改質層上,其中此等極性基團在載具與薄片(例如,玻璃載具與玻璃薄片)之間產生適度黏著以產生暫時接 合,該暫時接合足夠強以在FPD處理中保全,但足夠弱以允許脫接合。在處理之後,表面改質層之表面上的極性基團濃度大於表面改質層本體中之彼極性基團濃度。 In Examples 5b-5f and 5h-5l of Table 5, nitrogen-based polar groups are formed on the surface modifying layer, wherein the polar groups are in the carrier and the sheet (eg, glass carrier and glass sheet) Moderate adhesion to create a temporary connection The temporary joint is strong enough to be preserved in the FPD process, but weak enough to allow disengagement. After the treatment, the concentration of the polar group on the surface of the surface modifying layer is greater than the concentration of the polar group in the bulk of the surface modifying layer.

藉由NH3電漿之處理之實例(5e、f及h-j)。 Examples of treatment with NH3 plasma (5e, f and h-j).

在ICP電漿系統中,在5mT下利用1500W線圈及50W平台RF功率自30sccm CF4、10sccm C4F8、20sccm H2沉積適度表面能量SML(對照實例5a),且在5mT下利用1000W線圈及50W平台RF功率自30sccm CF4、10sccm C4F8、20sccm H2沉積另一適度表面能量SML(對照實例5g)。未處理氟聚合物膜之表面能量展示於表5中。將樣本轉移至STS PECVD系統,且利用表5中列出之條件暴露於氨電漿(實例5e、5f、5h-j)。如藉由Wu方程式利用DI水及十六烷所量測的表面張力取決於氨電漿條件而自約40mJ/m2增加至約65-80mJ/m2。使薄玻璃片接合至此等NH3電漿改質樣本之每一者。在600℃溫度測試之後,視覺上觀察到氣泡區域幾乎無變化(未執行正式的除氣試驗),且所有此等樣本中之薄玻璃片易於由手來脫接合。 In the ICP plasma system, a moderate surface energy SML (Comparative Example 5a) was deposited from 30 sccm CF4, 10 sccm C4F8, 20 sccm H2 at 1500 W coil and 50 W platform RF power at 5 mT, and 1000 W coil and 50 W platform RF power were used at 5 mT. Another moderate surface energy SML (Comparative Example 5g) was deposited from 30 sccm CF4, 10 sccm C4F8, 20 sccm H2. The surface energy of the untreated fluoropolymer film is shown in Table 5. Samples were transferred to an STS PECVD system and exposed to ammonia plasma using the conditions listed in Table 5 (Examples 5e, 5f, 5h-j). The surface tension as measured by the Wu equation using DI water and hexadecane is increased from about 40 mJ/m2 to about 65-80 mJ/m2 depending on the ammonia plasma condition. A thin glass piece was bonded to each of these NH3 plasma modified samples. After the temperature test at 600 ° C, it was visually observed that there was almost no change in the bubble region (the formal degassing test was not performed), and the thin glass sheets in all of these samples were easily debonded by hand.

藉由N2電漿之處理之實例(5c、d、k、l)。 Example (5c, d, k, l) treated by N2 plasma.

在ICP電漿系統中,在5mT下利用1500W線圈及50W平台RF功率自30sccm CF4、10sccm C4F8、20sccm H2沉積適度表面能量SML(對照實例5a),且在5mT下利用1000W線圈及50W平台RF功率自30sccm CF4、10sccm C4F8、20sccm H2沉積另一適度表面能量SML(對照實例5g)。未處理氟聚合物膜之表面能量展示於表5中。在ICP系 統中利用表5中列出之條件將樣本5c、d、k、l進行N2電漿原位處理。表面能量取決於電漿條件而自約40mJ/m2增加至超過70mJ/m2。使薄玻璃片接合至此等樣本之每一者。所有樣本之薄玻璃片在600℃溫度測試之後易於由手來脫接合。 In the ICP plasma system, a moderate surface energy SML (Comparative Example 5a) was deposited from 30 sccm CF4, 10 sccm C4F8, 20 sccm H2 at 1500 W coil and 50 W platform RF power at 5 mT, and 1000 W coil and 50 W platform RF power were used at 5 mT. Another moderate surface energy SML (Comparative Example 5g) was deposited from 30 sccm CF4, 10 sccm C4F8, 20 sccm H2. The surface energy of the untreated fluoropolymer film is shown in Table 5. In the ICP system Samples 5c, d, k, and l were subjected to N2 plasma in-situ treatment using the conditions listed in Table 5. The surface energy increases from about 40 mJ/m2 to over 70 mJ/m2 depending on the plasma conditions. A thin glass piece is bonded to each of these samples. The thin glass sheets of all samples were easily debonded by hand after testing at 600 °C.

藉由同時N2及H2電漿之處理之實例(5m)。 An example (5m) of simultaneous treatment with N2 and H2 plasma.

在ICP電漿系統中,在5mT下利用1000W線圈及50W平台RF功率自30sccm CF4、10sccm C4F8、20sccm H2沉積適度表面能量SML(對照實例5g)。未處理氟聚合物膜之表面張力展示於表5中。在ICP系統中利用表5中列出之條件使樣本5m經受同時的N2+H2電漿原位處理。未展示出不同於未處理氟聚合物膜之表面能量。 In the ICP plasma system, moderate surface energy SML (Comparative Example 5g) was deposited from 30 sccm CF4, 10 sccm C4F8, 20 sccm H2 using a 1000 W coil and a 50 W platform RF power at 5 mT. The surface tension of the untreated fluoropolymer film is shown in Table 5. Sample 5m was subjected to simultaneous N2+H2 plasma in-situ treatment in the ICP system using the conditions listed in Table 5. The surface energy different from the untreated fluoropolymer film was not exhibited.

藉由順序N2及H2電漿之處理之實例(5b)。 Example (5b) by treatment of sequential N2 and H2 plasmas.

在ICP電漿系統中,在5mT下利用1500W線圈及50W平台RF功率自30sccm CF4、10sccm C4F8、20sccm H2沉積適度表面能量SML(對照實例5a)。未處理氟聚合物膜之表面能量展示於表5中。隨後在ICP系統中利用表5中列出之條件使此樣本經受順序N2及H2電漿原位處理。表面能量升高至超過70mJ/m2。此值類似於利用氨電漿或氮電漿獲得之值。將薄玻璃片接合至此樣本,且經歷600℃溫度測試,此後,薄玻璃片可自載具脫接合,亦即,此樣本順利完成600℃處理測試之部分(c)。 In the ICP plasma system, moderate surface energy SML (Comparative Example 5a) was deposited from 30 sccm CF4, 10 sccm C4F8, 20 sccm H2 at 1500 W coil and 50 W platform RF power at 5 mT. The surface energy of the untreated fluoropolymer film is shown in Table 5. This sample was then subjected to sequential N2 and H2 plasma in-situ treatment in the ICP system using the conditions listed in Table 5. The surface energy is increased to over 70 mJ/m2. This value is similar to the value obtained with ammonia plasma or nitrogen plasma. A thin glass piece was bonded to the sample and subjected to a 600 ° C temperature test, after which the thin glass piece was debonded from the carrier, that is, the sample successfully completed part (c) of the 600 ° C treatment test.

XPS資料揭露氨電漿處理及氮電漿處理對表面改質層之影響。特定而言,氨電漿處理粗略地使表面改質之碳含量減半,且減小氟濃度約四分之一併增加約0.4at%氮。亦可 見矽、氧及其他玻璃成分增加,此與氨電漿移除氟聚合物同時將小量氮物質增加至表面一致。氮電漿處理將氮含量增加至2at%,而且類似於氨使碳含量及氟含量減小。矽、氧及其他玻璃成分亦增加,此與膜厚度之減小一致。因此,證實氨電漿處理及氮電漿處理將極性基團添加至表面改質層,而且減小表面層厚度。表面改質層之所得厚度通常小於20nm。因此,有效表面改質層將通常平衡表面改質層厚度與後續表面處理時間來達成受控接合。 The XPS data reveals the effects of ammonia plasma treatment and nitrogen plasma treatment on the surface modification layer. In particular, ammonia plasma treatment roughly halved the surface modified carbon content and reduced the fluorine concentration by about a quarter and increased by about 0.4 at% nitrogen. Can also See increased enthalpy, oxygen, and other glass components, which removes the fluoropolymer from the ammonia plasma while increasing the small amount of nitrogen to the surface. Nitrogen plasma treatment increases the nitrogen content to 2 at% and is similar to ammonia to reduce the carbon content and fluorine content. Niobium, oxygen and other glass components are also increased, which is consistent with a reduction in film thickness. Therefore, it was confirmed that the ammonia plasma treatment and the nitrogen plasma treatment added a polar group to the surface modification layer, and the surface layer thickness was reduced. The resulting thickness of the surface modifying layer is typically less than 20 nm. Thus, the effective surface modifying layer will typically balance the surface modifying layer thickness with subsequent surface treatment time to achieve a controlled bond.

如上所述根據表5之實例接合至載具之薄玻璃片為由Corning® Willow®玻璃製成之基板,該玻璃為鋁硼矽酸鹽不含鹼金屬玻璃(可購自Corning Incorporated,Corning NY)且具有100、130及150微米之厚度。在接合之前,使用氧電漿接著SC1及/或SC2化學方法及標準清潔技術來清潔Willow®玻璃。 The thin glass sheet bonded to the carrier according to the example of Table 5 as described above is a substrate made of Corning® Willow® glass, which is an aluminoborosilicate-free alkali metal glass (available from Corning Incorporated, Corning NY). And has a thickness of 100, 130 and 150 microns. Prior to bonding, the Willow® glass is cleaned using oxygen plasma followed by SC1 and/or SC2 chemistry and standard cleaning techniques.

在表5之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。 In the example of Table 5, although the joint surface on which the surface modifying layer is disposed is glass, it is not necessary to be in this case. Alternatively, the bonding surface can be another suitable material having surface energy and properties similar to glass, such as germanium, polycrystalline germanium, single crystal germanium, ceramic, glass-ceramic, sapphire or quartz.

在表3及5之實例中證明:小於20nm厚之電漿聚合氟聚合物表面改質層之使用控制玻璃接合表面之接合能量。玻璃薄片與此種其上具有表面改質層之玻璃載具之初始接合類似於將玻璃接合至玻璃:接合前端由於薄片與經塗佈玻璃載具之間的強的相互吸引而快速地移動。此相互吸引之物理成因為在具有或不具有氫鍵結分子水的情況下,薄玻璃 片上之極性基團(幾乎為矽醇基)與載具之表面改質層上之極性基團之間的偶極-偶極(Keesom)相互作用。然而,氟聚合物表面改質處理阻止在有關於裝置製作的至多600℃之溫度下薄片與載具之永久接合。為對較厚玻璃之低產量酸薄化提供強製成本優點,載具必須為可重複使用的。此為使用氟化表面改質層時之關注點,因為氟聚合物沉積製程蝕刻載具表面。雖然已利用彼等表面改質層證明載具之重複使用,但表面粗糙度自0.3nm增加至約1.2nm Ra。粗糙度之此種增加可由於限制接合區域以致減少接合能量(已在表面改質層之沉積、移除及再沉積之後重複使用的載具上之接合能量)而影響載具可重複使用性。此外,表面粗糙度增加可因不符合對引入玻璃之粗糙度之規範而限制在其他應用中之載具重複使用,該等其他應用諸如使用載具自身作為顯示基板之應用。亦已觀察到:在將一對接合薄玻璃片及載具於>300℃之溫度下退火之後,已於薄玻璃片之接合表面側上誘導粗糙度。薄片接合表面上之增加粗糙度可能係由於薄玻璃接合表面藉由來自表面改質層處理的載具接合表面之脫附含氟氣體的蝕刻。在一些狀況下,接合表面之粗糙度之此種增加不為連續的。在其他情況下,儘管粗糙度增加較小,但此種增加可為不可接受的,因為其可限制例如載具之重複使用。另外,可存在不期望在某些製造操作中使用氟化氣體之原因,例如健康及安全性。 It is demonstrated in the examples of Tables 3 and 5 that the use of a plasma-polymerized fluoropolymer surface modifying layer of less than 20 nm thickness controls the bonding energy of the glass bonding surface. The initial engagement of the glass sheet with such a glass carrier having a surface modifying layer thereon is similar to joining the glass to the glass: the front end of the joint moves rapidly due to the strong mutual attraction between the sheet and the coated glass carrier. This mutual attraction is due to the thin glass in the presence or absence of hydrogen-bonded molecular water. The on-chip polar group (almost sterol group) interacts with the dipole-dipole (Keesom) between the polar groups on the surface modifying layer of the carrier. However, the fluoropolymer surface modification treatment prevents permanent bonding of the sheet to the carrier at temperatures up to 600 ° C with respect to device fabrication. In order to provide a mandatory cost advantage for low-volume acid thinning of thicker glass, the carrier must be reusable. This is a concern when using a fluorinated surface modifying layer because the fluoropolymer deposition process etches the surface of the carrier. Although the surface modification layer has been used to demonstrate repeated use of the carrier, the surface roughness has increased from 0.3 nm to about 1.2 nm Ra. Such an increase in roughness can affect vehicle reusability by limiting the joint area to reduce joint energy (joining energy on the vehicle that has been reused after deposition, removal, and redeposition of the surface modifying layer). In addition, the increase in surface roughness may limit the reuse of carriers in other applications, such as the use of the carrier itself as a display substrate, due to non-compliance with specifications for the roughness of the introduced glass. It has also been observed that roughness is induced on the joined surface side of the thin glass sheet after annealing a pair of bonded thin glass sheets and the carrier at a temperature of >300 °C. The increased roughness on the sheet bonding surface may be due to the etching of the thin glass bonding surface by the desorption of fluorine-containing gas from the carrier bonding surface of the surface modifying layer. In some cases, such an increase in the roughness of the joint surface is not continuous. In other cases, although the increase in roughness is small, such an increase may be unacceptable because it may limit, for example, repeated use of the vehicle. Additionally, there may be reasons for the undesirable use of fluorinated gases in certain manufacturing operations, such as health and safety.

因此,可存在以下情況,其中合乎需要是使用替代極性接合來產生足夠的表面能量(例如,如以上結合表5中之 實例所論述,>50mJ/m2),以用於產生受控接合,亦即,足夠強以在FPD處理中保全,但允許薄片與載具分離而無破壞(甚至在高溫處理之後,例如在400℃以上或600℃以上之處理之後)之接合。因此,發明人探查替代方式來形成適合極性接合,該等極性接合可用於薄片與載具之受控接合。 Thus, there may be situations in which it is desirable to use an alternative polar bond to generate sufficient surface energy (eg, >50 mJ/m 2 as discussed above in connection with the examples in Table 5) for producing a controlled bond, That is, it is strong enough to be preserved in the FPD process, but allows the sheet to be separated from the carrier without damage (even after high temperature processing, such as after treatment at 400 ° C or higher or 600 ° C or higher). Accordingly, the inventors explore alternative ways to form suitable polar joints that can be used for controlled engagement of the sheet with the carrier.

發明人探查到:使用烴聚合物或更通常碳質層將可利用來蝕刻玻璃,該碳質層使得幾乎沒有或沒有氟。然而,必須克服若干關鍵挑戰。對碳質層與玻璃接合而言,碳質層表面能量應大於約50mJ/m2。為提供足夠強以在濕式處理中保全而在薄片與載具之間沒有液體滲浸之接合,在一些情況下,碳質表面改質層應具有65mJ/m2或更高之表面能量。在65mJ/m2下,載具(用於接合至薄玻璃片)之表面能量足以阻止在後續處理期間載具與薄片之間的液體(例如水)滲浸。利用約50mJ/m2之表面能量,與薄玻璃片之接合可足以適於大多數FPD處理,但可需要熱處理來阻止液體滲浸。確切言之,烴層之極性分量必須增加以便達成直接與薄玻璃片之矽醇基的或藉由氫鍵結分子水介導的強偶極-偶極接合。碳質層亦應展現熱、化學及真空相容性,以便其將適用於載具-薄片材物件,該物件將經歷至少非晶矽(amorphous silicon;aSi)TFT、濾色器(CF)或電容性觸控裝置製作製程。此似乎為可能的,因為如聚乙烯之脂族烴在惰性氣氛中展現大的熱穩定性。不同於可在某些情況下解聚的氟聚合物,HDPE只是炭化。儘管HDPE可炭化,但若聚合物之厚度足夠低,仍可透過其進行觀看。最後一關注點為:機械穩定性及濕式製程相容性似乎需 要比可利用單獨凡得瓦力所達成之黏著更高的黏著。應明白的是,約250mJ/m2至約275mJ/m2接合能量對在使用玻璃薄片的情況下、在濕式超音波處理中保全而言為有益的。此種大的接合能量可歸因於粒子及邊緣缺陷,而非接合製程之基本要求。在最佳接合下,兩個清潔玻璃表面可產生約150mJ/m2之接合能量。需要一些共價鍵結來達成250-275mJ/m2接合強度。 The inventors have discovered that the use of a hydrocarbon polymer or, more typically, a carbonaceous layer would be available to etch the glass, with little or no fluorine. However, several key challenges must be overcome. For the carbonaceous layer to be joined to the glass, the surface energy of the carbonaceous layer should be greater than about 50 mJ/m 2 . In order to provide a bond that is strong enough to be preserved in a wet process without liquid immersion between the sheet and the carrier, in some cases, the carbonaceous surface modifying layer should have a surface energy of 65 mJ/m2 or higher. At 65 mJ/m2, the surface energy of the carrier (for bonding to a thin glass sheet) is sufficient to prevent liquid (eg, water) from penetrating between the carrier and the sheet during subsequent processing. With a surface energy of about 50 mJ/m2, bonding to a thin glass sheet may be sufficient for most FPD treatments, but heat treatment may be required to prevent liquid infiltration. Specifically, the polar component of the hydrocarbon layer must be increased in order to achieve a strong dipole-dipole junction directly mediated by the sterol group of the thin glass sheet or by hydrogen bonding molecule water. The carbonaceous layer should also exhibit thermal, chemical, and vacuum compatibility so that it will be suitable for use in a carrier-sheet article that will undergo at least an amorphous silicon (aSi) TFT, a color filter (CF), or Capacitive touch device manufacturing process. This seems to be possible because aliphatic hydrocarbons such as polyethylene exhibit great thermal stability in an inert atmosphere. Unlike fluoropolymers which can be depolymerized in some cases, HDPE is only charred. Although HDPE can be charred, if the thickness of the polymer is sufficiently low, it can still be viewed through it. The last concern is that mechanical stability and wet process compatibility seem to require a higher adhesion than can be achieved with a single van der Waals force. It will be appreciated that a bonding energy of from about 250 mJ/m2 to about 275 mJ/m2 is beneficial in the case of using glass flakes for preservation in wet ultrasonic processing. This large bonding energy can be attributed to particle and edge defects, rather than the basic requirements of the bonding process. Under optimal bonding, the two clean glass surfaces can produce an engagement energy of about 150 mJ/m2. Some covalent bonding is required to achieve a bond strength of 250-275 mJ/m2.

表6-12之實例中探查的表面改質層為基於不含氟下源材料之有機表面改質層。如將在以下更詳細地描述,可在玻璃載具上產生非晶形烴層(或僅僅為碳質層)(表6),但表面能量未對清潔玻璃表面產生用以在FPD製程中保全的足夠黏著。此並不意外,因為基於甲烷及氫之有機表面改質層不含強極性基團。為增加可利用於接合至薄玻璃片之極性基團,在電漿聚合期間增加額外氣體,且可達成足夠的表面能量(表7)。然而,儘管在一些狀況下可達成足夠的表面能量,但此單步製程涉及在獲得源材料之適當混合物方面的一定量之複雜性。因此,開發兩步製程,其中:在第一步驟中,形成表面改質層(例如,類似於表6之實例中進行此步驟之方式,自兩種氣體形成);然後,在第二步驟中,以各種方式處理表面改質層來增加可利用於接合至薄玻璃片之表面能量及極性基團。儘管更多步驟,但此製程對管理來獲得合乎需要結果而言較不複雜。處理增加將接合至薄片之表面改質層之表面處的極性基團。因此,極性基團可利用於將碳質層接合至薄片,儘管表面改質層之本體可在一些情況下不含有極性基團。處 理初始表面改質層之各種方式在表8-12之實例中探查,其中:在表8之實例中,用NH3處理表面改質層;在表9之實例中,用N2處理表面改質層;在表10之實例中,順序地用N2隨後H2處理表面改質層;表11之實例,順序地用N2-O2且隨後用N2處理表面改質層;在表12之實例中,用N2-O2處理表面改質層;以及在表12之後的替代實例中,單獨用O2處理表面改質層。此等實例展示氮及氧極性基團之使用,但其他極性基團可為可能的。 The surface modifying layer probed in the examples of Tables 6-12 is an organic surface modifying layer based on a fluorine-free underlying material. As will be described in more detail below, an amorphous hydrocarbon layer (or just a carbonaceous layer) can be produced on the glass carrier (Table 6), but the surface energy is not generated on the clean glass surface for preservation in the FPD process. Adhesive enough. This is not surprising since the organic surface modifying layer based on methane and hydrogen does not contain strong polar groups. To increase the polar groups available for bonding to thin glass sheets, additional gas is added during plasma polymerization and sufficient surface energy is achieved (Table 7). However, while sufficient surface energy can be achieved under some conditions, this one-step process involves a certain amount of complexity in obtaining an appropriate mixture of source materials. Therefore, a two-step process is developed in which: in the first step, a surface modifying layer is formed (for example, similar to the manner in which the step is performed in the example of Table 6, formed from two gases); then, in the second step The surface modifying layer is treated in a variety of ways to increase the surface energy and polar groups available for bonding to the thin glass sheet. Despite the more steps, this process is less complicated for management to achieve desirable results. The treatment increases the polar groups that will bond to the surface of the surface modifying layer of the sheet. Thus, polar groups can be utilized to bond the carbonaceous layer to the flakes, although the bulk of the surface modifying layer can in some cases not contain polar groups. At Various ways of modifying the initial surface modifying layer are explored in the examples of Tables 8-12, wherein: in the example of Table 8, the surface modifying layer is treated with NH3; in the example of Table 9, the surface modifying layer is treated with N2. In the example of Table 10, the surface modifying layer was treated sequentially with N2 followed by H2; in the example of Table 11, the surface modifying layer was treated sequentially with N2-O2 and then with N2; in the example of Table 12, N2 was used. -O2 treatment of the surface modifying layer; and in an alternative example after Table 12, the surface modifying layer was treated with O2 alone. These examples show the use of nitrogen and oxygen polar groups, although other polar groups may be possible.

利用烴(例如,甲烷CH4)及視需要氫(例如,H2)形成碳質表面改質層Forming a carbonaceous surface modifying layer using a hydrocarbon (eg, methane CH4) and optionally hydrogen (eg, H2)

使用電漿聚合膜來調節接合表面之表面能量且覆蓋接合表面上之表面羥基之另一實例為表面改質層薄膜自含碳氣體(例如,烴氣體,例如甲烷)之沉積,在電漿聚合期間視需要連同另一氣體(例如,氫H2)一起使用。然而,在大多數狀況下,氫氣流為較佳的,因為在其他情況下,沉積材料趨向於為石墨的、暗色的且具有低的能帶隙。此點在表6-12及16之全部碳質表面改質層實例中為相同的。表面改質層可在大氣壓或減壓中形成,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於載具、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制表面改質層膜厚度、密度及化學性質,以特製用於所要應用之官能基,且藉由控制膜性質,接合表面之表面能 量可獲調節。表面能量可經調節以便控制接合之程度,亦即,以便在執行來將膜或結構安置於薄片上之後續處理期間,阻止薄片與載具之間的永久共價鍵結。 Another example of using a plasma polymer film to adjust the surface energy of the bonding surface and covering the surface hydroxyl groups on the bonding surface is the deposition of a surface modifying layer film from a carbonaceous gas (eg, a hydrocarbon gas such as methane) in plasma polymerization. Use with another gas (eg, hydrogen H2) as needed. However, in most cases, hydrogen flow is preferred because in other cases, the deposited material tends to be graphite, dark, and has a low energy band gap. This point is the same in all of the carbonaceous surface modifying layers of Tables 6-12 and 16. The surface modifying layer can be formed at atmospheric or reduced pressure and is performed using plasma excitation, such as DC or RF parallel plates, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream microwaves, or RF plasma. The plasma polymerized surface modifying layer can be disposed on a carrier, a sheet, or both. As indicated above in connection with the examples of Table 3, plasma polymerization produces a layer of highly crosslinked material. Control of the reaction conditions and source gases can be used to control the thickness, density and chemical properties of the surface modifying layer, tailored to the functional groups to be applied, and by controlling the properties of the film, the surface energy of the bonding surface The amount can be adjusted. The surface energy can be adjusted to control the extent of the joint, i.e., to prevent permanent covalent bonding between the sheet and the carrier during subsequent processing to effect placement of the film or structure on the sheet.

在以下表6之實例中,各種條件用於將電漿聚合膜沉積於玻璃載具上。在表6之實例中探查的沉積參數為:氣體比(甲烷:氫);壓力、ICP線圈及RF偏置功率。玻璃載具係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在膜沉積之前,使用SC1及/或SC2化學方法及標準清潔技術來清潔載具。在Oxford Plasmalab 380 ICP(可購自Oxford Instruments,Oxfordshire UK)感應耦合電漿(ICP)工具中沉積膜,其中載具置放於平台上,將指定瓦特數(在「RF偏置」欄中指出)之13.56MHz RF能量施加於該平台,該平台上方安置有線圈,將指定瓦特數(在「線圈」欄中指出)之13.5MHz RF能量施加於該線圈。甲烷源(CH4)及氫源(H2)進入腔室中之流率分別如CH4及H2欄所示(流率係計為每分鐘之標準立方公分數--sccm)。使CH4及H2氣體一起流動。亦在「H2/CH4」欄中展示H2:CH4源氣體之比率,且在「壓力」欄中展示腔室之壓力(以mTorr計)。因此,例如,表6中對實例6a之記法解讀如下:在Oxford ICP設備中,使6.7sccm之CH4及33.3sccm之H2一起流入具有20mTorr之壓力的腔室中;將1500W之13.5MHz RF能量施加於線圈,且將300W之13.56MHz RF能量施加於其上置放有載具之平台。對所有沉積而言,平台溫度為30C。對剩餘實例之記法可以類似方式解讀。表面能量係藉由使用 三種不同試驗液體(在此狀況下為去離子水(欄「W」中所示)、十六烷(欄「H」中所示)及二碘甲烷(欄「DIM」中所示))之接觸角(CA)及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。 In the examples of Table 6 below, various conditions were used to deposit a plasma polymeric film onto a glass carrier. The deposition parameters explored in the examples in Table 6 are: gas ratio (methane: hydrogen); pressure, ICP coil and RF bias power. The glass carrier is a substrate made of Corning® Eagle XG® aluminoborosilicate free alkali metal display glass (available from Corning Incorporated, Corning NY). The vehicle is cleaned using SC1 and/or SC2 chemistry and standard cleaning techniques prior to film deposition. A film was deposited in an Oxford Plasmalab 380 ICP (available from Oxford Instruments, Oxfordshire UK) inductively coupled plasma (ICP) tools, where the carrier was placed on a platform with a specified wattage (in the "RF bias" column) The 13.56 MHz RF energy is applied to the platform, with coils placed above the platform, and 13.5 MHz RF energy of a specified wattage (indicated in the "Coil" column) is applied to the coil. The flow rates of the methane source (CH4) and the hydrogen source (H2) into the chamber are shown in the columns of CH4 and H2, respectively (the flow rate is calculated as the standard cubic centimeter per minute - sccm). The CH4 and H2 gases are allowed to flow together. The ratio of H2:CH4 source gas is also shown in the "H2/CH4" column, and the pressure in the chamber (in mTorr) is shown in the "Pressure" column. Thus, for example, the notation for Example 6a in Table 6 is interpreted as follows: In an Oxford ICP apparatus, 6.7 sccm of CH4 and 33.3 sccm of H2 are flowed together into a chamber having a pressure of 20 mTorr; 1500 W of 13.5 MHz RF energy is applied. The coil is placed and 300 W of 13.56 MHz RF energy is applied to the platform on which the carrier is placed. The platform temperature was 30C for all depositions. The notation of the remaining instances can be interpreted in a similar manner. The surface energy is obtained by using three different test liquids (in this case, deionized water (shown in column "W"), hexadecane (shown in column "H"), and diiodomethane (column "DIM"). The contact angle (CA) and the Wu model shown in )) are calculated in mJ/m 2 (millijoules per square meter). For surface energy, the polar component (P) and the dispersed component (D), as well as the total energy (T), are shown.

實例6a-6j之表面能量自約40mJ/m2改變至約50mJ/m2。然而,基本上,此等實例之表面能量小於約50mJ/m2(視為適合於可控制地將玻璃載具接合至玻璃薄片)。表面改質層之厚度為約6nm。此等實例在載具與薄玻璃片之間不產生在FPD製程中保全之足夠黏著,亦即,在真空測試期間觀察到該載具及該薄玻璃片起泡,且在濕式製程測試期間觀察到具有熱水滲浸。 The surface energies of Examples 6a-6j varied from about 40 mJ/m 2 to about 50 mJ/m 2 . Basically, however, the surface energies of these examples are less than about 50 mJ/m 2 (considered to be suitable for controllably bonding glass carriers to glass flakes). The thickness of the surface modifying layer is about 6 nm. These examples do not result in sufficient adhesion between the carrier and the thin glass sheet to be preserved in the FPD process, that is, the carrier and the thin glass sheet are observed to foam during the vacuum test and during the wet process test. It was observed to have hot water infiltration.

儘管此等表面改質層自身不適用於接合至薄玻璃片,但其可用於其他應用,例如,將聚合物薄片施加至玻璃載具,以用於將電子或其他結構製程至薄聚合物片材上,如以下論述。替代地,薄片可為具有聚合物表面之複合物片材,該聚合物表面可接合至玻璃載具。在此情況下,複合物片材 可包括玻璃層,該玻璃層上可安置電子或其他結構,而聚合物部分形成用於與玻璃載具之受控接合的接合表面。 Although such surface modifying layers are not themselves suitable for bonding to thin glass sheets, they can be used in other applications, such as applying polymer sheets to glass carriers for processing electronic or other structures to thin polymer sheets. On the material, as discussed below. Alternatively, the sheet can be a composite sheet having a polymeric surface that can be bonded to a glass carrier. In this case, the composite sheet A layer of glass may be included on which electrons or other structures may be disposed, while the polymeric portion forms an engaging surface for controlled engagement with the glass carrier.

在表6之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。 In the example of Table 6, although the joint surface on which the surface modifying layer is disposed is glass, it is not necessary to be in this case. Alternatively, the bonding surface can be another suitable material having surface energy and properties similar to glass, such as germanium, polycrystalline germanium, single crystal germanium, ceramic, glass-ceramic, sapphire or quartz.

利用非氟化源之混合物的表面改質層之單步形成Single step formation of a surface modifying layer using a mixture of non-fluorinated sources

使用電漿聚合膜來調節接合表面之表面能量且覆蓋接合表面上之表面羥基之另一實例為表面改質層薄膜自非氟化氣源(包括含碳氣體,例如烴)之混合物之沉積。表面改質層之沉積可在大氣壓或減壓中發生,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於載具、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制表面改質層膜厚度、密度及化學性質,以特製用於所要應用之官能基,且藉由控制膜性質,接合表面之表面能量可獲調節。表面能量可經調節以便控制接合之程度,亦即,以便在執行來將膜或結構安置於薄片上之後續處理期間,阻止薄片與載具之間的永久共價鍵結。 Another example of using a plasma polymeric film to adjust the surface energy of the bonding surface and covering the surface hydroxyl groups on the bonding surface is the deposition of a surface modifying layer film from a mixture of non-fluorinated gas sources, including carbonaceous gases, such as hydrocarbons. Deposition of the surface modifying layer can occur at atmospheric or reduced pressure and is performed using plasma excitation, such as DC or RF parallel plates, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream Microwave or RF plasma. The plasma polymerized surface modifying layer can be disposed on a carrier, a sheet, or both. As indicated above in connection with the examples of Table 3, plasma polymerization produces a layer of highly crosslinked material. Control of the reaction conditions and source gases can be used to control the thickness, density, and chemistry of the surface modifying layer to tailor the functional groups for the desired application, and by controlling the properties of the film, the surface energy of the bonding surface can be adjusted. The surface energy can be adjusted to control the extent of the joint, i.e., to prevent permanent covalent bonding between the sheet and the carrier during subsequent processing to effect placement of the film or structure on the sheet.

在以下表7之實例中,各種條件用於將電漿聚合膜沉積於玻璃載具上。玻璃載具係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在膜沉積之前,使用SC1及/或SC2 化學方法及標準清潔技術來清潔載具。在Oxford Plasmalab 380 ICP(可購自Oxford Instruments,Oxfordshire UK)感應耦合電漿(ICP)組態模式中沉積膜,其中載具置放於平台上,將指定瓦特數(在「RF偏置」欄中指出)之13.56MHz RF能量施加於該平台,該平台上方安置有線圈,將指定瓦特數(在「線圈」欄中指出)之13.5MHz RF能量施加於該線圈。甲烷(CH4)、氮(N2)及氫(H2)源氣體進入腔室中之流率分別如CH4、N2及H2欄所示(流率係計為每分鐘之標準立方公分數--sccm)。使CH4、N2及H2氣體一起流動。亦在「N2/CH4」欄中展示N2:CH4源氣體之比率,且在「壓力」欄中展示腔室之壓力(以mTorr計)。因此,例如,表7中對實例7g之記法解讀如下:在Oxford 380 ICP設備中,使15.4sccm之CH4、3.8sccm之N2及30.8sccm之H2一起流入具有5mTorr之壓力的腔室中;將1500W之13.5MHz RF能量施加於噴淋頭;且將50W之13.56MHz RF能量施加於其上置放有載具之平台。對表7中之所有樣本而言,平台溫度為30C。對剩餘實例之記法可以類似方式解讀。表面能量係藉由使用三種不同試驗液體(在此狀況下為去離子水(欄「W」中所示)、十六烷(欄「H」中所示)及二碘甲烷(欄「DIM」中所示))之接觸角(CA)及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。另外,「厚度」欄中展示根據對彼特定實例所指出之條件沉積的表面改質層之厚度值(以埃計)。 In the examples of Table 7 below, various conditions were used to deposit a plasma polymeric film onto a glass carrier. The glass carrier is a substrate made of Corning® Eagle XG® aluminoborosilicate free alkali metal display glass (available from Corning Incorporated, Corning NY). The vehicle is cleaned using SC1 and/or SC2 chemistry and standard cleaning techniques prior to film deposition. Deposited in an Inductively Coupled Plasma (ICP) configuration mode on an Oxford Plasmalab 380 ICP (available from Oxford Instruments, Oxfordshire UK) where the carrier is placed on the platform and the wattage is specified (in the RF Offset column) The 13.56 MHz RF energy indicated in the ) is applied to the platform, with coils placed above the platform, and 13.5 MHz RF energy of a specified wattage (indicated in the "Coil" column) is applied to the coil. The flow rates of methane (CH4), nitrogen (N2) and hydrogen (H2) source gases into the chamber are shown in columns CH4, N2 and H2, respectively (flow rate is the standard cubic centimeter per minute - sccm) . The CH4, N2 and H2 gases are allowed to flow together. The ratio of N2:CH4 source gas is also shown in the "N2/CH4" column, and the pressure in the chamber (in mTorr) is shown in the "Pressure" column. Thus, for example, the notation for Example 7g in Table 7 is interpreted as follows: In an Oxford 380 ICP apparatus, 15.4 sccm of CH4, 3.8 sccm of N2, and 30.8 sccm of H2 were flowed together into a chamber having a pressure of 5 mTorr; 13.5 MHz RF energy is applied to the showerhead; and 50 W of 13.56 MHz RF energy is applied to the platform on which the carrier is placed. For all of the samples in Table 7, the platform temperature was 30C. The notation of the remaining instances can be interpreted in a similar manner. The surface energy is obtained by using three different test liquids (in this case, deionized water (shown in column "W"), hexadecane (shown in column "H"), and diiodomethane (column "DIM"). The contact angle (CA) and the Wu model shown in )) are calculated in mJ/m 2 (millijoules per square meter). For surface energy, the polar component (P) and the dispersed component (D), as well as the total energy (T), are shown. In addition, the "Thickness" column shows the thickness value (in angstroms) of the surface modifying layer deposited according to the conditions indicated for the particular example.

實例7a展示孤獨自甲烷製成的表面改質層。在此等沉積條件下,甲烷形成的表面改質層於載具上達成僅約44mJ/m2之表面能量。儘管其不處於用於玻璃至玻璃受控接合之所要水準,但其可適用於將聚合物接合表面接合至玻璃載具。 Example 7a shows a surface modifying layer made of methane alone. Under these deposition conditions, the surface modifying layer formed by methane achieves a surface energy of only about 44 mJ/m 2 on the carrier. Although it is not at the level required for glass to glass controlled bonding, it can be adapted to bond a polymeric bonding surface to a glass carrier.

實例7b至7e展示在N2:CH4之各種比率下、自甲烷及氮之電漿聚合製成的表面改質層。在此等沉積條件下,甲烷-氮形成的表面改質層於載具上達成約61mJ/m2(實例7e)至約64mJ/m2(實例7d)之表面能量。此等表面能量足以將薄玻璃片可控制地接合至玻璃載具。 Examples 7b through 7e show surface modifying layers made from plasma polymerization of methane and nitrogen at various ratios of N2:CH4. Under these deposition conditions, the methane-nitrogen formed surface modifying layer achieved a surface energy of about 61 mJ/m 2 (Example 7e) to about 64 mJ/m 2 (Example 7d) on the carrier. These surface energies are sufficient to controllably bond the thin glass sheet to the glass carrier.

實例7f展示自甲烷及氫(H2)之電漿聚合製成的表面改質層。在此等沉積條件下,甲烷-氫形成的表面改質層於載 具上達成約60mJ/m2之表面能量,該表面能量足以將薄玻璃片可控制地接合至玻璃載具。 Example 7f shows a surface modifying layer made from a plasma polymerization of methane and hydrogen (H2). Under these deposition conditions, the methane-hydrogen formed surface modifying layer achieves a surface energy of about 60 mJ/m 2 on the carrier that is sufficient to controllably bond the thin glass sheet to the glass carrier.

實例7g至7j展示自甲烷、氮及氫之電漿聚合製成的表面改質層。在此等沉積條件下,甲烷-氮-氫形成的表面改質層於載具上達成約58mJ/m2(實例7g)至約67mJ/m2(實例7j)之表面能量,該表面能量足以將薄玻璃片可控制地接合至玻璃載具。 Examples 7g through 7j show surface modifying layers made from plasma polymerization of methane, nitrogen and hydrogen. Under these deposition conditions, the methane-nitrogen-hydrogen formed surface modifying layer achieves a surface energy of about 58 mJ/m 2 (Example 7 g) to about 67 mJ/m 2 (Example 7j) on the carrier, which surface energy is sufficient A thin glass sheet is controllably bonded to the glass carrier.

觀察到接合有用根據實例7b至7j形成的表面改質層之薄玻璃片及載具在450℃下之退火之後不永久黏附,亦即,其順利完成400℃溫度測試之部分(c)。脫接合允許薄玻璃上製作的裝置之移除,及載具之重複使用。 It was observed that the thin glass sheets and the carrier having the surface modifying layer formed according to Examples 7b to 7j were not permanently adhered after annealing at 450 ° C, that is, they successfully completed the portion (c) of the 400 ° C temperature test. Debonding allows removal of the device fabricated on the thin glass and reuse of the carrier.

根據表7之實例(7b至7j)接合至載具中每一者之薄玻璃片為由Corning® Willow®玻璃製成之基板,該玻璃為鋁硼矽酸鹽不含鹼金屬玻璃(可購自Corning Incorporated,Corning NY)且具有100、130及150微米之厚度。在接合之前,使用氧電漿接著SC1及/或SC2化學方法及標準清潔技術來清潔Willow®玻璃。 The thin glass sheets bonded to each of the carriers according to the examples (7b to 7j) of Table 7 are substrates made of Corning® Willow® glass, which is an aluminoborosilicate-free alkali-free glass (available) From Corning Incorporated, Corning NY) and having a thickness of 100, 130 and 150 microns. Prior to bonding, the Willow® glass is cleaned using oxygen plasma followed by SC1 and/or SC2 chemistry and standard cleaning techniques.

在表7之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。 In the example of Table 7, although the joint surface on which the surface modifying layer is disposed is glass, it is not necessary to be in this case. Alternatively, the bonding surface can be another suitable material having surface energy and properties similar to glass, such as germanium, polycrystalline germanium, single crystal germanium, ceramic, glass-ceramic, sapphire or quartz.

表7之實例之表面改質層在單步製程中形成。亦即,適當表面能量及極性基團包括係藉由在適當條件自氣體之選擇混合物沉積表面改質層而達成。儘管達成適當氣體及 條件,但製程涉及實現適當氣體混合物方面的一定量之複雜性。因此,欲尋找較簡單製程。假設適當表面能量及適當極性基團可自兩步製程達成,其中每一步驟將為簡單及穩定的。確切言之,假設在第一步驟中,將沉積碳質表面改質層,而在第二步驟中,表面改質層將經處理以增加表面能量且產生用於受控接合之適當極性基團,其中極性基團可更集中於將與薄片接合之表面改質層之表面處,而不使極性基團處於本體材料中。根據表6之實例,獲知壓力及線圈功率對表面能量具有最大影響。此外,獲知膜之厚度呈現為隨偏置增加及壓力減小而增加。因此,根據此等結果,對用以增加表面能量且併入極性基團之處理之進一步探查而言,選擇為起始點的是:20sccm CH4 40sccm H2 5mT 1500/50W 60s之非晶形烴聚合物表面改質層沉積製程,該製程產生厚度為約6.5nm之碳質表面改質層。對基礎表面改質層而言,在第二步驟中執行各種處理,如表8-11之實例中所列明,以便改質欲接合薄片之表面改質層之表面處的極性基團及其濃度。儘管用於表面改質層之起始材料及處理材料之特定實例在以下論述,通常,碳質層由含碳源形成,且隨後藉由後續處理增加極性基團。類似地,儘管經由實例展示特定極性基團,其他極性基團可為可能的。 The surface modifying layer of the example of Table 7 was formed in a single step process. That is, proper surface energy and polar groups are achieved by depositing a surface modifying layer from a selected mixture of gases under appropriate conditions. Despite the appropriate gas and Conditions, but the process involves a certain amount of complexity in achieving the proper gas mixture. Therefore, looking for a simpler process. Assuming proper surface energy and appropriate polar groups can be achieved in a two-step process, each step will be simple and stable. Specifically, it is assumed that in the first step, the carbonaceous surface modifying layer will be deposited, and in the second step, the surface modifying layer will be treated to increase the surface energy and produce the appropriate polar groups for controlled bonding. Where the polar group may be more concentrated at the surface of the surface modifying layer to be bonded to the sheet without the polar groups being in the bulk material. According to the example of Table 6, it is known that the pressure and coil power have the greatest influence on the surface energy. In addition, it is known that the thickness of the film appears to increase as the bias increases and the pressure decreases. Therefore, based on these results, for further exploration of the process for increasing surface energy and incorporating polar groups, the starting point is: 20sccm CH4 40sccm H2 5mT 1500/50W 60s amorphous hydrocarbon polymer A surface modification layer deposition process that produces a carbonaceous surface modifying layer having a thickness of about 6.5 nm. For the base surface modifying layer, various treatments are performed in the second step, as set forth in the examples of Tables 8-11, in order to modify the polar groups at the surface of the surface modifying layer of the sheet to be bonded and concentration. Although specific examples of starting materials and processing materials for the surface modifying layer are discussed below, typically, the carbonaceous layer is formed from a carbonaceous source and the polar groups are subsequently added by subsequent processing. Similarly, although specific polar groups are shown by way of example, other polar groups may be possible.

極性基團藉由NH3處理引入至碳質表面改質層Polar groups are introduced into the carbonaceous surface modifying layer by NH3 treatment

使用電漿聚合膜來調節接合表面之表面能量且於該接合表面上產生替代極性接合位點之另一實例為薄表面改質層膜自碳源(例如,甲烷(含碳氣源))及自氫H2之沉積,接著 剛剛形成的表面改質層之氮處理。氮處理可利用例如氨電漿處理來執行。表面改質層之沉積可在大氣壓或減壓中發生,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於載具、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制膜厚度、密度及化學性質,以特製用於所要應用之官能基,且藉由控制膜性質,接合表面之表面能量可獲調節。在後續氨電漿處理期間形成的基於氮之極性基團不與矽醇基縮合以引起永久共價鍵結,且因此能夠在執行來將膜或結構安置於薄片上之後續處理期間,控制薄片與載具之間的接合程度。 Another example of using a plasma polymeric film to adjust the surface energy of the bonding surface and creating a surrogate polar bonding site on the bonding surface is a thin surface modifying layer film from a carbon source (eg, methane (carbonaceous gas source)) and Deposition from hydrogen H2, followed by Nitrogen treatment of the surface reforming layer that has just been formed. Nitrogen treatment can be performed using, for example, ammonia plasma treatment. Deposition of the surface modifying layer can occur at atmospheric or reduced pressure and is performed using plasma excitation, such as DC or RF parallel plates, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream Microwave or RF plasma. The plasma polymerized surface modifying layer can be disposed on a carrier, a sheet, or both. As indicated above in connection with the examples of Table 3, plasma polymerization produces a layer of highly crosslinked material. Control of the reaction conditions and source gases can be used to control film thickness, density, and chemistry to tailor the functional groups to be used, and by controlling the properties of the film, the surface energy of the bonding surface can be adjusted. The nitrogen-based polar group formed during subsequent ammonia plasma treatment does not condense with the sterol group to cause permanent covalent bonding, and thus can be controlled during subsequent processing to place the film or structure on the sheet. The degree of engagement with the carrier.

在以下表8之實例中,各種條件用於將電漿聚合表面改質層膜沉積於玻璃載具上。玻璃載具係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在膜沉積之前,使用SC1及/或SC2化學方法及標準清潔技術來清潔載具。在Oxford Plasmalab 380 ICP(可購自Oxford Instruments,Oxfordshire UK)中以感應耦合電漿(ICP)組態模式沉積表面處理,其中載具置放於平台上,將指定瓦特數之13.56MHz RF能量施加於該平台,該平台上方安置有線圈,將指定瓦特數之13.5MHz RF能量施加於該線圈。所對施加能量而言,更通常,將數值展示為#/#W,其中斜線之前的數值為施加於線圈(噴淋頭)之瓦特數,且斜線之後的數值為施加於平台之瓦特 數。在僅展示一個數值的情況下,此數值係針對線圈。氣體進入腔室中之流率如表8所示(流率係計為每分鐘之標準立方公分數--sccm)。在表面改質層(SML)之電漿處理期間,腔室之溫度為30℃。因此,例如,表8之「表面處理」欄中對實例8a之記法解讀如下:在Oxford ICP設備中,使40sccm之CH4流入具有5mTorr之壓力的腔室中;將1500W之13.5MHz RF能量施加於噴淋頭;將50W之13.56MHz RF能量施加於其上置放有載具之平台;腔室處於30℃之溫度下;且沉積時間為60秒。表面處理欄中對剩餘實例之記法可以類似方式解讀,例外之處在於表面處理係於STS多工PECVD(可購自SPTS,Newport,UK)中執行。載具置放於保持在200C下之接地電極上,且經由13.56MHz RF驅動噴淋頭引入氣體。另舉一例,在「電漿處理」欄中,對實例8a中之處理之記法解讀如下:在根據實例8a之表面處理欄中之參數形成表面改質層之後,隨即將100sccm之NH3供應至具有1托之壓力及200℃之溫度的腔室;將300W之13.56MHz RF施加於噴淋頭,且進行處理60秒。「電漿處理」欄中對剩餘實例之記法可以類似方式解讀。表面能量係藉由使用三種不同試驗液體(在此狀況下為去離子水、十六烷(H)及二碘甲烷(DIM))之接觸角及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。 In the examples of Table 8 below, various conditions were used to deposit a plasma polymerized surface modifying layer film onto a glass carrier. The glass carrier is a substrate made of Corning® Eagle XG® aluminoborosilicate free alkali metal display glass (available from Corning Incorporated, Corning NY). The vehicle is cleaned using SC1 and/or SC2 chemistry and standard cleaning techniques prior to film deposition. The surface treatment was deposited in an Inductively Coupled Plasma (ICP) configuration mode in an Oxford Plasmalab 380 ICP (available from Oxford Instruments, Oxfordshire UK) with the carrier placed on the platform to apply a specified wattage of 13.56 MHz RF energy. On the platform, a coil is placed over the platform, and a 13.5 MHz RF energy of a specified wattage is applied to the coil. For the application of energy, more generally, the value is shown as #/#W, where the value before the slash is the wattage applied to the coil (spray head), and the value after the slash is the wattage applied to the platform. In the case where only one value is displayed, this value is for the coil. The flow rate of gas into the chamber is shown in Table 8 (flow rate is calculated as the standard cubic centimeter per minute - sccm). During the plasma treatment of the surface modification layer (SML), the temperature of the chamber was 30 °C. Thus, for example, the notation for Example 8a in the "Surface Treatment" column of Table 8 is as follows: In an Oxford ICP device, 40 sccm of CH4 is flowed into a chamber having a pressure of 5 mTorr; 1500 W of 13.5 MHz RF energy is applied to Sprinkler; 50 W of 13.56 MHz RF energy was applied to the platform on which the carrier was placed; the chamber was at a temperature of 30 ° C; and the deposition time was 60 seconds. The notation of the remaining instances in the surface treatment column can be interpreted in a similar manner, with the exception that the surface treatment is performed in STS multiplex PECVD (available from SPTS, Newport, UK). The carrier was placed on a ground electrode maintained at 200 C and the gas was introduced via a 13.56 MHz RF driven showerhead. As another example, in the "plasma processing" column, the notation for the treatment in Example 8a is interpreted as follows: After the surface modifying layer is formed according to the parameters in the surface treatment column of Example 8a, then 100 sccm of NH3 is supplied to have A chamber with a pressure of 1 Torr and a temperature of 200 ° C; a 300 W of 13.56 MHz RF was applied to the shower head and treated for 60 seconds. The notation for the remaining instances in the "plasma processing" column can be interpreted in a similar manner. The surface energy is obtained by using three different test liquids (in this case, deionized water, hexadecane (H) and diiodomethane (DIM)) and the Wu model, in mJ/m 2 (mJ/m 2 ) Square meter) to calculate. For surface energy, the polar component (P) and the dispersed component (D), as well as the total energy (T), are shown.

實例8a及8b展示電漿聚合烴表面改質層,其隨後用含氮氣體(氨)處理。在實例8a的狀況下,氨自身使用300W之功率,而在實例8b中,氨用氦稀釋且在50W之較低功率下進行聚合。然而,在每一狀況下,在載具接合表面上獲得足夠的表面能量,以允許其可控制地接合至薄玻璃片。實例8c及8d展示電漿聚合烴表面改質層,其係藉由含烴氣體(甲烷)及含氫氣體(H2)形成,且隨後用含氮氣體(氨)後續處理。在實例8c的狀況下,氨自身使用300W之功率,而在實例8d中,氨用氦稀釋且在50W之較低功率下進行聚合。觀察到接合有用根據實例8a-8d形成的表面改質層之薄玻璃片及載具在450℃下之退火之後不永久黏附,亦即,其能夠在400℃溫度測試之部分(c)中保全。不對此等樣本執行除氣測試。此外,此等實例足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載具之重複使用。 Examples 8a and 8b show a plasma polymerized hydrocarbon surface upgrading layer which is subsequently treated with a nitrogen containing gas (ammonia). In the case of Example 8a, ammonia itself used 300 W of power, while in Example 8b, ammonia was diluted with hydrazine and polymerized at a lower power of 50 W. However, in each case, sufficient surface energy is obtained on the carrier engagement surface to allow it to controllably bond to the thin glass sheet. Examples 8c and 8d show a plasma polymerized hydrocarbon surface upgrading layer formed by a hydrocarbon-containing gas (methane) and a hydrogen-containing gas (H2), and subsequently treated with a nitrogen-containing gas (ammonia). In the case of Example 8c, ammonia itself used 300 W of power, while in Example 8d, ammonia was diluted with hydrazine and polymerized at a lower power of 50 W. It was observed that the thin glass sheets and the carrier having the surface modifying layer formed according to Examples 8a-8d were not permanently adhered after annealing at 450 ° C, that is, they were able to be preserved in the portion (c) of the 400 ° C temperature test. . Degassing tests are not performed on these samples. Moreover, these examples are strong enough to be preserved in FPD processing (including the vacuum test (1), wet process test (2), and ultrasonic test (5) described above, and remain removed by application of sufficient peel force. Engage. Debonding allows removal of the device fabricated on the thin glass and reuse of the carrier.

根據表8之實例接合至載具中每一者之薄玻璃片為由Corning® Willow®玻璃製成之基板,該玻璃為鋁硼矽酸鹽不含鹼金屬玻璃(可購自Corning Incorporated,Corning NY)且具有100、130及150微米之厚度。在接合之前,使用氧電漿 接著SC1及/或SC2化學方法及標準清潔技術來清潔Willow®玻璃。 The thin glass sheet bonded to each of the carriers according to the example of Table 8 is a substrate made of Corning® Willow® glass, which is an aluminoborosilicate-free alkali metal glass (available from Corning Incorporated, Corning). NY) and has a thickness of 100, 130 and 150 microns. Use oxygen plasma before bonding Next, SC1 and/or SC2 chemistry and standard cleaning techniques are used to clean Willow® glass.

在表8之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。 In the example of Table 8, although the joint surface on which the surface modifying layer is disposed is glass, it is not necessary to be in this case. Alternatively, the bonding surface can be another suitable material having surface energy and properties similar to glass, such as germanium, polycrystalline germanium, single crystal germanium, ceramic, glass-ceramic, sapphire or quartz.

極性基團藉由N2處理引入至碳質表面改質層Polar groups are introduced into the carbonaceous surface modifying layer by N2 treatment

使用電漿聚合膜來調節接合表面之表面能量且於該接合表面上產生替代極性接合位點之另一實例為表面改質層薄膜自碳源(例如,含碳氣體,例如甲烷)及自氫H2之沉積,接著剛剛形成的表面改質層之氮處理。用以在表面改質層上形成基於氮之極性基團之氮處理可藉由利用N2氣體之電漿處理來執行。表面改質層之沉積可在大氣壓或減壓中發生,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於載具、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制表面改質層膜厚度、密度及化學性質,以特製用於所要應用之官能基,且藉由控制膜性質,接合表面之表面能量可獲調節。在後續電漿處理期間形成的基於氮之極性基團不與矽醇基縮合以引起永久共價鍵結,且因此能夠在執行來將膜或結構安置於薄片上之後續處理期間,控制薄片與載具之間的接合程度。 Another example of using a plasma polymeric film to adjust the surface energy of a bonding surface and creating a surrogate polar bonding site on the bonding surface is a surface modifying layer film from a carbon source (eg, a carbon containing gas, such as methane) and from hydrogen. The deposition of H2 followed by the nitrogen treatment of the just-formed surface modifying layer. Nitrogen treatment to form a nitrogen-based polar group on the surface modifying layer can be performed by plasma treatment using N2 gas. Deposition of the surface modifying layer can occur at atmospheric or reduced pressure and is performed using plasma excitation, such as DC or RF parallel plates, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream Microwave or RF plasma. The plasma polymerized surface modifying layer can be disposed on a carrier, a sheet, or both. As indicated above in connection with the examples of Table 3, plasma polymerization produces a layer of highly crosslinked material. Control of the reaction conditions and source gases can be used to control the thickness, density, and chemistry of the surface modifying layer to tailor the functional groups for the desired application, and by controlling the properties of the film, the surface energy of the bonding surface can be adjusted. The nitrogen-based polar groups formed during the subsequent plasma treatment are not condensed with the sterol groups to cause permanent covalent bonding, and thus can be controlled during subsequent processing to place the film or structure on the sheet. The degree of engagement between the carriers.

在以下表9之實例中,各種條件用於對沉積於玻璃載具上之電漿聚合膜氮處理。玻璃載具係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在表面改質層沉積之前,使用SC1及/或SC2化學方法及標準清潔技術清潔載具。在Oxford Plasmalab 380 ICP(可購自Oxford Instruments,Oxfordshire UK)中以感應耦合電漿(ICP)組態模式沉積表面改質層,其中載具置放於平台上,將50W之13.56MHz RF能量施加於該平台,該平台上方安置有線圈,將1500W之13.5MHz RF能量施加於該線圈。使20sccm之甲烷(CH4)及40sccm之氫(H2)流入處於5mTorr之壓力下的腔室中。表面處理時間為60sec,且對表9所列的所有樣本而言,平台溫度為30C。在先前沉積之後,表面改質層用氮處理。確切言之,在處理期間,將指定瓦特數(在「RF偏置」欄中指出)之13.56MHzRF能量施加於平台,該平台上方安置有線圈,將指定瓦特數(在「線圈」欄中指出)之13.5MHz RF能量施加於該線圈。N2以40sccm之速率流入腔室中歷時表中所列的時間(計為秒-s)。因此,例如,表9中對實例9a之氮處理之記法解讀如下:在Oxford ICP設備中,使40sccm之N2流入具有5mTorr之壓力的腔室中;將1500W之13.5MHz RF能量施加於噴淋頭;且將300W之13.56MHz RF能量施加於其上置放有載具之平台,將該平台溫度控制至30C;且處理進行10秒。對剩餘實例之記法可以類似方式解讀。表面能量係藉由使用三種不同試驗液體(在此狀況下為去離子水(欄「W」中所示)、十 六烷(欄「HD」中所示)及二碘甲烷(欄「DIM」中所示))之接觸角(CA)及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。 In the examples of Table 9 below, various conditions were used for nitrogen treatment of the plasma polymeric membrane deposited on a glass carrier. The glass carrier is a substrate made of Corning® Eagle XG® aluminoborosilicate free alkali metal display glass (available from Corning Incorporated, Corning NY). The vehicle is cleaned using SC1 and/or SC2 chemistry and standard cleaning techniques prior to deposition of the surface modifying layer. A surface modification layer was deposited in an Inductively Coupled Plasma (ICP) configuration mode using an Oxford Plasmalab 380 ICP (available from Oxford Instruments, Oxfordshire UK) with the carrier placed on a platform to apply 50 W of 13.56 MHz RF energy. On the platform, a coil is placed over the platform, and 1500 W of 13.5 MHz RF energy is applied to the coil. 20 sccm of methane (CH4) and 40 sccm of hydrogen (H2) were flowed into the chamber at a pressure of 5 mTorr. The surface treatment time was 60 sec, and for all of the samples listed in Table 9, the platform temperature was 30C. After the previous deposition, the surface modifying layer was treated with nitrogen. Specifically, during processing, the 13.56 MHz RF energy of the specified wattage (indicated in the "RF Bias" column) is applied to the platform, with coils placed above the platform, specifying the wattage (in the "Coil" column The 13.5 MHz RF energy is applied to the coil. N2 flows into the chamber at a rate of 40 sccm for the time listed in the time table (measured in seconds-s). Thus, for example, the notation for the nitrogen treatment of Example 9a in Table 9 is interpreted as follows: In an Oxford ICP apparatus, 40 sccm of N2 is flowed into a chamber having a pressure of 5 mTorr; and 1500 W of 13.5 MHz RF energy is applied to the sprinkler. And 300W of 13.56MHz RF energy is applied to the platform on which the carrier is placed, the platform temperature is controlled to 30C; and the process is performed for 10 seconds. The notation of the remaining instances can be interpreted in a similar manner. Surface energy is obtained by using three different test liquids (deionized water in this case (shown in column "W"), ten The contact angle (CA) and the Wu model of hexadecane (shown in column "HD") and diiodomethane (shown in column "DIM") are calculated in mJ/m2 (millijoules per square meter). For surface energy, the polar component (P) and the dispersed component (D), as well as the total energy (T), are shown.

實例9a-9j展示各種條件可用於甲烷/氫形成的表面改質層之氮處理,藉以可獲得各種表面能量,亦即,自約53mJ/m2(實例9i)至約63mJ/m2(實例9b)之表面能量,該等表面能量適合於接合至薄玻璃片。在氮處理之後獲得的此等表面能量自約42mJ/m2(由形成自甲烷-氫電漿聚合之基礎層獲得)增加。觀察到接合有用根據實例9a-9j形成的表面改質層之薄玻璃片及載具在450℃下之退火之後不永久黏附,亦即,其順利完成400℃溫度測試之部分(c)。不對此等樣本執行除氣測試。此外,此等實例足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載具之重複使用。 Examples 9a-9j show various conditions for nitrogen treatment of a surface modification layer of methane/hydrogen formation, whereby various surface energies can be obtained, i.e., from about 53 mJ/m 2 (Example 9i) to about 63 mJ/m 2 (example) The surface energy of 9b), which is suitable for bonding to a thin glass sheet. These surface energies obtained after the nitrogen treatment are increased from about 42 mJ/m 2 (obtained from the base layer formed from the methane-hydrogen plasma polymerization). It was observed that the thin glass sheets and the carrier having the surface modifying layer formed according to Examples 9a-9j were not permanently adhered after annealing at 450 ° C, that is, they successfully completed part (c) of the 400 ° C temperature test. Degassing tests are not performed on these samples. Moreover, these examples are strong enough to be preserved in FPD processing (including the vacuum test (1), wet process test (2), and ultrasonic test (5) described above, and remain removed by application of sufficient peel force. Engage. Debonding allows removal of the device fabricated on the thin glass and reuse of the carrier.

根據表9之實例接合至載具中每一者之薄玻璃片為由Corning® Willow®玻璃製成之基板,該玻璃為鋁硼矽酸鹽不含鹼金屬玻璃(可購自Corning Incorporated,Corning NY)且具有100、130及150微米之厚度。在接合之前,使用氧電漿接著SC1及/或SC2化學方法及標準清潔技術來清潔Willow®玻璃。 The thin glass sheet bonded to each of the carriers according to the example of Table 9 is a substrate made of Corning® Willow® glass, which is an aluminoborosilicate-free alkali metal glass (available from Corning Incorporated, Corning). NY) and has a thickness of 100, 130 and 150 microns. Prior to bonding, the Willow® glass is cleaned using oxygen plasma followed by SC1 and/or SC2 chemistry and standard cleaning techniques.

在表9之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。 In the example of Table 9, although the joint surface on which the surface modifying layer is disposed is glass, it is not necessary to be in this case. Alternatively, the bonding surface can be another suitable material having surface energy and properties similar to glass, such as germanium, polycrystalline germanium, single crystal germanium, ceramic, glass-ceramic, sapphire or quartz.

極性基團藉由順序N2隨後H2處理引入至碳質表面改質層Polar groups are introduced into the carbonaceous surface modifying layer by sequential N2 followed by H2 treatment

使用電漿聚合膜來調節接合表面之表面能量且於該接合表面上產生替代極性接合位點之另一實例為表面改質層薄膜自碳源(例如,甲烷(含碳氣體))及自氫H2之沉積,接著剛剛形成的表面改質層之順序氮隨後氫處理。表面改質層之沉積可在大氣壓或減壓中發生,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於載具、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制表面改質層膜厚度、密度及化學性質,以特製用於所要應用之官能基,且藉由控制膜性質,接合表面之表面能量可獲調節。在後續電漿處理期間形成的基於氮之極性 基團不與矽醇基縮合以引起永久共價鍵結,且因此能夠在執行來將膜或結構安置於薄片上之後續處理期間,控制薄片與載具之間的接合程度。 Another example of using a plasma polymer film to adjust the surface energy of the bonding surface and creating alternative polar bonding sites on the bonding surface is a surface modifying layer film from a carbon source (eg, methane (carbon containing gas)) and from hydrogen. The deposition of H2 followed by the sequential formation of the surface modifying layer of nitrogen followed by hydrogen treatment. Deposition of the surface modifying layer can occur at atmospheric or reduced pressure and is performed using plasma excitation, such as DC or RF parallel plates, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream Microwave or RF plasma. The plasma polymerized surface modifying layer can be disposed on a carrier, a sheet, or both. As indicated above in connection with the examples of Table 3, plasma polymerization produces a layer of highly crosslinked material. Control of the reaction conditions and source gases can be used to control the thickness, density, and chemistry of the surface modifying layer to tailor the functional groups for the desired application, and by controlling the properties of the film, the surface energy of the bonding surface can be adjusted. Nitrogen-based polarity formed during subsequent plasma processing The group does not condense with the sterol group to cause permanent covalent bonding, and thus the degree of bonding between the sheet and the carrier can be controlled during subsequent processing to effect placement of the film or structure on the sheet.

在以下表10之實例中,各種條件用於對沉積於玻璃載具上之電漿聚合膜處理(利用氮及隨後順序地利用氫)。玻璃載具係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在膜沉積之前,使用SC1及/或SC2化學方法及標準清潔技術來清潔載具。在Oxford Plasmalab 380 ICP(可購自Oxford Instruments,Oxfordshire UK)中以感應耦合電漿(ICP)組態模式沉積膜,其中載具置放於平台上,將50W之13.56MHz RF能量施加於該平台,該平台上方安置有線圈,將1500W之13.5MHz RF能量施加於該線圈。使20sccm之甲烷(CH4)及40sccm之氫(H2)流入處於5mTorr之壓力下的腔室中。表面處理時間為60sec,且對表9所列的所有樣本而言,平台溫度為30C。在先前沉積之後,表面改質層順序地用氮且隨後用氫處理。確切言之,在每一狀況下,對氮處理而言:使40sccm之N2流入腔室中,將1500W之13.5MHz RF能量施加於該腔室;該腔室處於5mTorr之壓力下;將50W之13.56MHz RF能量施加於平台;且處理進行60秒。隨後,在氫處理期間,將指定瓦特數(在表10之「RF」欄中指出)之13.56MHz RF能量施加於平台,該平台上方安置有線圈,將指定瓦特數(在「線圈」欄中指出)之13.5MHz RF能量施加於該線圈。H2以40sccm之速率流入腔室中歷時表中所列的時間(計為秒 -s)。因此,例如,表10中對實例10a之氫處理(在薄膜沉積及如上所述的其N2處理之後進行)之記法解讀如下:在Oxford ICP設備中,使40sccm之H2流入具有20mTorr之壓力的腔室中;將750W之13.5MHz RF能量施加於噴淋頭;且將50W之13.56MHz RF能量施加於其上置放有載具之平台;且處理進行15秒。對剩餘實例之記法可以類似方式解讀。表面能量係藉由使用三種不同試驗液體(在此狀況下為去離子水(欄「W」中所示)、十六烷(欄「H」中所示)及二碘甲烷(欄「DIM」中所示))之接觸角(CA)及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。 In the examples of Table 10 below, various conditions were used to treat the plasma polymeric film deposited on the glass carrier (using nitrogen and subsequently sequentially utilizing hydrogen). The glass carrier is a substrate made of Corning® Eagle XG® aluminoborosilicate free alkali metal display glass (available from Corning Incorporated, Corning NY). The vehicle is cleaned using SC1 and/or SC2 chemistry and standard cleaning techniques prior to film deposition. Films were deposited in an Inductively Coupled Plasma (ICP) configuration mode in an Oxford Plasmalab 380 ICP (available from Oxford Instruments, Oxfordshire UK) with the carrier placed on a platform to apply 50 W of 13.56 MHz RF energy to the platform. A coil is placed above the platform to apply 1500 W of 13.5 MHz RF energy to the coil. 20 sccm of methane (CH4) and 40 sccm of hydrogen (H2) were flowed into the chamber at a pressure of 5 mTorr. The surface treatment time was 60 sec, and for all of the samples listed in Table 9, the platform temperature was 30C. After the previous deposition, the surface modifying layer was sequentially treated with nitrogen and then treated with hydrogen. Specifically, in each case, for nitrogen treatment: 40 cm of N2 was flowed into the chamber, and 1500 W of 13.5 MHz RF energy was applied to the chamber; the chamber was at a pressure of 5 mTorr; 13.56 MHz RF energy is applied to the platform; and processing is performed for 60 seconds. Subsequently, during the hydrogen treatment, the 13.56 MHz RF energy of the specified wattage (indicated in the "RF" column of Table 10) is applied to the platform, with a coil placed above the platform, which will specify the wattage (in the "Coil" column It is pointed out that the 13.5 MHz RF energy is applied to the coil. H2 flows into the chamber at a rate of 40 sccm for the time listed in the chronograph (in seconds) -s). Thus, for example, the notation for the hydrogen treatment of Example 10a (after film deposition and its N2 treatment as described above) in Table 10 is interpreted as follows: In an Oxford ICP apparatus, 40 sccm of H2 is flowed into a chamber having a pressure of 20 mTorr. In the chamber; 750 W of 13.5 MHz RF energy was applied to the showerhead; and 50 W of 13.56 MHz RF energy was applied to the platform on which the carrier was placed; and the process was performed for 15 seconds. The notation of the remaining instances can be interpreted in a similar manner. The surface energy is obtained by using three different test liquids (in this case, deionized water (shown in column "W"), hexadecane (shown in column "H"), and diiodomethane (column "DIM"). The contact angle (CA) and the Wu model shown in )) are calculated in mJ/m2 (millijoules per square meter). For surface energy, the polar component (P) and the dispersed component (D), as well as the total energy (T), are shown.

甲烷-氫形成的電漿聚合表面改質層之順序N2且隨後H2電漿處理可在各種條件下進行,以達成各種表面能量。如自表10所見,表面能量自約60mJ/m2(實例10d)改變至約 64mJ/m2(實例10a、10n、10o及10p),該等表面能量適合於接合至薄玻璃片。觀察到接合有用根據實例10a-10p形成的表面改質層之薄玻璃片及載具在450℃下之退火之後不永久黏附,亦即,其能夠順利完成400℃處理測試之部分(c)。此外,此等實例足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載具之重複使用。 The sequence of the plasma-polymerized surface modifying layer formed by methane-hydrogen N2 and subsequent H2 plasma treatment can be carried out under various conditions to achieve various surface energies. As seen from Table 10, the surface energy was varied from about 60 mJ/m 2 (Example 10d) to about 64 mJ/m 2 (Examples 10a, 10n, 10o, and 10p), which were suitable for bonding to thin glass sheets. It was observed that the thin glass sheets and the carrier having the surface modifying layer formed according to Examples 10a-10p were not permanently adhered after annealing at 450 ° C, that is, they were able to successfully complete part (c) of the 400 ° C treatment test. Moreover, these examples are strong enough to be preserved in FPD processing (including the vacuum test (1), wet process test (2), and ultrasonic test (5) described above, and remain removed by application of sufficient peel force. Engage. Debonding allows removal of the device fabricated on the thin glass and reuse of the carrier.

根據表10之實例接合至載具中每一者之薄玻璃片為由Corning® Willow®玻璃製成之基板,該玻璃為鋁硼矽酸鹽不含鹼金屬玻璃(可購自Corning Incorporated,Corning NY)且具有100、130及150微米之厚度。在接合之前,使用氧電漿接著SC1及/或SC2化學方法及標準清潔技術來清潔Willow®玻璃。 The thin glass sheet bonded to each of the carriers according to the example of Table 10 is a substrate made of Corning® Willow® glass, which is an aluminoborosilicate-free alkali metal glass (available from Corning Incorporated, Corning). NY) and has a thickness of 100, 130 and 150 microns. Prior to bonding, the Willow® glass is cleaned using oxygen plasma followed by SC1 and/or SC2 chemistry and standard cleaning techniques.

在表10之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。 In the example of Table 10, although the joint surface on which the surface modifying layer is disposed is glass, it is not necessary to be in this case. Alternatively, the bonding surface can be another suitable material having surface energy and properties similar to glass, such as germanium, polycrystalline germanium, single crystal germanium, ceramic, glass-ceramic, sapphire or quartz.

作為表10中之實例之變化形式,亦執行甲烷形成的表面改質層之順序氮隨後氫處理。在此狀況下,當藉由電漿聚合於玻璃載具上形成初始表面改質層時,單獨使用甲烷(無氫)。確切言之,使40sccm之甲烷在5mTorr之壓力下、於1500/50W下流動60秒。表面能量量測為約42mJ/m2。在用氮(40sccm N2、在5mTorr壓力下、1500/50W功率、歷時15 秒)且隨後氫(40sccm H2、在5mTorr壓力下、1500/50W功率、歷時15秒)順序處理之後,於載具接合表面上達成之表面能量增加至約64mJ/m2,其適用於將薄玻璃片接合至玻璃載具。 As a variation of the examples in Table 10, the sequential nitrogen reforming of the methane-forming surface is also performed followed by hydrogen treatment. In this case, when an initial surface modifying layer is formed by plasma polymerization on a glass carrier, methane (without hydrogen) is used alone. Specifically, 40 sccm of methane was allowed to flow at 1500/50 W for 60 seconds under a pressure of 5 mTorr. The surface energy measurement was about 42 mJ/m 2 . After sequential processing with nitrogen (40 sccm N2, at a pressure of 5 mTorr, 1500/50 W power for 15 seconds) and then hydrogen (40 sccm H2, at a pressure of 5 mTorr, 1500/50 W power for 15 seconds), the carrier was bonded The surface energy achieved on the surface is increased to about 64 mJ/m 2 , which is suitable for joining thin glass sheets to glass carriers.

如上所述的碳質表面改質層之順序N2及H2處理達成約64mJ/m2之表面能量,且形成與薄玻璃片之初始室溫接合,其中接合前端速度稍小於利用氟化表面改質層的典型情況。如表10中之實例,觀察到此等樣本在450℃下之退火之後不永久黏附,亦即,其能夠順利完成400℃處理測試之部分(c)。此外,此等實例足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載具之重複使用。 The order of N2 and H2 treatment of the carbonaceous surface modifying layer as described above achieves a surface energy of about 64 mJ/m2 and forms an initial room temperature bond with the thin glass sheet, wherein the joint front end speed is slightly smaller than that of the fluorinated surface modification layer. Typical situation. As in the examples in Table 10, it was observed that these samples did not permanently adhere after annealing at 450 ° C, that is, they were able to successfully complete part (c) of the 400 ° C treatment test. Moreover, these examples are strong enough to be preserved in FPD processing (including the vacuum test (1), wet process test (2), and ultrasonic test (5) described above, and remain removed by application of sufficient peel force. Engage. Debonding allows removal of the device fabricated on the thin glass and reuse of the carrier.

極性基團藉由順序N2-O2隨後N2處理引入至碳質表面改質層Polar groups are introduced into the carbonaceous surface modifying layer by sequential N2-O2 followed by N2 treatment

基於試圖在表面上產生更多極性醯亞胺基以增加接合前端速度之觀點,探查碳質表面改質層之順序N2-O2隨後N2電漿處理。 Based on an attempt to create more polar quinone imine groups on the surface to increase the speed of the joint front end, the order of the carbonaceous surface modifying layer was explored N2-O2 followed by N2 plasma treatment.

使用電漿聚合膜來調節接合表面之表面能量且於該接合表面上產生替代極性接合位點之此實例為碳質表面改質層薄膜自碳源(例如,含碳氣體(例如甲烷))及自氫H2之沉積,接著剛剛形成的表面改質層之順序N2-O2且隨後N2處理。表面改質層之沉積可在大氣壓或減壓中發生,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿 聚合表面改質層可安置於載具、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制表面改質層膜厚度、密度及化學性質,以特製用於所要應用之官能基,且藉由控制膜性質,接合表面之表面能量可獲調節。在後續電漿處理期間形成的基於氮之極性基團不與矽醇基縮合以引起永久共價鍵結,且因此能夠在執行來將膜或結構安置於薄片上之後續處理期間,控制薄片與載具之間的接合程度。 An example of using a plasma polymer film to adjust the surface energy of the bonding surface and creating a substitute polar bonding site on the bonding surface is a carbonaceous surface modifying layer film from a carbon source (eg, a carbonaceous gas (eg, methane)) and The deposition from hydrogen H2, followed by the sequence of the surface modifying layer just formed, N2-O2 and subsequent N2 treatment. Deposition of the surface modifying layer can occur at atmospheric or reduced pressure and is performed using plasma excitation, such as DC or RF parallel plates, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream Microwave or RF plasma. Plasma The polymeric surface modifying layer can be disposed on a carrier, a sheet, or both. As indicated above in connection with the examples of Table 3, plasma polymerization produces a layer of highly crosslinked material. Control of the reaction conditions and source gases can be used to control the thickness, density, and chemistry of the surface modifying layer to tailor the functional groups for the desired application, and by controlling the properties of the film, the surface energy of the bonding surface can be adjusted. The nitrogen-based polar groups formed during the subsequent plasma treatment are not condensed with the sterol groups to cause permanent covalent bonding, and thus can be controlled during subsequent processing to place the film or structure on the sheet. The degree of engagement between the carriers.

在以下表11之實例中,各種條件用於對沉積於玻璃載具上之電漿聚合膜處理,以增加表面能量且併入極性基團。玻璃載具係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在表面改質層沉積之前,使用SC1及/或SC2化學方法及標準清潔技術清潔載具。 In the examples of Table 11 below, various conditions were used to treat the plasma polymeric film deposited on the glass carrier to increase surface energy and incorporate polar groups. The glass carrier is a substrate made of Corning® Eagle XG® aluminoborosilicate free alkali metal display glass (available from Corning Incorporated, Corning NY). The vehicle is cleaned using SC1 and/or SC2 chemistry and standard cleaning techniques prior to deposition of the surface modifying layer.

在步驟1中,在Oxford Plasmalab 380 ICP(可購自Oxford Instruments,Oxfordshire UK)中以感應耦合電漿(ICP)組態模式沉積表面改質層,其中載具置放於平台上,將50W之13.56MHz RF能量施加於該平台,該平台上方安置有線圈,將1500W之13.5MHz RF能量施加於該線圈。使20sccm之甲烷(CH4)及40sccm之氫(H2)流入處於5mTorr之壓力下的腔室中。表面處理時間為60sec,且對表11所列的所有樣本而言,平台溫度為30C。 In step 1, a surface modification layer is deposited in an Inductively Coupled Plasma (ICP) configuration mode in an Oxford Plasmalab 380 ICP (available from Oxford Instruments, Oxfordshire UK), where the carrier is placed on a platform, 50 W 13.56 MHz RF energy is applied to the platform with a coil placed over the platform to apply 1500 W of 13.5 MHz RF energy to the coil. 20 sccm of methane (CH4) and 40 sccm of hydrogen (H2) were flowed into the chamber at a pressure of 5 mTorr. The surface treatment time was 60 sec, and for all of the samples listed in Table 11, the platform temperature was 30C.

在步驟1之先前沉積之後,在步驟2中,表面改質層用氮及氧處理。確切言之,在步驟2處理期間,將50W之 13.56MHz RF能量施加於平台,該平台上方安置有線圈,將800W之13.5MHz RF能量施加於該線圈。N2及O2以指定速率(以sccm計)流入腔室中歷時表中所列的時間(計為秒-s)。因此,例如,表11中對實例11a之步驟2之記法解讀如下:在步驟1中之表面改質層沉積之後,在Oxford ICP設備中,使35sccm之N2與5sccm之O2一起流入具有15mTorr之壓力的腔室中;將800W之13.5MHz RF能量施加於噴淋頭;且將50W之13.56MHz RF能量施加於其上置放有載具的溫度控制至30℃之平台;且處理進行5秒。對剩餘實例之記法可以類似方式解讀。 After the previous deposition in step 1, in step 2, the surface modifying layer is treated with nitrogen and oxygen. To be precise, during the processing of step 2, 50W will be 13.56 MHz RF energy is applied to the platform with a coil placed over the platform to apply 800 W of 13.5 MHz RF energy to the coil. N2 and O2 flow into the chamber at the specified rate (in sccm) for the time listed in the timesheet (measured in seconds-s). Thus, for example, the notation of step 2 of Example 11a in Table 11 is interpreted as follows: After the surface modification layer deposition in step 1, in an Oxford ICP apparatus, 35 sccm of N2 is introduced with 5 sccm of O2 into a pressure of 15 mTorr. In the chamber; 800 W of 13.5 MHz RF energy was applied to the showerhead; and 50 W of 13.56 MHz RF energy was applied to the platform on which the temperature of the carrier was placed to 30 ° C; and the treatment was carried out for 5 seconds. The notation of the remaining instances can be interpreted in a similar manner.

在步驟2之先前處理之後,在步驟3中,表面改質層用氮處理。確切言之,在步驟3處理期間,將50W之13.56MHz RF能量施加於平台,該平台上方安置有線圈,將1500W之13.5MHz RF能量施加於該線圈。N2以指定速率(以sccm計)流入腔室中歷時表中所列的時間(計為秒-s)。因此,例如,表11中對實例11a之步驟3之記法解讀如下:在步驟1中之表面改質層沉積之後,且在步驟2中之氮-氧處理之後,在Oxford ICP設備中,使40sccm之N2流入具有5mTorr之壓力的腔室中;將1500W之13.5MHz RF能量施加於噴淋頭;且將50W之13.56MHz RF能量施加於其上置放有載具的溫度控制至30℃之平台;且處理進行15秒。對剩餘實例之記法可以類似方式解讀。 After the previous treatment of step 2, in step 3, the surface modifying layer is treated with nitrogen. Specifically, during the process of step 3, 50 W of 13.56 MHz RF energy is applied to the platform, with coils placed above the platform, and 1500 W of 13.5 MHz RF energy applied to the coil. N2 flows into the chamber at the specified rate (in sccm) for the time listed in the timesheet (measured in seconds-s). Thus, for example, the notation for step 3 of Example 11a in Table 11 is interpreted as follows: after the surface modifying layer deposition in step 1, and after the nitrogen-oxygen treatment in step 2, in the Oxford ICP apparatus, 40 sccm N2 flows into the chamber with a pressure of 5 mTorr; 1500 W of 13.5 MHz RF energy is applied to the shower head; and 50 W of 13.56 MHz RF energy is applied to the platform on which the temperature of the carrier is placed to 30 ° C And the process is carried out for 15 seconds. The notation of the remaining instances can be interpreted in a similar manner.

表面能量係藉由使用三種不同試驗液體(在此狀況下為去離子水、十六烷及二碘甲烷)之接觸角(CA)及Wu模 型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示總表面能量(T,其包括極性分量及分散分量)。接合能量如上所述以mJ/m2來計算。在初始接合之後氣泡之數量在名稱為「23C%面積」之欄中指示,而在400℃溫度測試之後氣泡之數量在名稱為「400C%面積」之欄中指示。氣泡之數量係如下結合「除氣」所述藉由光學掃描器來測定。最後,氣泡面積自23℃下初始時至400℃溫度測試之後的變化在名稱為「△%面積」之欄中指示。 The surface energy is obtained by using three different test liquids (deionized water, hexadecane and diiodomethane in this case) and the contact angle (CA) and Wu mode. Type, calculated in mJ/m2 (millijoules per square meter). For surface energy, the total surface energy (T, which includes the polar component and the dispersed component) is shown. The joining energy was calculated as mJ/m2 as described above. The number of bubbles after the initial bonding is indicated in the column named "23 C% area", and the number of bubbles after the temperature test at 400 ° C is indicated in the column named "400 C% area". The number of bubbles is determined by an optical scanner as described below in connection with "degassing". Finally, the change in bubble area from the initial time at 23 ° C to 400 ° C after the temperature test is indicated in the column entitled "△% area".

實例11a-11e展示:各種條件可用於甲烷/氫形成的表面改質層之順序氮-氧且隨後氮處理,藉以可獲得各種表面能量,亦即,自約65mJ/m2(實例11a及11e)至約70mJ/m2(實例11b及11d),該等表面能量適合於接合至薄玻璃片。在順序氮-氧且隨後氮處理之後獲得的此等表面能量自約40-50 mJ/m2(由形成自甲烷-氫電漿聚合之基礎層獲得)增加。觀察到接合有用根據實例11a-11f形成的表面改質層之薄玻璃片及載具在400℃下之退火之後不永久黏附,亦即,其順利完成400℃溫度測試之部分(c)。如實例11a-11e所示,在400℃退火期間%氣泡面積變化與沒有除氣一致。另一方面,實例11f在400℃退火期間的%氣泡面積變化與表面改質層中材料之一些除氣一致。因此,為獲得根據表11中之條件沉積的表面改質層沒有除氣,步驟3為重要的。然而,在用於步驟1及2之其他沉積/處理條件下,步驟3可不必獲得與實例11a-e之步驟3所獲得結果類似的沒有除氣結果。此外,此等實例足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且在400℃溫度測試之後保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載具之重複使用。 Examples 11a-11e demonstrate that various conditions can be applied to the sequential nitrogen-oxygen of the surface modification layer of methane/hydrogen formation followed by nitrogen treatment whereby various surface energies can be obtained, i.e., from about 65 mJ/m 2 (Examples 11a and 11e). ) to about 70 mJ/m 2 (Examples 11b and 11d), the surface energies are suitable for bonding to thin glass sheets. These surface energies obtained after sequential nitrogen-oxygen and subsequent nitrogen treatment are increased from about 40-50 mJ/m 2 (obtained from the base layer formed from methane-hydrogen plasma polymerization). It was observed that the thin glass sheets and the carrier having the surface modifying layer formed according to Examples 11a-11f were not permanently adhered after annealing at 400 ° C, that is, they successfully completed part (c) of the 400 ° C temperature test. As shown in Examples 11a-11e, the % bubble area change during annealing at 400 °C is consistent with no outgassing. On the other hand, the % bubble area change of Example 11f during annealing at 400 °C is consistent with some outgassing of the material in the surface modification layer. Therefore, step 3 is important in order to obtain no surface degassing of the surface modifying layer deposited according to the conditions in Table 11. However, under the other deposition/processing conditions used in steps 1 and 2, step 3 may not necessarily result in a degassing result similar to that obtained in step 3 of Examples 11a-e. In addition, these examples are strong enough to be preserved in FPD processing (including vacuum test (1), wet process test (2), and ultrasonic test (5), and can be maintained after 400 °C temperature test. The peeling force is applied to disengage. Debonding allows removal of the device fabricated on the thin glass and reuse of the carrier.

此等順序步驟對表面能量、接合能量及起泡之影響展示於表11中。增加N2-O2步驟中之氧分數減小表面能量且在除氣測試期間增加起泡。利用短暫(約5秒)低氧分數(38/2)N2-O2步驟及後續短(15秒)N2電漿處理之執行(實例11d)產生在400℃溫度試驗期間產生69mJ/m2之表面能量及1.2%之氣泡面積(自在23℃下的%氣泡面積變化為-0.01,指示沒有除氣)。樣本11a-e之效能相當於在至多400℃溫度測試之應用下的氟化表面改質層。 The effects of these sequential steps on surface energy, bonding energy and blistering are shown in Table 11. Increasing the oxygen fraction in the N2-O2 step reduces surface energy and increases foaming during the degassing test. The use of a short (about 5 seconds) low oxygen fraction (38/2) N2-O2 step followed by a short (15 seconds) N2 plasma treatment (Example 11d) yielded a surface energy of 69 mJ/m2 during a 400 °C temperature test. And 1.2% of the bubble area (% bubble area change from 23 ° C to -0.01, indicating no outgassing). The performance of samples 11a-e is equivalent to a fluorinated surface modifying layer for applications tested at temperatures up to 400 °C.

根據表11之實例接合至載具中每一者之薄玻璃片為由Corning® Willow®玻璃製成之基板,該玻璃為鋁硼矽酸 鹽不含鹼金屬玻璃(可購自Corning Incorporated,Corning NY)且具有100、130及150微米之厚度。在接合之前,使用氧電漿接著SC1及/或SC2化學方法及標準清潔技術來清潔Willow®玻璃。 The thin glass sheet bonded to each of the carriers according to the example of Table 11 is a substrate made of Corning® Willow® glass, which is aluminoboroic acid. The salt is free of alkali metal glass (commercially available from Corning Incorporated, Corning NY) and has thicknesses of 100, 130 and 150 microns. Prior to bonding, the Willow® glass is cleaned using oxygen plasma followed by SC1 and/or SC2 chemistry and standard cleaning techniques.

在表11之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。 In the example of Table 11, although the joint surface on which the surface modifying layer is disposed is glass, it is not necessary to be in this case. Alternatively, the bonding surface can be another suitable material having surface energy and properties similar to glass, such as germanium, polycrystalline germanium, single crystal germanium, ceramic, glass-ceramic, sapphire or quartz.

上述實例例證感應耦合電漿(ICP)系統可如何利用來沉積適用於將薄玻璃片可控制地接合至玻璃載具以供裝置處理的薄有機表面改質層。然而,此解決方案針對顯示應用(其中具有大面積之基板為有利的)之可調性為關注點。ICP工具利用平面、圓柱形或半球形線圈來感應耦合電流,以產生引起離子循環的時變磁場。典型地,第二RF源連接至其上置放有基板之平台。ICP電漿之優點在於:ICP源可獨立於藉由平台RF源控制的基板偏置而達成高階離子化。當前的平行板反應性離子蝕刻(reactive ion etch;RIE)系統無法達成高階離子化。另外,經由RF功率及壓力耦合偏置及離子化。TEL及其他已將ICP蝕刻器定標至Gen 5,但向較大者定標對產生均勻ICP電漿源提出挑戰。另一方面,RIE模式製程適合於已定標至Gen 10之平行板工具。因此,發明人探查在RIE模式製程中達成與利用如上所述的ICP工具所達成之彼等結果類似的結果之方式。 The above examples illustrate how an inductively coupled plasma (ICP) system can be utilized to deposit a thin organic surface modifying layer suitable for controllably bonding a thin glass sheet to a glass carrier for processing by a device. However, this solution is a concern for the adjustability of display applications where a large area substrate is advantageous. ICP tools utilize planar, cylindrical or hemispherical coils to induce a coupling current to create a time-varying magnetic field that causes ion circulation. Typically, the second RF source is connected to a platform on which the substrate is placed. The advantage of ICP plasma is that the ICP source can achieve high-order ionization independently of substrate bias controlled by the platform RF source. Current parallel plate reactive ion etch (RIE) systems are unable to achieve higher order ionization. In addition, the bias and ionization are coupled via RF power and pressure. TEL and others have scaled the ICP etcher to Gen 5, but scaling to the larger ones poses a challenge to producing a uniform ICP plasma source. On the other hand, the RIE mode process is suitable for parallel plate tools that have been scaled to Gen 10. Accordingly, the inventors explored ways to achieve results similar to those achieved by utilizing the ICP tools described above in the RIE mode process.

對藉由只利用Oxford之RIE模式(無線圈功率)及200W之偏置功率(等效於用於氟化表面改質層之沉積的情況)自非氟化源材料產生RIE模式表面改質層的初始努力產生暗的厚層,該等厚層可經氮改質來接合至薄玻璃片。然而,此種暗的材料在經歷400℃處理試驗之後產生許多氣泡,該等氣泡覆蓋接合區域之約25%。藉由光譜式橢圓偏光術對暗沉積物之表徵證實:膜為約100nm厚且展現更加狹窄的光學能帶隙,即相對ICP沉積表面改質層之1.7eV而言為0.6eV。根據此結果,得出結論:材料可能為石墨的且增加氫含量將為對減少起泡之考慮。 RIE mode surface modification layer from non-fluorinated source material by using only Oxford's RIE mode (no coil power) and 200 W bias power (equivalent to deposition for fluorinated surface modification layers) The initial effort resulted in a dark thick layer that could be modified by nitrogen to bond to a thin glass sheet. However, such a dark material produced a number of bubbles after undergoing a 400 ° C treatment test, which covered about 25% of the joint area. Characterization of the dark deposit by spectral ellipsometry confirmed that the film was about 100 nm thick and exhibited a more narrow optical band gap, which is 0.6 eV relative to 1.7 eV of the ICP deposition surface modifying layer. Based on this result, it is concluded that the material may be graphite and increasing the hydrogen content would be a consideration for reducing foaming.

執行實驗來捕獲光學發射光譜學(optical emission spectroscopy;OES)光譜,以便對映RIE製程變數H2/CH4比率、RF功率及壓力。然而,在所使用的Oxford工具之製程窗內,此等比率不可匹配。然而,此實驗證實:製程將受益於聚合物形成氣體之極高氫稀釋度、高RF功率及低壓。 Experiments were performed to capture optical emission spectroscopy (OES) spectra for the enantiomeric RIE process variable H2/CH4 ratio, RF power, and pressure. However, within the process window of the Oxford tool used, these ratios are not matchable. However, this experiment confirms that the process will benefit from the extremely high hydrogen dilution, high RF power, and low pressure of the polymer forming gas.

除引導自ICP模式至RIE模式之製程變換的OES之外,殘餘氣體分析(residual gas analysis;RGA)用於對映Oxford中存在的隨RIE模式中之氫/甲烷比率、RF功率及壓力變化的氣相物質。m/e=/16對壓力及H2/CH4氣體比之等高線圖再次證實:高的氫稀釋度有益於匹配約44之ICP比率。較高級烷烴與減小的H2/CH4氣體比及增加的壓力相關聯。等高線圖展示m/e=28/16隨RF及H2/CH4氣體比兩者增加。擬合RGA回應表面暗示:H2/CH4及C2H6/CH4比率可在40:1 H2/CH4、25mTorr 275W RF下匹配。利用此條件沉積的碳質RIE模式 表面改質層匹配ICP模式碳質表面改質層之約6nm厚度及1.6eV光學能帶隙。利用碳質RIE表面改質層之氮電漿處理的初始實驗亦證實低的起泡。 In addition to the OES that directs the process change from ICP mode to RIE mode, residual gas analysis (RGA) is used for the hydrogen/methane ratio, RF power, and pressure variation in the RIE mode present in the enantiomer Oxford. Gas phase substance. The m/e=/16 versus pressure and H2/CH4 gas ratio contour plots again confirm that a high hydrogen dilution is beneficial for matching the ICP ratio of about 44. Higher alkanes are associated with a reduced H2/CH4 gas ratio and increased pressure. The contour plot shows that m/e = 28/16 increases with both RF and H2/CH4 gases. Fitting the RGA response surface suggests that the H2/CH4 and C2H6/CH4 ratios can be matched at 40:1 H2/CH4, 25mTorr 275W RF. Carbonaceous RIE mode deposited using this condition The surface modification layer matches the thickness of about 6 nm of the ICP mode carbonaceous surface modifying layer and the 1.6 eV optical band gap. Initial experiments with nitrogen plasma treatment of a carbonaceous RIE surface modifying layer also confirmed low foaming.

使用藉由RGA實驗所認定製程的RIE模式碳質表面改質層沉積之動力學展示於第14圖及第15圖。包括總表面能量(T)以及極性分量(P)及分散分量(D)之表面能量展示於第14圖中。如第14圖所示,表面能量相對無變化,其中輕微峰值處於60sec沉積時間處,而在第15圖中可見:膜厚度在雙對數尺度上幾乎線性地增加。此並非為自限制製程,因為自氫之回蝕刻(etch-back)無法跟上聚合物沉積。 The kinetics of the deposition of the RIE mode carbonaceous surface modifying layer using the process identified by the RGA experiment are shown in Figures 14 and 15. The surface energy including the total surface energy (T) and the polar component (P) and the dispersion component (D) is shown in Fig. 14. As shown in Fig. 14, the surface energy is relatively unchanged, with a slight peak at 60 sec deposition time, and in Fig. 15 it is seen that the film thickness increases almost linearly on a double logarithmic scale. This is not a self-limiting process because the etch-back from hydrogen cannot keep up with polymer deposition.

如以上所論述,根據經驗所明白的是:約50mJ/m2或65mJ/m2之表面能量有益於在初始室溫接合時以及在熱循環期間最小化氣泡面積。根據第14圖,可見表面能量正好處於邊界線上。在一些情況下,此可適合於將薄片接合至載具,取決於將經歷之時間-溫度循環,以及取決於必須經受的其他FPD製程。然而另一方面,將有益於提高此表面改質層之表面能量。可使用上述後續處理中之任何處理,例如,氨處理、氮處理、順序氮隨後氫處理、氮-氧處理、順序氮-氧隨後氮處理。舉例而言,氮-氧處理將結合表12來描述。 As discussed above, it is understood from experience that: About 50mJ/m2 or A surface energy of 65 mJ/m2 is beneficial to minimize bubble area during initial room temperature bonding and during thermal cycling. According to Figure 14, it can be seen that the surface energy is just on the boundary line. In some cases, this may be suitable for joining the sheet to the carrier, depending on the time-temperature cycle that will be experienced, and on other FPD processes that must be experienced. On the other hand, however, it would be beneficial to increase the surface energy of this surface modifying layer. Any of the above-described subsequent treatments may be used, for example, ammonia treatment, nitrogen treatment, sequential nitrogen followed by hydrogen treatment, nitrogen-oxygen treatment, sequential nitrogen-oxygen followed by nitrogen treatment. For example, nitrogen-oxygen treatment will be described in conjunction with Table 12.

極性基團藉由氮-氧處理引入至碳質表面改質層Polar groups are introduced into the carbonaceous surface modifying layer by nitrogen-oxygen treatment

使用電漿聚合膜來調節接合表面之表面能量且於該接合表面上產生替代極性接合位點之另一實例為薄表面改質層膜以RIE模式自碳源(例如,甲烷,即含碳氣體)及自氫(H2)之沉積,接著剛剛形成的表面改質層之氮-氧處理。可利用例 如氮-氧電漿處理來執行氮-氧處理。表面改質層之沉積可在大氣壓或減壓中發生。電漿聚合表面改質層可安置於載具、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制膜厚度、密度及化學性質,以特製用於所要應用之官能基,且藉由控制膜性質,接合表面之表面能量可獲調節。在後續氮-氧處理期間形成的基於氮之極性基團不與矽醇基縮合以引起永久共價鍵結,且因此能夠在執行來將膜或結構安置於薄片上之後續處理期間,控制薄片與載具之間的接合程度。 Another example of using a plasma polymeric film to adjust the surface energy of the bonding surface and creating a surrogate polar bonding site on the bonding surface is a thin surface modifying layer film in a RIE mode from a carbon source (eg, methane, ie, carbon containing gas) And deposition from hydrogen (H2) followed by nitrogen-oxygen treatment of the just-formed surface modifying layer. Usable example Nitrogen-oxygen treatment is performed as a nitrogen-oxygen plasma treatment. The deposition of the surface modifying layer can occur at atmospheric pressure or reduced pressure. The plasma polymerized surface modifying layer can be disposed on a carrier, a sheet, or both. As indicated above in connection with the examples of Table 3, plasma polymerization produces a layer of highly crosslinked material. Control of the reaction conditions and source gases can be used to control film thickness, density, and chemistry to tailor the functional groups to be used, and by controlling the properties of the film, the surface energy of the bonding surface can be adjusted. The nitrogen-based polar group formed during the subsequent nitrogen-oxygen treatment does not condense with the sterol group to cause permanent covalent bonding, and thus can be controlled during subsequent processing to place the film or structure on the sheet. The degree of engagement with the carrier.

在以下表12之實例中,各種條件用於將電漿聚合表面改質層膜沉積於玻璃載具上。玻璃載具係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在膜沉積之前,使用SC1及/或SC2化學方法及標準清潔技術來清潔載具。在Oxford Plasmalab 380 ICP(可購自Oxford Instruments,Oxfordshire UK)中以RIE組態模式沉積表面改質層,其中載具置放於平台上,將275W之RF能量施加於該平台,該平台上方安置有線圈,對該線圈不施加能量。在步驟1中,使2sccm之甲烷(CH4)及38sccm之氫(H2)流入處於25mTorr之壓力下的腔室中。表面處理時間為60sec,且對表12所列的所有樣本而言,平台溫度為30C。在先前沉積之後,表面改質層在步驟2中用氮及氧處理。確切言之,在步驟2處理期間,將指定瓦特數(在「RF」欄中指出)之13.56MHz RF能量施加於平台,該平台上方安置有線圈,對該線圈不施加能量。N2以 「N2」欄中所列的sccm之速率流入腔室中,且O2以「O2」欄中所列的sccm之速率流入腔室中,歷時表之「時間(s)」欄中所列的時間(計為秒-s)。腔室處於「Pr」欄中所列的壓力(以mTorr計)下。因此,例如,表12中對實例12b之步驟2氮及氧處理之記法解讀如下:在Oxford ICP設備中,使25sccm之N2與25sccm之O2一起流入具有10mTorr之壓力的腔室中;將300W之13.5MHz RF能量施加於其上置放有載具之平台,該平台溫度控制至30C;且處理進行10秒。對剩餘實例之記法可以類似方式解讀。 In the examples of Table 12 below, various conditions were used to deposit a plasma polymerized surface modifying layer film onto a glass carrier. The glass carrier is a substrate made of Corning® Eagle XG® aluminoborosilicate free alkali metal display glass (available from Corning Incorporated, Corning NY). The vehicle is cleaned using SC1 and/or SC2 chemistry and standard cleaning techniques prior to film deposition. A surface modification layer was deposited in an RIE configuration mode in an Oxford Plasmalab 380 ICP (available from Oxford Instruments, Oxfordshire UK), where the carrier was placed on a platform and 275 W of RF energy was applied to the platform, placed above the platform. There is a coil that does not apply energy to the coil. In step 1, 2 sccm of methane (CH4) and 38 sccm of hydrogen (H2) were flowed into a chamber at a pressure of 25 mTorr. The surface treatment time was 60 sec, and for all of the samples listed in Table 12, the platform temperature was 30C. After the previous deposition, the surface modifying layer is treated with nitrogen and oxygen in step 2. Specifically, during the processing of step 2, the 13.56 MHz RF energy of the specified wattage (indicated in the "RF" column) is applied to the platform, with a coil placed over the platform, no energy applied to the coil. N2 The rate of sccm listed in the column "N2" flows into the chamber, and O2 flows into the chamber at the rate of sccm listed in the "O2" column for the time listed in the "Time (s)" column of the table. (counted as seconds - s). The chamber is at the pressure (in mTorr) listed in the "Pr" column. Thus, for example, the notation for the nitrogen and oxygen treatment of step 2 of Example 12b in Table 12 is as follows: In an Oxford ICP apparatus, 25 sccm of N2 is passed together with 25 sccm of O2 into a chamber having a pressure of 10 mTorr; 13.5 MHz RF energy was applied to the platform on which the carrier was placed, the platform temperature was controlled to 30 C; and the process was performed for 10 seconds. The notation of the remaining instances can be interpreted in a similar manner.

表面能量係藉由使用三種不同試驗液體(在此狀況下為去離子水(W)、十六烷(HD)及二碘甲烷(DIM))之接觸角及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。亦展示表面改質層之厚度(以埃計的「th」)、在表面改質層之沉積及其N2-O2處理之後載具之平均表面粗糙度(以埃計的「Ra」)、接合能量(以mJ/m2計的「BE」),以及在室溫下經由表面改質層將薄玻璃片初始地接合至載具之後的氣泡面積與經由400℃製程測試加熱載具之後的氣泡面積之間的%氣泡面積變化(「△氣泡面積」)。 The surface energy is obtained by using three different test liquids (in this case, the contact angle of deionized water (W), hexadecane (HD) and diiodomethane (DIM)) and the Wu model, in mJ/m2 (m. Joules per square meter) to calculate. For surface energy, the polar component (P) and the dispersed component (D), as well as the total energy (T), are shown. Also shows the thickness of the surface modification layer ("th" in angstroms), the deposition of the surface modification layer and the average surface roughness of the carrier after the N2-O2 treatment ("Ra" in angstroms), bonding Energy ("BE" in mJ/m2), and the area of the bubble after initial bonding of the thin glass sheet to the carrier via the surface modifying layer at room temperature and the area of the bubble after heating the carrier via the 400 °C process test The % bubble area change ("△ bubble area").

根據表12之實例接合至載具中每一者之薄玻璃片為由Corning® Willow®玻璃製成之基板,該玻璃為鋁硼矽酸鹽不含鹼金屬玻璃(可購自Corning Incorporated,Corning NY)且具有100、130及150微米之厚度。在接合之前,使用氧電 漿接著SC1及/或SC2化學方法及標準清潔技術來清潔Willow®玻璃。 The thin glass sheet bonded to each of the carriers according to the example of Table 12 is a substrate made of Corning® Willow® glass, which is an aluminoborosilicate-free alkali metal glass (available from Corning Incorporated, Corning). NY) and has a thickness of 100, 130 and 150 microns. Use oxygen before the joint The slurry is then cleaned with SC1 and/or SC2 chemistry and standard cleaning techniques to clean Willow® glass.

在表12之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。 In the example of Table 12, although the joint surface on which the surface modifying layer is disposed is glass, this need not be the case. Alternatively, the bonding surface can be another suitable material having surface energy and properties similar to glass, such as germanium, polycrystalline germanium, single crystal germanium, ceramic, glass-ceramic, sapphire or quartz.

根據表12之實例中之處理,可見在400℃處理之後:實例12a至12j全部具有小於2之百分比氣泡面積變化,其與在此溫度下沒有除氣一致,參見表12中之氣泡%欄;以及樣本12a、12b、12c、12g及12j各自具有在此溫度試驗之後允許薄片與載具之脫接合的接合能量,參見表12中之BE欄;但實例12d、12e、12f、12h及12i不能在400℃製程測試之後脫接合,如藉由表12之BE欄中之值2500所指示。 According to the treatment in the example of Table 12, it can be seen that after the treatment at 400 ° C: Examples 12a to 12j all have a bubble area change of less than 2, which is consistent with no outgassing at this temperature, see the bubble % column in Table 12; And the samples 12a, 12b, 12c, 12g, and 12j each have bonding energies that allow disengagement of the sheet from the carrier after this temperature test, see the BE column in Table 12; but examples 12d, 12e, 12f, 12h, and 12i cannot Debonded after the 400 °C process test, as indicated by the value 2500 in the BE column of Table 12.

根據表12之實例,表面能量、氣泡面積、接合能量及厚度藉由橢圓偏光術隨% O2、RF及壓力進行對映。可見厚度之減少與增加的RF功率(將實例12g與實例12b比較)及% O2(將實例12a與實例12b比較)相關聯,與烴層之灰化一致。接合能量僅依賴於壓力:在10mTorr下處理的樣本可在400℃之退火之後脫接合(參見實例12a、12b、12c、12g)。在35mTorr及35mTorr以上處理的彼等樣本則不可脫接合。參見,例如,在40mTorr之壓力下處理的實例12d,其具有2500之接合能量,以及具有70mTorr之壓力及2500之接合能量的實例12e。「BE」欄中為2500之接合能量指示:薄玻璃片不可與載具脫接合。所有經處理的膜之表面能量為65-72mJ/m2, 其獨立於厚度。參見實例12a至12i及12k。此等結果暗示:高壓N2-O2電漿處理產生不連續膜。實際上,高壓快速地削磨該等膜,據此,較低壓力為有益的。至於起泡,量似乎隨% O2*RF增加而減少。另外,可見:H2O分壓隨%O2增加及RF增加而增加;表面改質層厚度隨步驟2中之壓力增加而減小,且%起泡面積隨壓力增加而增加(因此在步驟2期間較低壓力為有益的);當處理時間增加時,表面改質層厚度減小且極性基團減少,因此有益地產生較短處理時間。 According to the example of Table 12, surface energy, bubble area, bonding energy, and thickness were mapped by ellipsometry with % O2, RF, and pressure. It can be seen that the reduction in thickness correlates with increased RF power (compare Example 12g with Example 12b) and % O2 (compared with Example 12a with Example 12b), consistent with ashing of the hydrocarbon layer. Bonding energy is only dependent on pressure: samples treated at 10 mTorr can be debonded after annealing at 400 °C (see Examples 12a, 12b, 12c, 12g). The samples treated at 35 mTorr and above were not debonded. See, for example, Example 12d, which was processed at a pressure of 40 mTorr, having an engagement energy of 2,500, and Example 12e having a pressure of 70 mTorr and an engagement energy of 2,500. In the "BE" column, the joint energy of 2500 indicates that the thin glass piece cannot be disengaged from the carrier. The surface energy of all treated membranes is 65-72 mJ/m2. It is independent of thickness. See examples 12a through 12i and 12k. These results suggest that high pressure N2-O2 plasma treatment produces a discontinuous film. In fact, the high pressure rapidly sharpens the films, whereby lower pressures are beneficial. As for foaming, the amount seems to decrease as % O2*RF increases. In addition, it can be seen that the partial pressure of H2O increases with the increase of %O2 and the increase of RF; the thickness of the surface modified layer decreases with the increase of the pressure in step 2, and the % foaming area increases with the increase of pressure (so during step 2) Low pressure is beneficial; as the processing time increases, the thickness of the surface modifying layer decreases and the polar groups decrease, thus advantageously producing a shorter processing time.

欲尋找適合接合能量與起泡之平衡。氮-氧處理之起始點為50% O2、10mTorr 300W及改變的製程時間。利用20秒、60秒及180秒RIE CH4-H2沉積、接著0、5、15及60秒之N2-O2電漿處理來製備三組樣本。表面能量及接合能量於5-15秒N2-O2電漿處理時間處出現峰值,其獨立於CH4-H2沉積時間。薄20秒CH4-H2層遭削磨,且薄玻璃片永久地接合至載具。峰值出現在聚合物層遭削磨之前,此與聚合物膜上之極性基團之形成一致,而非只與暴露玻璃基板之削磨一致。氣泡面積確實隨表面改質層沉積時間增加而增加,因此只增加表面改質層之厚度來避免在後續N2-O2表面處理期間的過多削磨不為有益的。因此,接合與氣泡面積之間的良好折衷為表面改質層沉積時間與N2-O2處理時間之平衡。基於平衡表面改質層沉積時間(不太長的沉積時間,因而將產生更大厚度,從而導致增加的除氣)與N2-O2處理時間--不太長的處理時間,以便削磨或移除表面改質層(導致載具與薄片之永久接合),但足夠長以將極性基團併入表面改質層。良好的 折衷為碳質層之60秒RIE沉積,接著5-10秒之短N2-O2處理時間。實例12a、12b、12c、12g及12k對RIE模式而言適用。 Look for a balance between bonding energy and blistering. The starting point for the nitrogen-oxygen treatment was 50% O2, 10 mTorr 300 W and varying process times. Three sets of samples were prepared using 20 second, 60 second, and 180 second RIE CH4-H2 deposition followed by 0, 5, 15 and 60 seconds of N2-O2 plasma treatment. Surface energy and bonding energy peaked at 5-15 seconds N2-O2 plasma treatment time, independent of CH4-H2 deposition time. The thin 20-second CH4-H2 layer was ground and the thin glass piece was permanently bonded to the carrier. The peak appears before the polymer layer is ground, which is consistent with the formation of polar groups on the polymer film, rather than just the sharpening of the exposed glass substrate. The bubble area does increase with increasing deposition time of the surface modifying layer, so it is not beneficial to only increase the thickness of the surface modifying layer to avoid excessive sharpening during subsequent N2-O2 surface treatment. Therefore, a good compromise between bonding and bubble area is the balance of surface modification layer deposition time and N2-O2 processing time. Based on equilibrium surface modification layer deposition time (not too long deposition time, thus will produce greater thickness, resulting in increased outgassing) and N2-O2 processing time - not too long processing time for grinding or shifting In addition to the surface modifying layer (resulting in permanent bonding of the carrier to the sheet), but long enough to incorporate polar groups into the surface modifying layer. Good The tradeoff is a 60 second RIE deposition of the carbonaceous layer followed by a short N2-O2 treatment time of 5-10 seconds. Examples 12a, 12b, 12c, 12g, and 12k are applicable to the RIE mode.

於表面改質層上併入極性基團Incorporating polar groups on the surface modifying layer

XPS N1s物質形成係用於研究N2-O2電漿處理產生高極性表面之機制。為研究且確認此等表面改質層之物質形成,研究沉積於EagleXG®玻璃晶圓上的CH4/H2之相對厚膜之表面化學,以使得該等厚膜達成對玻璃之完全覆蓋,且隨後用N2/O2電漿處理不同持續時間。厚烴膜之優點在於:允許吾等區別僅存在於烴膜上的彼等氮物質,且將此等氮物質與存在於暴露玻璃上之彼等氮物質分離。 XPS N1s material formation was used to study the mechanism by which N2-O2 plasma treatment produces highly polar surfaces. In order to study and confirm the formation of substances in these surface modification layers, the surface chemistry of the relatively thick film of CH4/H2 deposited on EagleXG® glass wafers was investigated to achieve complete coverage of the glass by the thick films, and subsequently Different durations were treated with N2/O2 plasma. The advantage of a thick hydrocarbon membrane is that it allows us to distinguish between these nitrogen species present only on the hydrocarbon membrane and to separate these nitrogen species from their nitrogen species present on the exposed glass.

EagleXG®玻璃晶圓之表面組成物首先暴露於600秒之CH4/H2電漿以沉積厚烴膜,接著暴露於N2/O2電漿5、15、60及600秒。對5秒及15秒處理而言,未偵測到存在於玻璃中之元素(諸如Al及Ca),其指示:在彼等情況下,碳質膜層厚於XPS之探針深度,該探針深度為約10nm。 The surface composition of the EagleXG® glass wafer was first exposed to 600 seconds of CH4/H2 plasma to deposit a thick hydrocarbon film, followed by exposure to N2/O2 plasma for 5, 15, 60 and 600 seconds. For the 5 second and 15 second treatments, no elements (such as Al and Ca) present in the glass were detected, indicating that in these cases, the carbonaceous film layer is thicker than the probe depth of the XPS. The needle depth is about 10 nm.

使碳質膜暴露於N2/O2電漿60秒及600秒產生碳質層之一定程度的薄化,因為在彼等狀況下,XPS可偵測存在於玻璃中之元素。此觀察結果另外由考慮碳之表面濃度確認。對60秒及600秒處理而言,C濃度小於10at%,此強烈地暗示:對彼等狀況而言,表面部分地由碳質層覆蓋。 Exposing the carbonaceous film to the N2/O2 plasma for 60 seconds and 600 seconds produces a certain degree of thinning of the carbonaceous layer because, under these conditions, the XPS can detect the elements present in the glass. This observation is additionally confirmed by considering the surface concentration of carbon. For 60 seconds and 600 seconds of treatment, the C concentration is less than 10 at%, which strongly implies that for these conditions, the surface is partially covered by the carbonaceous layer.

僅在實質量之碳質膜已蝕刻移除時,才偵測到NH3+物質。此點極強烈地暗示:NH3+物質可能僅存在於玻璃上,且其他物質涉及氮與碳質層之間的主要反應。以表面上所有 原子之百分比(亦即,物質之分數x所偵測的氮之分數)計的氮物質之物質形成呈現於以下表13中。 The NH3+ species is detected only when the substantial mass of the carbonaceous membrane has been etched away. This strongly suggests that NH3+ substances may only be present on the glass, and other substances are involved in the main reaction between the nitrogen and the carbonaceous layer. All on the surface The formation of the substance of the nitrogen species as a percentage of the atom (i.e., the fraction of nitrogen detected by the fraction x of the substance) is presented in Table 13 below.

可見:此N2-O2處理之主要效應為碳質表面改質層之蝕刻。實際上,對60秒及600秒處理而言,極少含碳物質存在於表面上。其他觀察結果為:氮物質甚至在極短N2-O2處理時間(例如5秒及15秒)之後存在於表面改質層上。其後,氮物質快速地減少,而氨物質(指示下伏玻璃表面之存在)快速地增加。對碳質表面改質層之5秒N2-O2電漿處理的碳物質形成之XPS評估亦揭露:含有氧及氮之若干不同物質存在於表面改質層上。含氧物質的存在引起對以下的認識:單獨的O2電漿可足以將極性基團賦予表面改質層。的確,所發現的狀況正是如此,且其在以下論述。 It can be seen that the main effect of this N2-O2 treatment is the etching of the carbonaceous surface modifying layer. In fact, very little carbonaceous material is present on the surface for 60 seconds and 600 seconds of processing. Other observations are that nitrogen species are present on the surface modifying layer even after very short N2-O2 treatment times (eg, 5 seconds and 15 seconds). Thereafter, the nitrogen species rapidly decreases, while the ammonia species (indicating the presence of the underlying glass surface) rapidly increases. The XPS evaluation of the carbonaceous material formation of the 5 second N2-O2 plasma treatment of the carbonaceous surface modifying layer also revealed that several different substances containing oxygen and nitrogen were present on the surface modifying layer. The presence of oxygenates results in the recognition that a separate O2 plasma may be sufficient to impart a polar group to the surface modifying layer. Indeed, the situation found is exactly the same, and it is discussed below.

基於NH3+物質僅存在於玻璃上而不存在於碳質層上之假設,表面覆蓋率可藉由計算NH3+/Σ(所有氮化合物)之比率來估算。此表面覆蓋率估算之結果在圖17中給出。5秒與15秒之間存在極小變化。在N2-O2電漿處理時間之15秒與60秒之間出現最大變化。 Based on the assumption that the NH3 + species is present only on the glass and not on the carbonaceous layer, the surface coverage can be estimated by calculating the ratio of NH3 + /Σ (all nitrogen compounds). The results of this surface coverage estimate are given in Figure 17. There is a small change between 5 seconds and 15 seconds. The largest change occurred between 15 seconds and 60 seconds of the N2-O2 plasma treatment time.

碳質表面改質層之N2-O2電漿處理之模型如下。CH4-H2沉積產生連續烴層。在N2-O2電漿處理之第一秒中,極性-NH2基在烴層經氧化且削磨時形成於聚合物表面上。醯 亞胺基或醯胺基亦可在此時形成,但XPS為非決定性的。在較長N2-O2電漿處理的情況下,聚合物移除到達玻璃表面,其中極性-NH3+基由N2-O2電漿與玻璃表面之間的相互作用形成。 The model of N2-O2 plasma treatment of the carbonaceous surface modification layer is as follows. The deposition of CH4-H2 produces a continuous hydrocarbon layer. In the first second of the N2-O2 plasma treatment, the polar-NH2 group is formed on the surface of the polymer as the hydrocarbon layer is oxidized and ground.醯 The imido group or the guanamine group can also be formed at this time, but XPS is inconclusive. In the case of longer N2-O2 plasma treatment, polymer removal reaches the glass surface where the polar-NH3+ group is formed by the interaction between the N2-O2 plasma and the glass surface.

O2單獨作為表面改質層之表面處理O2 alone as surface treatment of surface modification layer

作為碳質層之N2-O2處理之替代,亦探查使用單獨O2來增加表面能量且在碳質層上產生極性基團。如上所指出,碳質層之5秒N2-O2電漿處理之XPS碳物質形成證實:含氧物質的確存在於表面改質層上。因此,嘗試碳質層之O2處理。O2處理在ICP模式及RIE模式兩者中執行。 As an alternative to the N2-O2 treatment of the carbonaceous layer, it is also explored to use O2 alone to increase surface energy and create polar groups on the carbonaceous layer. As indicated above, the formation of the XPS carbon material by the 5 second N2-O2 plasma treatment of the carbonaceous layer confirmed that the oxygen-containing material did exist on the surface modification layer. Therefore, an O2 treatment of the carbonaceous layer is attempted. O2 processing is performed in both the ICP mode and the RIE mode.

在ICP模式中,根據以上表11中之步驟1形成基礎碳質層。隨後藉由流動40sccm O2、0sccm N2、利用800/50W功率、於15mTorr壓力下執行步驟2表面處理,從而產生表面能量之所要增加,且在碳質層之表面上產生所要極性基團。薄玻璃片在室溫下易於接合至表面改質層。此外,觀察到此樣本在450℃之退火之後不永久地黏附,亦即,能夠順利完成400℃處理試驗之部分(c)。此外,此樣本足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載具之重複使用。 In the ICP mode, the base carbonaceous layer was formed according to step 1 in Table 11 above. The surface treatment of step 2 is then carried out by flowing 40 sccm O2, 0 sccm N2, using 800/50 W power at a pressure of 15 mTorr, thereby producing a desired increase in surface energy and producing the desired polar groups on the surface of the carbonaceous layer. The thin glass sheet is easily bonded to the surface modifying layer at room temperature. Further, it was observed that the sample did not permanently adhere after annealing at 450 ° C, that is, the portion (c) of the 400 ° C treatment test was successfully completed. In addition, the sample is strong enough to be preserved in the FPD process (including the vacuum test (1), wet process test (2), and ultrasonic test (5) described above, and remains disengageable by application of sufficient peel force. . Debonding allows removal of the device fabricated on the thin glass and reuse of the carrier.

在RIE模式中,根據以上表12中之步驟1形成基礎碳質層。隨後藉由流動50sccm O2、0sccm N2、利用200W功率、於50mTorr壓力下執行步驟2表面處理。類似於ICP模式,此等條件亦產生表面能量之所要增加,且在碳質層之 表面上產生所要極性基團。薄玻璃片在室溫下易於接合至表面改質層。此外,觀察到此樣本在450℃之退火之後不永久地黏附,亦即,能夠順利完成400℃處理試驗之部分(c)。此外,此樣本足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載具之重複使用。 In the RIE mode, a base carbonaceous layer was formed according to step 1 in Table 12 above. The surface treatment of step 2 was then carried out by flowing 50 sccm O2, 0 sccm N2, using a power of 200 W at a pressure of 50 mTorr. Similar to the ICP mode, these conditions also produce an increase in surface energy and are in the carbonaceous layer. The desired polar group is produced on the surface. The thin glass sheet is easily bonded to the surface modifying layer at room temperature. Further, it was observed that the sample did not permanently adhere after annealing at 450 ° C, that is, the portion (c) of the 400 ° C treatment test was successfully completed. In addition, the sample is strong enough to be preserved in the FPD process (including the vacuum test (1), wet process test (2), and ultrasonic test (5) described above, and remains disengageable by application of sufficient peel force. . Debonding allows removal of the device fabricated on the thin glass and reuse of the carrier.

因此,可見O2處理以與N2-O2處理類似的方式運作。就初始表面改質層沉積時間(其增加厚度)與O2處理時間之間的平衡而言,適用類似考慮。 Thus, it can be seen that the O2 process operates in a similar manner to the N2-O2 process. Similar considerations apply to the balance between the initial surface modification layer deposition time (which increases the thickness) and the O2 treatment time.

小量之氟Small amount of fluorine

在ICP模式烴聚合物沉積碳質層之XPS分析中發現幾原子%的F,即約2.2%的F。此係歸因於以下事實:Oxford用於玻璃、介電質及金屬之氟及氯蝕刻。據發現:小量之氟有益於烴沉積表面改質層性質。典型反應器清潔製程為SF6-O2清潔,接著O2清潔及H2電漿清潔。每一步驟時長30min,且包括各步驟之間的泵抽/沖洗步驟。SF6-O2用於初始清潔,因為烴聚合物之蝕刻率頗高於單獨的O2。H2電漿清潔步驟應自反應器壁上之沉積物移除大多數不定氟。若跳過H2電漿清潔,則將預期使更高量之氟併入烴表面改質層中。第16圖在烴表面改質層的情況下跳過H2電漿步驟之影響。接合能量降低,使永久接合位移直至600℃,而沒有起泡之大的增加。因此,烴表面改質層中小量之氟,亦即,至少至多約3%之氟為有益的。 A few atomic % of F, i.e., about 2.2% F, was found in the XPS analysis of the ICP mode hydrocarbon polymer deposited carbonaceous layer. This is due to the fact that Oxford is used for fluorine and chlorine etching of glass, dielectrics and metals. It has been found that a small amount of fluorine is beneficial to the nature of the modified layer of the hydrocarbon deposition surface. The typical reactor cleaning process is SF6-O2 cleaning followed by O2 cleaning and H2 plasma cleaning. Each step is 30 minutes long and includes a pumping/rinsing step between steps. SF6-O2 is used for initial cleaning because the etch rate of hydrocarbon polymers is quite higher than O2 alone. The H2 plasma cleaning step should remove most of the undefined fluorine from the deposit on the reactor wall. If H2 plasma cleaning is skipped, it will be expected that a higher amount of fluorine will be incorporated into the hydrocarbon surface modifying layer. Figure 16 skips the effect of the H2 plasma step in the case of a hydrocarbon surface modifying layer. The joint energy is reduced, causing the permanent joint to displace up to 600 ° C without a large increase in foaming. Thus, a small amount of fluorine in the hydrocarbon surface modifying layer, i.e., at least up to about 3% fluorine is beneficial.

表面粗糙度Surface roughness

探查玻璃接合表面之表面粗糙度由於烴形成的表面改質層之沉積的變化。確切言之,選擇甲烷-氫形成的表面改質層,其隨後順序地經氮且隨後氫處理。利用甲烷-氫形成的表面改質層製備兩個載具,接著順序原位N2且隨後H2電漿處理(20CH4 40H2 5mT 1500/50W歷時60秒,隨後40N2 5mT 1500/50W歷時15秒,隨後40H2 15mT 1500/50W 15歷時幾秒)。第一載具(實例14a)之表面改質層藉由O2電漿清潔接著SC1清潔來移除。第二載具(實例14b)之表面改質保持在適當位置。第三載具(實例14c)係用作參考且不具有施加於其的表面改質層。AFM用於評估以下者之表面粗糙度:施加表面改質層且隨後將其剝除之載具(實例14a),其上仍具有表面改質層之載具(實例14b),及參考載具(實例14c)。AFM量測之Rq、Ra及Rz範圍以nm(奈米)為單位展示於表14中。實例14a及14b之粗糙度不可與實例14c之粗糙度區別。應指出:對實例14c而言,5x5微米掃描之過量z-範圍係歸因於掃描區域中之粒子。因此,可見:本揭示內容之烴形成的表面改質層不改變玻璃接合表面之表面粗糙度。在某些情況下,接合表面之無變化表面粗糙度可有利於例如載具之重複使用。此等實例中之玻璃載具係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。 The surface roughness of the glass joint surface was examined for changes in the deposition of the surface reforming layer formed by the hydrocarbon. Specifically, a surface modification layer formed of methane-hydrogen is selected, which is subsequently sequentially treated with nitrogen and then hydrogen. Two carriers were prepared using a surface modification layer formed of methane-hydrogen, followed by sequential in situ N2 and subsequent H2 plasma treatment (20CH4 40H2 5mT 1500/50W for 60 seconds, followed by 40N2 5mT 1500/50W for 15 seconds, followed by 40H2 15mT 1500/50W 15 lasts a few seconds). The surface modifying layer of the first carrier (Example 14a) was removed by O2 plasma cleaning followed by SC1 cleaning. The surface modification of the second carrier (Example 14b) was maintained in place. The third carrier (Example 14c) was used as a reference and did not have a surface modifying layer applied thereto. AFM was used to evaluate the surface roughness of a carrier that applied a surface modifying layer and subsequently stripped it (Example 14a), a carrier that still had a surface modifying layer (Example 14b), and a reference carrier (Example 14c). The Rq, Ra, and Rz ranges measured by AFM are shown in Table 14 in nm (nano). The roughness of Examples 14a and 14b was not distinguishable from the roughness of Example 14c. It should be noted that for Example 14c, the excess z-range of the 5x5 micron scan is due to the particles in the scanned area. Thus, it can be seen that the surface modifying layer formed by the hydrocarbons of the present disclosure does not alter the surface roughness of the glass bonding surface. In some cases, the unaltered surface roughness of the joint surface can facilitate, for example, repeated use of the carrier. The glass carriers in these examples were substrates made of Corning® Eagle XG® aluminoborosilicate free alkali metal display glass (available from Corning Incorporated, Corning NY).

總體考慮Overall consideration

在室溫下,在不增加任何另外的熱或化學能量來改質薄片與載具之間的接合界面的情況下,在實例2-12中執行薄片與載具之上述分離。唯一的能量輸入為機械拉力及/或剝離力。 The above separation of the sheet from the carrier was carried out in Examples 2-12 without any additional thermal or chemical energy to modify the joint interface between the sheet and the carrier at room temperature. The only energy input is mechanical tension and/or peel force.

因為實例3及5-12之表面改質層為薄有機層,所以其對熱及電漿處理中之氧敏感。因此,此等表面改質層應在裝置製作期間加以保護。表面改質層可藉由在熱處理期間使用非含氧環境(例如,N2環境)來保護。替代地,在接合薄玻璃片與載具之間的界面之邊緣上沉積保護塗層(例如,薄金屬層)足以保護表面改質層抵抗在高溫下氧環境之效應。 Since the surface modifying layers of Examples 3 and 5-12 are thin organic layers, they are sensitive to oxygen in thermal and plasma processing. Therefore, these surface modifying layers should be protected during the fabrication of the device. The surface modifying layer can be protected by using a non-oxygenated environment (eg, an N2 environment) during heat treatment. Alternatively, depositing a protective coating (e.g., a thin metal layer) on the edge of the interface between the bonded thin glass sheet and the carrier is sufficient to protect the surface modifying layer from the effects of an oxygen environment at elevated temperatures.

當薄片及載具兩者包括玻璃接合表面時,以上實例3至12中所述的表面改質材料可施加於載具,施加於薄片,或施加於將接合在一起的載具表面及薄片表面兩者。替代地,當一個接合表面為聚合物接合表面,而另一接合表面為玻璃接合表面(如以下進一步所述)時,將以上實例3至12中所述的適當表面改質材料(基於聚合物接合表面之表面能量)施加於玻璃接合表面。另外,整個載具或薄片無需由相同材料製成,但可於其中包括不同的層及/或材料,只要其接合表面適於接收所關注之表面改質層即可。例如,接合表面可為玻璃、玻璃-陶瓷、陶瓷、矽或金屬,其中載具及/或薄片之剩餘部分可具有不同材料。例如,薄片20接合表面可具有任何 適合材料,包括例如矽、多晶矽、單晶矽、藍寶石、石英、玻璃、陶瓷或玻璃-陶瓷。例如,載具10接合表面可為玻璃基板,或具有與玻璃類似的表面能量之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。 When both the sheet and the carrier include a glass bonding surface, the surface modifying materials described in the above Examples 3 to 12 can be applied to the carrier, applied to the sheet, or applied to the surface of the carrier and the surface of the sheet to be joined together. Both. Alternatively, when one of the bonding surfaces is a polymeric bonding surface and the other bonding surface is a glass bonding surface (as further described below), the appropriate surface modifying materials described above in Examples 3 through 12 (based on the polymer) The surface energy of the bonding surface is applied to the glass bonding surface. Additionally, the entire carrier or sheet need not be made of the same material, but may include different layers and/or materials therein as long as the joining surface is adapted to receive the surface modifying layer of interest. For example, the joining surface can be glass, glass-ceramic, ceramic, tantalum or metal, wherein the remainder of the carrier and/or sheet can have different materials. For example, the sheet 20 engaging surface can have any Suitable materials include, for example, tantalum, polycrystalline germanium, single crystal germanium, sapphire, quartz, glass, ceramic or glass-ceramic. For example, the carrier 10 bonding surface can be a glass substrate, or another suitable material having surface energy similar to glass, such as germanium, polycrystalline germanium, single crystal germanium, ceramic, glass-ceramic, sapphire, or quartz.

如自本文論述的實例可見,表面改質層與其後續處理一起提供廣泛地改變玻璃接合表面上之表面能量之方式。例如,根據所有實例,可見:玻璃接合表面之表面能量可自約36mJ/m2(如在實例5g中)改變至約80mJ/m2(實例5f)。在單步製程中使用非氟化源材料而無後續表面處理的情況下,可見:玻璃接合表面之表面能量可自約37mJ/m2(實例16b)改變至約67mJ/m2(實例7h及7j)。使用碳質表面改質層與後續處理來增加極性基團的情況下,可見:玻璃接合表面之表面能量可自約52mJ/m2(實例12j)改變至約74mJ/m2(實例8a)。在單步製程或兩步製程中使用非氟化源材料的情況下,可見:玻璃接合表面之表面能量可自約37mJ/m2(實例16b)改變至約74mJ/m2(實例8a)。使用含氟源材料或非含氟源材料來沉積表面改質層並利用其後續處理的情況下,可見玻璃接合表面之表面能量可自約41mJ/m2(實例5m)改變至約80mJ/m2(實例5f)。 As can be seen from the examples discussed herein, the surface modifying layer, along with its subsequent processing, provides a means to broadly alter the surface energy on the glass bonding surface. For example, it can be seen from all examples that the surface energy of the glass joint surface can vary from about 36 mJ/m2 (as in Example 5g) to about 80 mJ/m2 (Example 5f). In the case of using a non-fluorinated source material in a single-step process without subsequent surface treatment, it can be seen that the surface energy of the glass joint surface can be varied from about 37 mJ/m2 (Example 16b) to about 67 mJ/m2 (Examples 7h and 7j). . With a carbonaceous surface modifying layer and subsequent processing to increase the polar groups, it can be seen that the surface energy of the glass bonding surface can vary from about 52 mJ/m2 (Example 12j) to about 74 mJ/m2 (Example 8a). In the case of a non-fluorinated source material used in a single step process or a two step process, it can be seen that the surface energy of the glass joint surface can vary from about 37 mJ/m2 (Example 16b) to about 74 mJ/m2 (Example 8a). In the case where a fluorine-containing source material or a non-fluorine-containing source material is used to deposit the surface modifying layer and its subsequent treatment is utilized, it can be seen that the surface energy of the glass bonding surface can be changed from about 41 mJ/m 2 (Example 5 m) to about 80 mJ/m 2 ( Example 5f).

另外,如自本文論述的實例可見,表面改質層之厚度可大大地改變。利用在約2nm(如在實例3中)至約8.8nm(如在實例12c中)範圍內之表面改質層厚度獲得合乎需要的結果。 Additionally, as can be seen from the examples discussed herein, the thickness of the surface modifying layer can vary greatly. Desirable results were obtained with surface modifying layer thicknesses ranging from about 2 nm (as in Example 3) to about 8.8 nm (as in Example 12c).

受控接合之用途Use of controlled joints

可重複使用載具Reusable vehicle

經由表面改質層(包括材料及相關聯接合表面熱處理)的受控接合之一個用途為提供載具於物件中之重複使用,該物件經歷需要600℃之溫度的製程,如在例如LTPS製程中的情況。如藉由實例2e、3a、3b、4c、4d及4e及以上表5中之實例所例證,表面改質層(包括材料及接合表面熱處理)可用於提供載具於此等溫度條件下之重複使用。確切言之,此等表面改質層可用於改質薄片(具有玻璃接合表面)之接合區域與載具(具有玻璃接合表面)之接合區域之間的重疊區域之表面能量,藉以整個薄片可在處理之後與載具分離。薄片可全部一次性分離,或可分部分分離,如例如當首先移除在薄片之部分上產生的裝置,且其後移除剩餘部分來清潔載具以供重複使用的情況。在整個薄片自載具移除的情況下,載具可藉由只是將另一薄片置放於其上而得以原樣重複使用。替代地,載具可經清潔且藉由重新形成表面改質層再一次製備來運載薄片。因為表面改質層阻止薄片與載具之永久接合,所以其可用於其中溫度600℃之製程。當然,儘管此等表面改質層可在600℃之溫度下處理期間控制接合表面能量,但該等表面改質層亦可用於產生薄片及載具組合,該組合耐受較低溫度下之處理,且可用於此等較低溫度應用來控制接合。此外,在物件之熱處理將不超過400℃的情況下,如藉由實例2c、2d、4b、表7-11之實例(包括如表10之實例之替代例所論述的實例)、實例12a、12b、12c、12g、12g及利 用單獨的O2之表面處理之實例所例證,表面改質層亦可以此相同方式來使用。 One use of controlled bonding via a surface modifying layer (including heat treatment of materials and associated bonding surfaces) is to provide re-use of the carrier in the article, which is subject to need A process at a temperature of 600 ° C, as in the case of, for example, an LTPS process. As exemplified by Examples 2e, 3a, 3b, 4c, 4d, and 4e and the examples in Table 5 above, the surface modifying layer (including material and bonding surface heat treatment) can be used to provide repeatability of the carrier under such temperature conditions. use. Specifically, the surface modifying layer can be used to modify the surface energy of the overlapping area between the bonding area of the modified sheet (having the glass bonding surface) and the bonding area of the carrier (having the glass bonding surface), whereby the entire sheet can be After treatment, it is separated from the vehicle. The sheets may all be separated at once, or may be separated in portions, such as, for example, when the device produced on portions of the sheet is first removed, and then the remaining portion is removed to clean the carrier for reuse. In the case where the entire sheet is removed from the carrier, the carrier can be reused as it is by simply placing another sheet thereon. Alternatively, the carrier can be cleaned and loaded again by reforming the surface modifying layer to carry the sheet. Because the surface modifying layer prevents the sheet from permanently engaging the carrier, it can be used in the temperature 600 ° C process. Of course, although these surface modifying layers can be The bonding surface energy is controlled during processing at a temperature of 600 ° C, but the surface modifying layers can also be used to create a sheet and carrier combination that is resistant to processing at lower temperatures and can be used in such lower temperature applications. Control the joint. In addition, where the heat treatment of the article will not exceed 400 ° C, such as by the examples of Examples 2c, 2d, 4b, Tables 7-11 (including the examples discussed in the alternatives to the examples of Table 10), Example 12a, The surface modifying layers can also be used in the same manner as exemplified by examples of 12b, 12c, 12g, 12g and surface treatment with separate O2.

使用本文所述的表面改質層之一個優點在於:載具可以相同大小來重複使用,該等表面改質層例如包括以下者之彼等表面改質層:表3之實例、實例4b、4c、4d、4e、表5及7-11之實例、實例12a、12b、12c、12g、12j及利用單獨的O2之表面處理之實例。亦即,薄片可自載具移除,表面改質層可藉由非破壞性方式(例如O2或其他電漿清潔)自載具移除,且重複使用而不必以任何方式切割載具(例如,在載具之邊緣處切割)。 One advantage of using the surface modifying layers described herein is that the carriers can be reused in the same size, such as the surface modifying layers of the following: Examples of Table 3, Examples 4b, 4c Examples of 4d, 4e, 5 and 7-11, examples 12a, 12b, 12c, 12g, 12j and examples of surface treatment with separate O2. That is, the sheet can be removed from the carrier, and the surface modifying layer can be removed from the carrier by a non-destructive means (eg, O2 or other plasma cleaning) and reused without having to cut the carrier in any way (eg, , cut at the edge of the carrier).

用以提供受控接合區域Used to provide controlled joint areas

經由表面改質層(包括材料及相關聯接合表面熱處理)的受控接合之第二用途為提供玻璃載具與玻璃薄片之間的受控接合區域。更確切言之,在使用表面改質層的情況下,可形成受控接合之區域,其中足夠的分離力可將薄片部分與載具分離,而無藉由接合引起的對薄片或載具之破壞,但仍在處理全程維持足夠的接合力以將薄片相對於載具固持。參考第6圖,玻璃薄片20可藉由接合區域40接合至玻璃載具10。在接合區域40中,載具10及薄片20彼此共價鍵結以便其充當單塊。另外,存在具有周邊52之受控接合區域50,其中載具10及薄片20相連接,但可彼此分離,甚至在高溫處理之後,例如在600℃之溫度下處理之後如此。儘管十個受控接合區域50展示於第6圖中,但可提供任何適合數量,包括一個。如藉由實例2a、2e、3a、3b、4c、4d及4e、以上表 A second use of controlled bonding via a surface modifying layer (including heat treatment of the material and associated bonding surfaces) is to provide a controlled joint area between the glass carrier and the glass sheet. More specifically, where a surface modifying layer is used, a controlled joint region can be formed in which sufficient separation force separates the sheet portion from the carrier without the pair of sheets or carriers caused by the bonding Destruction, but still maintaining sufficient bonding force throughout the process to hold the sheet relative to the carrier. Referring to FIG. 6, the glass sheet 20 can be joined to the glass carrier 10 by the joint region 40. In the joint region 40, the carrier 10 and the sheet 20 are covalently bonded to each other so that they serve as a single piece. Additionally, there is a controlled joint region 50 having a perimeter 52 in which the carrier 10 and the sheet 20 are joined, but may be separated from one another, even after high temperature processing, such as in This is done after treatment at a temperature of 600 °C. Although ten controlled joint regions 50 are shown in Figure 6, any suitable number, including one, may be provided. As by the examples 2a, 2e, 3a, 3b, 4c, 4d and 4e, the above table

5之實例所例證,包括材料及接合表面熱處理之表面改質層30可用於在具有玻璃接合表面之載具10與具有玻璃接合表面之薄片20之間提供受控接合區域50。確切言之,此等表面改質層可形成於載具10上或薄片20上之受控接合區域50之周邊52內。因此,當物件2在高溫下處理以在接合區域40中形成共價鍵結或在裝置處理期間處理時,可在載具10與薄片20之間、於藉由周邊52分界之區域內提供受控接合,藉以分離力可在此區域中分離(無對薄片或載具之毀滅性破壞)薄片及載具,而薄片及載具將不會在包括超音波處理之處理期間脫層。如藉由表面改質層及任何相關聯熱處理提供的本申請案之受控接合因此能夠對US‘727中之載具概念改良。確切言之,儘管US‘727之載具證明在FPD處理中該等載具之接合周邊及非接合中心區域保全,該FPD處理包括約600℃之高溫處理,但例如濕式清潔及抗蝕劑剝除處理之超音波製程仍受到挑戰。確切言之,注意到該解決方案中之壓力波誘導薄玻璃中非接合區域(如US‘727中描述的非接合)中之共振,因為薄玻璃與載具在彼區域中幾乎沒有或沒有黏著力接合。可在薄玻璃中形成駐波,其中若超音波攪動具有足夠強度,則此等波可引起振動,從而可導致薄玻璃在接合區域與非接合區域之間的界面處之破壞。可藉由最小化薄玻璃與載具之間的間隙,且藉由在載具20與薄玻璃10之間的此等區域50中提供足夠的黏著或受控接合來消除此問題。如藉由實例2a、2e、3a、3b、4c、4d、4e及表5之實例所例證,接合表面之表面改質層(包括材料及任何相關聯熱處理控制接合能 量,以便提供薄片20上之玻璃接合表面與載具10上之玻璃表面之間的足夠接合,以便避免受控接合區域中之此等不需要的振動。 As exemplified by the example of 5, the surface modifying layer 30 comprising the material and the bonded surface heat treatment can be used to provide a controlled joint region 50 between the carrier 10 having a glass bonded surface and the sheet 20 having a glass bonded surface. Specifically, such surface modifying layers can be formed in the periphery 52 of the controlled bonding region 50 on the carrier 10 or on the sheet 20. Thus, when the article 2 is treated at a high temperature to form a covalent bond in the joint region 40 or processed during processing of the device, it can be provided between the carrier 10 and the sheet 20 in the region bounded by the perimeter 52. Control the bonding whereby the separation force can separate in this region (without catastrophic failure of the sheet or carrier) sheets and carriers, and the sheets and carriers will not delaminate during processing including ultrasonic processing. The controlled engagement of the present application, as provided by the surface modifying layer and any associated heat treatment, can thus improve the concept of the carrier in US '727. Specifically, although the US'727 vehicle demonstrates that the joint perimeter and non-joining center areas of the carriers are preserved during FPD processing, the FPD processing includes High temperature processing at about 600 ° C, but ultrasonic processes such as wet cleaning and resist stripping are still challenging. Specifically, it is noted that the pressure wave in this solution induces resonance in the non-bonded regions of thin glass (such as the non-joining described in US '727) because the thin glass and the carrier have little or no adhesion in the region. Force joint. Standing waves can be formed in the thin glass, wherein if the ultrasonic agitation has sufficient strength, the waves can cause vibrations, which can cause damage of the thin glass at the interface between the bonded region and the non-bonded region. This problem can be eliminated by minimizing the gap between the thin glass and the carrier and by providing sufficient adhesion or controlled engagement in such regions 50 between the carrier 20 and the thin glass 10. As exemplified by the examples of Examples 2a, 2e, 3a, 3b, 4c, 4d, 4e and Table 5, the surface modifying layer of the bonding surface (including the material and any associated heat treatment control bonding energy) to provide the sheet 20 Sufficient engagement between the glass-engaging surface and the glass surface on the carrier 10 to avoid such unwanted vibrations in the controlled joint region.

隨後,在具有周邊57之所要部分56之提取期間,薄片20中處於周邊52內之部分可在處理之後及在薄片沿周邊57之分離之後簡單地與載具10分離。因為表面改質層控制接合能量來阻止薄片與載具之永久接合,所以其可用於其中溫度600℃之製程。當然,儘管此等表面改質層可在600℃之溫度下處理期間控制接合表面能量,該等表面改質層亦可用於產生薄片及載具組合,該組合耐受較低溫度下之處理,且可用於此等較低溫度應用。此外,在物件之熱處理將不超過400℃的情況下,如藉由實例2c、2d、4b、表7-11之實例(包括如表10之實例之替代例所論述的實例)、實例12a、12b、12c、12g、12g及利用單獨的O2之表面處理之實例所例證,表面改質層亦可以此相同方式來使用--在一些情況下,取決於其他製程要求--以控制接合表面能量。 Subsequently, during extraction with the desired portion 56 of the perimeter 57, the portion of the sheet 20 that is within the perimeter 52 can be simply separated from the carrier 10 after processing and after separation of the sheet along the perimeter 57. Because the surface modifying layer controls the bonding energy to prevent the sheet from permanently engaging the carrier, it can be used in the temperature 600 ° C process. Of course, although these surface modifying layers can be The bonding surface energy is controlled during processing at a temperature of 600 ° C. The surface modifying layers can also be used to create a sheet and carrier combination that is resistant to processing at lower temperatures and can be used in such lower temperature applications. In addition, where the heat treatment of the article will not exceed 400 ° C, such as by the examples of Examples 2c, 2d, 4b, Tables 7-11 (including the examples discussed in the alternatives to the examples of Table 10), Example 12a, 12b, 12c, 12g, 12g and examples of surface treatment with separate O2, the surface modifying layer can also be used in the same way - in some cases, depending on other process requirements - to control the bonding surface energy .

用以提供接合區域Used to provide the joint area

經由表面改質層(包括材料及任何相關聯接合表面熱處理)的受控接合之第三用途為提供玻璃載具與玻璃薄片之間的接合區域。參考第6圖,玻璃薄片20可藉由接合區域40接合至玻璃載具10。 A third use of controlled bonding via a surface modifying layer (including heat treatment of the material and any associated bonding surfaces) is to provide a joint region between the glass carrier and the glass sheet. Referring to FIG. 6, the glass sheet 20 can be joined to the glass carrier 10 by the joint region 40.

在第三用途之一個實施例中,接合區域40、載具10及薄片20可彼此共價鍵結以便其充當單塊。另外,存在具有周邊52之受控接合區域50,其中載具10及薄片20彼此接 合,足以耐受處理,且甚至在高溫處理之後,例如在600℃之溫度下處理之後,仍允許薄片與載具之分離。因此,如藉由以上實例1a、1b、1c、2b、2c、2d、4a、4b、12d、12e、12f、12h及12i所例證,表面改質層30(包括材料及接合表面熱處理)可用於在載具10與薄片20之間提供接合區域40。確切言之,此等表面改質層及熱處理可形成於載具10上或薄片20上之受控接合區域50之周邊52外部。因此,當物件2在高溫下處理(processed),或在高溫下處理(treated)以形成共價鍵時,載具及薄片20將在藉由周邊52分界之區域外部的接合區域40內彼此接合。隨後,在具有周邊57之所要部分56之提取期間,當需要將薄片20及載具10切塊時,物件可沿線5分離,因為此等表面改質層及熱處理將薄片20與載具10共價鍵結,因此該薄片20與該載具10在此區域中充當單塊。因為表面改質層提供薄片與載具之永久共價鍵結,所以其可用於其中溫度600℃之製程。此外,在物件之熱處理或接合區域40之初始形成之熱處理將為400℃但小於600℃的情況下,如藉由實例4a中之材料及熱處理所例證,表面改質層亦可以此相同方式來使用。 In one embodiment of the third use, the joint region 40, the carrier 10, and the sheet 20 can be covalently bonded to each other such that they act as a single piece. In addition, there is a controlled joint region 50 having a perimeter 52 in which the carrier 10 and the sheet 20 are joined to each other, sufficient to withstand handling, and even after high temperature processing, such as in After treatment at a temperature of 600 ° C, the separation of the sheet from the carrier is still allowed. Thus, as exemplified by Examples 1a, 1b, 1c, 2b, 2c, 2d, 4a, 4b, 12d, 12e, 12f, 12h and 12i above, surface modifying layer 30 (including material and bonding surface heat treatment) can be used A joint region 40 is provided between the carrier 10 and the sheet 20. Specifically, such surface modifying layers and heat treatments can be formed on the carrier 10 or outside the perimeter 52 of the controlled bonding region 50 on the sheet 20. Thus, when the article 2 is processed at a high temperature, or treated at a high temperature to form a covalent bond, the carrier and sheet 20 will be joined to each other within the joint region 40 outside the region bounded by the perimeter 52. . Subsequently, during the extraction of the desired portion 56 having the perimeter 57, when the sheet 20 and the carrier 10 need to be diced, the article can be separated along the line 5 because the surface modifying layer and heat treatment combine the sheet 20 with the carrier 10. The valence is bonded so that the sheet 20 and the carrier 10 act as a single piece in this region. Because the surface modifying layer provides permanent covalent bonding of the sheet to the carrier, it can be used in the temperature 600 ° C process. In addition, the heat treatment of the initial formation of the heat treatment or joint region 40 of the article will be In the case of 400 ° C but less than 600 ° C, the surface modifying layer can also be used in the same manner as exemplified by the materials and heat treatment in Example 4a.

在第三用途之第二實施例中,在接合區域40中,載具10及薄片20可藉由經由以上所述的各種表面改質層之受控接合而彼此接合。另外,存在具有周邊52之受控接合區域50,其中載具10及薄片20彼此接合,足以耐受處理,且甚至在高溫處理之後,例如在600℃之溫度下處理之後,仍允許薄片與載具之分離。因此,若處理將在至多600℃之溫度下 執行,且需要在區域40中不具有永久接合或共價鍵結,則如藉由以上實例2e、3a、3b、4c、4d、4e及表5之實例所例證,表面改質層30(包括材料及接合表面熱處理)可用於提供在載具10之玻璃接合表面與薄片20之玻璃接合表面之間的接合區域40。確切言之,此等表面改質層及熱處理可形成於受控接合區域50之周邊52外部,且可形成於載具10上或薄片20上。受控接合區域50可利用與接合區域40中形成的表面改質層相同或不同的表面改質層來形成。替代地,若處理將在僅至多400℃之溫度下執行,且需要在區域40中不具有永久接合或共價鍵結,則如藉由以上實例2c、2d、2e、3a、3b、4b、4c、4d、4e、表5之實例、表7-11之實例(包括如表10之實例之替代例所論述的實例)、實例12a、12b、12c、12g、12g及利用單獨的O2之表面處理之實例所例證,表面改質層30(包括材料及接合表面熱處理)可用於在載具10之玻璃接合表面與薄片20之玻璃接合表面之間提供接合區域40。 In a second embodiment of the third use, in the joint region 40, the carrier 10 and the sheet 20 can be joined to each other by controlled engagement via various surface modifying layers as described above. In addition, there is a controlled joint region 50 having a perimeter 52 in which the carrier 10 and the sheet 20 are joined to each other, sufficient to withstand handling, and even after high temperature processing, such as in After treatment at a temperature of 600 ° C, the separation of the sheet from the carrier is still allowed. Thus, if the treatment would be performed at temperatures up to 600 ° C and there is no need for permanent bonding or covalent bonding in region 40, as by Examples 2e, 3a, 3b, 4c, 4d, 4e and Table 5 above As exemplified by the example, surface modifying layer 30 (including material and bonding surface heat treatment) can be used to provide bonding region 40 between the glass bonding surface of carrier 10 and the glass bonding surface of sheet 20. Specifically, such surface modifying layers and heat treatments can be formed outside of the perimeter 52 of the controlled bonding region 50 and can be formed on or on the carrier 10. The controlled bonding region 50 may be formed using the same or different surface modifying layer as the surface modifying layer formed in the bonding region 40. Alternatively, if the treatment will be performed at a temperature of only up to 400 ° C and there is no need for permanent bonding or covalent bonding in the region 40, as by the above examples 2c, 2d, 2e, 3a, 3b, 4b, 4c, 4d, 4e, examples of Table 5, examples of Tables 7-11 (including the examples discussed in the alternatives to the examples of Table 10), Examples 12a, 12b, 12c, 12g, 12g and the surface using a separate O2 As exemplified by the processing example, surface modifying layer 30 (including material and bonding surface heat treatment) can be used to provide bonding region 40 between the glass bonding surface of carrier 10 and the glass bonding surface of sheet 20.

替代區域50中之受控接合,可在區域50中存在非接合區域,其中非接合區域可為如US‘727所述的具有增加的表面粗糙度之區域,或如藉由實例2a所例證,可為藉由表面改質層提供。 In a controlled engagement in the replacement region 50, there may be a non-joining region in the region 50, wherein the non-joining region may be an area having increased surface roughness as described in US '727, or as exemplified by Example 2a, It can be provided by a surface modification layer.

用於整體退火或整體處理For overall annealing or overall processing

上述方式之受控接合之第四用途係用於玻璃片堆疊之整體退火。退火為用於達成玻璃之壓實的熱製程。壓實涉及將玻璃主體再熱至低於玻璃軟化點,但高於在後續處理步驟中所達到之最高溫度的溫度。此舉在後續處理之前而非在 後續處理期間於玻璃中達成結構重排及尺寸鬆弛。在後續處理之前退火有益於在後續處理期間維持玻璃主體中之精確對準及/或平坦度,如在平板顯示器裝置之製造的情況,其中由許多層製成之結構需要以極緊密容限來對準,甚至在經受高溫環境之後亦如此。若玻璃在一個高溫製程中壓實,則在高溫製程之前沉積於玻璃上之結構之層可不與在高溫製程之後沉積的結構之層正確地對準。 The fourth use of the controlled bonding of the above methods is for the overall annealing of the glass sheet stack. Annealing is a thermal process used to achieve compaction of the glass. Compaction involves reheating the glass body to a temperature below the glass softening point, but above the highest temperature reached in subsequent processing steps. This is done before the follow-up and not Structural rearrangement and size relaxation are achieved in the glass during subsequent processing. Annealing prior to subsequent processing is beneficial to maintain precise alignment and/or flatness in the glass body during subsequent processing, as is the case with flat panel display devices where structures made up of many layers need to be tightly tightly bound Alignment, even after exposure to high temperature environments. If the glass is compacted in a high temperature process, the layers of the structure deposited on the glass prior to the high temperature process may not be properly aligned with the layers of the structure deposited after the high temperature process.

將呈堆疊之玻璃片壓實在經濟上為有吸引力的。然而,此使得將相鄰片材交錯或分離成為必需,以便避免黏結。同時,其有益於維持片材極為平坦且具有光學品質,或原始(pristine)表面精製度。另外,對玻璃片(例如具有小表面積之片材)之某些堆疊而言,可為有益的是:在退火製程期間使玻璃片「黏結」在一起,以便其可易於作為一單元移動而不分離,但在退火製程之後易於彼此分離(藉由例如剝離分離),以便片材可獲單獨使用。替代地,可為有益的是:退火玻璃片之堆疊,其中阻止玻璃片中之經選擇玻璃片彼此永久地接合,而同時,允許玻璃片之其他者或彼等其他玻璃片之部分(例如其周邊)彼此永久地接合。作為又一替代,可為有益的是:將玻璃片堆疊來整體地、選擇性地永久接合堆疊中經選擇的一對相鄰片材之周邊。玻璃片之間的上述方式之受控接合可用於達成前述整體退火及/或選擇性接合。為控制相鄰片材之間的任何特定界面處之接合,可於面向彼界面之主表面之至少一者上使用表面改質層。 Compacting the stacked glass sheets is economically attractive. However, this necessitates staggering or separating adjacent sheets in order to avoid sticking. At the same time, it is beneficial to maintain the sheet extremely flat and optically pleasing, or a pristine surface finish system. In addition, for certain stacks of glass sheets (e.g., sheets having a small surface area), it may be beneficial to "stick" the glass sheets together during the annealing process so that they can be easily moved as a unit without Separation, but easy to separate from each other after the annealing process (by separation, for example, by peeling), so that the sheet can be used alone. Alternatively, it may be beneficial to anneal the stack of glass sheets, wherein the selected glass sheets in the glass sheets are prevented from permanently joining each other while, at the same time, allowing the other of the glass sheets or portions of their other glass sheets (eg, Peripheral) permanently joined to each other. As a further alternative, it may be beneficial to stack the sheets of glass to integrally and selectively permanently engage the perimeter of a selected pair of adjacent sheets in the stack. The controlled engagement of the above-described manner between the sheets of glass can be used to achieve the aforementioned integral annealing and/or selective joining. To control the bonding at any particular interface between adjacent sheets, a surface modifying layer can be used on at least one of the major surfaces facing the interface.

適用於經選擇區域中(例如圍繞周邊)之整體退火或整體永久接合的玻璃片堆疊之一個實施例將參考第7圖及第8圖來描述。其中,第7圖為玻璃片770-772之堆疊760之示意側視圖,且第8圖為其展開圖,以達進一步解釋之目的。 One embodiment of a stack of glass sheets suitable for integral annealing or integral permanent bonding in selected regions (e.g., around the perimeter) will be described with reference to Figures 7 and 8. Wherein, Figure 7 is a schematic side view of a stack 760 of glass sheets 770-772, and Figure 8 is an expanded view thereof for further explanation.

玻璃片之堆疊760可包括玻璃片770-772及表面改質層790,該等表面改質層用以控制玻璃片770-772之間的接合。另外,堆疊760可包括安置於堆疊之頂部及底部上的蓋片780、781,且可包括在蓋材與相鄰玻璃片之間的表面改質層790。 The stack of glass sheets 760 can include glass sheets 770-772 and a surface modifying layer 790 that are used to control the bonding between the glass sheets 770-772. Additionally, stack 760 can include cover sheets 780, 781 disposed on the top and bottom of the stack, and can include a surface modifying layer 790 between the cover material and the adjacent glass sheets.

如第8圖所示,玻璃片770-772之每一者包括第一主表面776及第二主表面778。玻璃片可由任何適合玻璃材料製成,該玻璃材料例如鋁矽酸鹽玻璃、硼矽酸鹽玻璃或鋁硼矽酸鹽玻璃。另外,玻璃可為含鹼金屬的,或可為不含鹼金屬的。玻璃片770-772之每一者可具有相同組成物,或片材可具有不同組成物。另外,玻璃片可具有任何適合類型。亦即,例如,玻璃片770-772可全部為如上所述的載具,可全部為如上所述的薄片,或可交替地為載具及薄片。有益的是當整體退火對載具而言與對薄片而言需要不同時間-溫度循環時,獲得載具之堆疊及薄片之獨立堆疊。替代地,在正確的表面改質層材料及置放的情況下,可需要獲得具有交替載具及薄片之堆疊,藉以在需要時,成對之載具及薄片(亦即形成物件之彼等載具及薄片)可彼此整體地共價鍵結,以用於稍後處理,而同時保存相鄰物件彼此分離之能力。另外,堆疊中可存在任何適合數量之玻璃片。亦即,儘管僅三個玻璃片770-772 展示於第7圖及第8圖中,但任何適合數量之玻璃片可包括於堆疊760中。 As shown in FIG. 8, each of the glass sheets 770-772 includes a first major surface 776 and a second major surface 778. The glass sheet can be made of any suitable glass material such as aluminosilicate glass, borosilicate glass or aluminoborosilicate glass. Alternatively, the glass may be alkali metal-containing or may be alkali metal-free. Each of the glass sheets 770-772 can have the same composition, or the sheets can have different compositions. Additionally, the glass sheet can be of any suitable type. That is, for example, the glass sheets 770-772 may all be the carriers as described above, and may all be sheets as described above, or may alternatively be carriers and sheets. It is beneficial to obtain a stack of carriers and a separate stack of sheets when the overall annealing requires different time-temperature cycles for the carrier and for the sheets. Alternatively, in the case of the correct surface modifying layer material and placement, it may be desirable to obtain a stack of alternating carriers and sheets, whereby pairs of carriers and sheets (i.e., forming objects) are needed when needed. The carriers and sheets can be covalently bonded to each other as a whole for later processing while preserving the ability of adjacent objects to separate from one another. Additionally, any suitable number of glass sheets can be present in the stack. That is, despite only three glass pieces 770-772 Shown in Figures 7 and 8, but any suitable number of glass sheets can be included in stack 760.

在任何特定堆疊760中,任一玻璃片可不包括表面改質層、包括一個表面改質層或包括兩個表面改質層。例如,如第8圖所示,片材770不包括表面改質層,片材771於其第二主表面778上包括一個表面改質層790,且片材772包括兩個表面改質層790,其中一個此種表面改質層處於其主表面776、778之每一者上。 In any particular stack 760, any of the glass sheets may not include a surface modifying layer, include one surface modifying layer, or include two surface modifying layers. For example, as shown in FIG. 8, sheet 770 does not include a surface modifying layer, sheet 771 includes a surface modifying layer 790 on its second major surface 778, and sheet 772 includes two surface modifying layers 790. One such surface modifying layer is on each of its major surfaces 776, 778.

蓋片780、781可為適合地耐受(例如,不僅就時間及溫度而言,而且相對於如除氣之其他切合考慮而言)給定製程之時間-溫度循環的任何材料。有利地,蓋片可由與所處理之玻璃片相同的材料製成。當蓋片780、781存在且具有不合需要地在置放成堆疊之後、在給定時間-溫度循環期間與玻璃片接合的材料時,表面改質層790可適當時包括在玻璃片771與蓋片781之間,及/或包括在玻璃片772與蓋片780之間。當存在於蓋材與玻璃片之間時,表面改質層可處於蓋材上(如用蓋材781及相鄰片材771所示),可處於玻璃片上(如用蓋材780及片材772所示),或可處於蓋材及相鄰片材兩者上(未圖示)。替代地,若蓋片780、781存在,但具有將不與相鄰片材772、772接合的材料,則表面改質層790無需存在於其之間。 The cover sheets 780, 781 can be any material that is suitably tolerant (e.g., not only in terms of time and temperature, but also relative to other considerations such as outgassing) to the time-temperature cycle of the customized process. Advantageously, the cover sheet can be made of the same material as the glass sheet being treated. The surface modifying layer 790 may be included in the glass sheet 771 and cover as appropriate when the cover sheets 780, 781 are present and have material that is undesirably bonded to the glass sheet during a given time-temperature cycle after being placed in a stack. Between sheets 781, and/or included between glass sheet 772 and cover sheet 780. When present between the cover material and the glass sheet, the surface modifying layer can be on the cover material (as indicated by the cover material 781 and the adjacent sheet 771), and can be on the glass sheet (eg, the cover material 780 and the sheet material) 772), or may be on both the cover material and the adjacent sheets (not shown). Alternatively, if cover sheets 780, 781 are present, but have materials that will not engage adjacent sheets 772, 772, surface modifying layer 790 need not be present therebetween.

堆疊中相鄰片材之間存在界面。例如,在玻璃片770-772之相鄰玻璃片之間限定一界面,亦即,片材770與片材771之間存在界面791,且片材770與片材772之間存在界面792。另外,當蓋片780、781存在時,蓋材781與片材771 之間存在界面793,以及片材772與蓋材780之間存在界面794。 There is an interface between adjacent sheets in the stack. For example, an interface is defined between adjacent sheets of glass sheets 770-772, that is, there is an interface 791 between the sheet 770 and the sheet 771, and an interface 792 exists between the sheet 770 and the sheet 772. In addition, when the cover sheets 780, 781 are present, the cover material 781 and the sheet 771 There is an interface 793 between there, and an interface 794 exists between the sheet 772 and the cover 780.

為控制在相鄰玻璃片之間的給定界面791、792處或在玻璃片與蓋片之間的給定界面793、794處的接合,可使用表面改質層790。例如,如圖所示,在每一界面791、792處,於面向界面之主表面之至少一者上存在表面改質層790。例如,對界面791而言,玻璃片771之第二主表面778包括表面改質層790,以控制片材771與相鄰片材770之間的接合。儘管未圖示,但片材770之第一主表面776亦可於其上包括表面改質層790,以控制與片材771之接合,亦即,面向任何特定界面之主表面之每一者上存在表面改質層。 To control the bonding at a given interface 791, 792 between adjacent glass sheets or at a given interface 793, 794 between the glass sheet and the cover sheet, a surface modifying layer 790 can be used. For example, as shown, at each of the interfaces 791, 792, a surface modifying layer 790 is present on at least one of the major surfaces facing the interface. For example, for interface 791, second major surface 778 of glass sheet 771 includes a surface modifying layer 790 to control the bond between sheet 771 and adjacent sheet 770. Although not shown, the first major surface 776 of the sheet 770 can also include a surface modifying layer 790 thereon to control engagement with the sheet 771, that is, each of the major surfaces facing any particular interface. There is a surface modification layer on it.

在任何給定界面791-794處之特定表面改質層790(及任何相關聯表面改質處理--例如,在特定表面改質層施加至特定表面之前對彼表面的熱處理,或可與表面改質層接觸之表面之表面熱處理)可經選擇用於面向彼特定界面791-794之主表面776、778,以控制相鄰片材之間的接合,且進而達成針對堆疊760所經受之給定時間-溫度循環之所要結果。 A particular surface modifying layer 790 at any given interface 791-794 (and any associated surface modification treatment - for example, heat treatment of a surface prior to application of a particular surface modifying layer to a particular surface, or The surface heat treatment of the surface in contact with the modifying layer can be selected for facing the major surfaces 776, 778 of the particular interface 791-794 to control the bonding between adjacent sheets, and thereby achieve the The desired result of the time-temperature cycle.

若需要在至多400℃之溫度下整體退火玻璃片770-772之堆疊,且在退火製程之後將玻璃片之每一者彼此分離,則在例如界面791之任何特定界面處之接合可使用根據以下實例中任一實例之材料連同任何相關聯表面製備一起來控制:實例2a、2c、2d、2e、3a、3b、4b-4e、表5之實例、表7-11之實例(包括如表10之實例之替代例所論述的實例)、 實例12a、12b、12c、12g、12g或利用單獨的O2之表面處理之實例。更確切言之,片材770之第一表面776將如表2-4中之「薄玻璃」來處理,而片材771之第二表面778將如表2-4中之「載具」來處理,或反之亦然。具有至多400℃之溫度的適合時間-溫度循環可隨後基於所要壓實度、堆疊中片材之數量以及片材之大小及厚度來選擇,以便遍及堆疊達成必要的時間-溫度。 If it is desired to integrally anneal the stack of glass sheets 770-772 at a temperature of up to 400 ° C and separate each of the glass sheets from each other after the annealing process, the bonding at any particular interface, such as interface 791, may be used according to the following The materials of any of the examples are controlled along with any associated surface preparation: Examples 2a, 2c, 2d, 2e, 3a, 3b, 4b-4e, Examples of Table 5, Examples of Tables 7-11 (including Table 10) Examples discussed in the alternatives to the examples), Examples 12a, 12b, 12c, 12g, 12g or examples of surface treatment with separate O2. More specifically, the first surface 776 of the sheet 770 will be treated as "thin glass" in Table 2-4, and the second surface 778 of the sheet 771 will be as "carrier" in Table 2-4. Processing, or vice versa. A suitable time-temperature cycle with a temperature of up to 400 °C can then be selected based on the desired degree of compaction, the number of sheets in the stack, and the size and thickness of the sheet to achieve the necessary time-temperature throughout the stack.

類似地,若需要在至多600℃之溫度下整體退火玻璃片770-772之堆疊,且在退火製程之後將玻璃片之每一者彼此分離,則在例如界面791之任何特定界面處之接合可使用根據以下實例中任一實例之材料連同任何相關聯表面製備來控制:實例2a、2e、3a、3b、4c、4d、4e或表5之實例。更確切言之,片材770之第一表面776將如表2-4中之「薄玻璃」來處理,而片材771之第二表面778將如表2-4中之「載具」來處理,或反之亦然。具有至多600℃之溫度的適合時間-溫度循環可隨後基於所要壓實度、堆疊中片材之數量以及片材之大小及厚度來選擇,以便遍及堆疊達成必要的時間-溫度。 Similarly, if it is desired to integrally anneal the stack of glass sheets 770-772 at temperatures up to 600 ° C and separate each of the glass sheets from each other after the annealing process, the bonding at any particular interface, such as interface 791 , may be The examples of Examples 2a, 2e, 3a, 3b, 4c, 4d, 4e or Table 5 were controlled using materials according to any of the examples below, along with any associated surface preparation. More specifically, the first surface 776 of the sheet 770 will be treated as "thin glass" in Table 2-4, and the second surface 778 of the sheet 771 will be as "carrier" in Table 2-4. Processing, or vice versa. A suitable time-temperature cycle with a temperature of up to 600 °C can then be selected based on the desired degree of compaction, the number of sheets in the stack, and the size and thickness of the sheet to achieve the necessary time-temperature throughout the stack.

另外,可能藉由適當地配置片材之堆疊及每一對片材之間的表面改質層來執行整體退火及整體物件形成。若需要在至多400℃之溫度下整體退火玻璃片770-772之堆疊,且隨後將一對相鄰片材整體地彼此共價鍵結以形成物件2,則適合材料及相關聯表面製備可經選擇用於控制接合。例如,圍繞周邊(或在其他所要接合區域40處),可使用以下來控制在欲形成為物件2之一對玻璃片(例如片材770及771)之間的界 面處之接合:(i)圍繞片材770、771之周邊(或其他所要接合區域40),根據以下實例中任一實例之材料連同任何相關聯表面製備:實例2c、2d、4b、表7-11之實例(包括如表10之實例之替代例所論述的實例)、實例12a、12b、12c、12g、12g或利用單獨的O2之表面處理之實例;以及(ii)在片材770、771之內部區域(亦即,如在(i)中處理的周邊之區域內部,或在其中需要一個片材與另一片材之分離的所要受控接合區域50中)上,根據以下實例中任一實例之材料連同任何相關聯表面製備:實例2a、2e、3a、3b、4c、4d、4e或表5之實例。在此狀況下,受控接合區域50中之裝置處理可隨後在至多600℃之溫度下執行。 In addition, it is possible to perform bulk annealing and overall object formation by appropriately arranging the stack of sheets and the surface modifying layer between each pair of sheets. If it is desired to integrally anneal the stack of glass sheets 770-772 at a temperature of up to 400 ° C, and then a pair of adjacent sheets are integrally covalently bonded to each other to form article 2, suitable materials and associated surface preparation may be Select to control the joint. For example, around the perimeter (or at other desired junction regions 40), the following may be used to control the boundary between one of the articles 2 to be formed between the glass sheets (e.g., sheets 770 and 771). Bonding at the face: (i) around the perimeter of the sheets 770, 771 (or other desired joining regions 40), according to materials of any of the examples below, along with any associated surfaces: Examples 2c, 2d, 4b, Table 7 Examples of -11 (including the examples discussed in the alternatives to the examples of Table 10), examples 12a, 12b, 12c, 12g, 12g or examples of surface treatment with separate O2; and (ii) at sheet 770, The inner region of 771 (i.e., inside the region of the periphery treated in (i), or in the desired controlled joint region 50 where one sheet is separated from the other sheet), according to the following example The material of any of the examples was prepared along with any associated surface preparation: Examples 2a, 2e, 3a, 3b, 4c, 4d, 4e or Table 5. In this case, the device processing in the controlled junction region 50 can then be performed at temperatures up to 600 °C.

材料及熱處理可針對彼此之相容性來適當地選擇。例如,材料2c、2d或4b之任何材料可與根據實例2a的用於受控接合區域之材料一起用於接合區域40。替代地,用於接合區域及受控接合區域之熱處理可經適當地控制,以最小化不利地影響相鄰區域中之接合之所要程度的一個區域中的熱處理之效應。 The materials and heat treatment can be appropriately selected in accordance with the compatibility of each other. For example, any material of material 2c, 2d or 4b can be used for the joint region 40 with the material for the controlled joint region according to Example 2a. Alternatively, the heat treatment for the joint region and the controlled joint region may be appropriately controlled to minimize the effect of heat treatment in a region that adversely affects the degree of bonding in the adjacent region.

在適當地選擇用於堆疊中之玻璃片的表面改質層790及相關聯熱處理之後,彼等片材可適當地佈置於堆疊中,且隨後加熱至400℃以整體退火堆疊中之所有片材,而該等片材彼此不永久地接合。隨後,堆疊可加熱至多至600℃以在一對相鄰片材之所要接合區域中形成共價鍵,從而形成具有接合區域及受控接合區域之圖案的物件2。可利用實例2a、2e、3a、3b、4c、4d、4e、表5之實例之材料及相關聯熱處理來控 制在欲藉由接合區域40共價鍵結來形成物件2之一對片材與形成單獨但相鄰物件2之另一對此等片材之間的界面處之接合,以便相鄰物件2不會彼此共價鍵結。以控制相鄰物件之間的接合之此相同方式,可控制物件與存在於堆疊中之蓋片之間的接合。 After appropriately selecting the surface modifying layer 790 for the glass sheets in the stack and the associated heat treatment, the sheets may be suitably placed in the stack and then heated to 400 ° C to integrally anneal all of the sheets in the stack And the sheets are not permanently joined to each other. Subsequently, the stack may be heated up to 600 ° C to form a covalent bond in the desired joint region of a pair of adjacent sheets, thereby forming an article 2 having a pattern of the joint region and the controlled joint region. The materials of Examples 2a, 2e, 3a, 3b, 4c, 4d, 4e, Table 5 and associated heat treatment can be used to control To form a joint at the interface between one of the articles 2 and the other of the sheets forming the individual but adjacent articles 2 by covalent bonding of the bonding regions 40 so that adjacent objects 2 Will not co-bond with each other. In the same manner as controlling the engagement between adjacent objects, the engagement between the article and the cover sheets present in the stack can be controlled.

另外,類似於以上,可能自堆疊760整體地形成物件2,而不預先退火彼相同堆疊760。取而代之,片材可已獨立地退火,或在不同堆疊中退火且與之分離,之後針對在堆疊中之所要受控接合來配置該等片材以整體地產生物件。根據剛於以上描述方式之整體退火且隨後自同一個堆疊整體地形成物件,簡單地省略整體退火。 Additionally, similar to the above, it is possible to integrally form the article 2 from the stack 760 without pre-annealing the same stack 760. Instead, the sheets may have been annealed independently, or annealed and separated in different stacks, after which the sheets are configured for the overall controlled living piece for the desired controlled joint in the stack. The overall annealing is simply omitted, according to the overall annealing just described above and then integrally forming the article from the same stack.

儘管僅在以上詳細地解釋控制界面791處之接合之方式,但當然可在界面792處或對可存在於特定堆疊中之任何其他界面進行相同控制--如在堆疊中玻璃片大於三個的狀況,或如在存在將不合需要地接合至玻璃片之蓋片的情況。另外,控制接合之相同方式可在存在的任何界面791、792、793、794處使用,但控制接合之上述方式中之不同方式亦可在不同界面處使用,以就所要接合類型而言產生相同或不同結果。 Although the manner of bonding at the control interface 791 is only explained in detail above, it is of course possible to perform the same control at the interface 792 or any other interface that may be present in a particular stack - such as more than three glass sheets in a stack Condition, or as in the case of a cover sheet that would undesirably bond to the glass sheet. In addition, the same manner of controlling the joint can be used at any of the interfaces 791, 792, 793, 794 present, but different ways of controlling the bonding described above can also be used at different interfaces to produce the same for the type of joint desired. Or different results.

在整體退火或整體地形成物件2之以上製程中,當HMDS用作用於控制界面處之接合的材料,且HMDS暴露於堆疊之外周邊時,應在希望阻止HMDS之區域中之共價鍵結時,於不含氧氣氛中執行約400℃以上的加熱。亦即,若HMDS暴露於氣氛中足以氧化HMDS之一定量之氧(在約400℃以上 之溫度下),則其中HMDS已氧化之任何此種區域中之接合將變成相鄰玻璃片之間的共價鍵結。其他烷基烴矽烷可類似地受在較高溫度下(例如約400℃以上)暴露於氧之影響,該等烷基烴矽烷例如乙基、丙基、丁基或硬脂基(steryl)矽烷。類似地,若使用其他材料用於表面改質層,則應選擇用於整體退火之環境,以便材料在退火之時間-溫度循環內不會降級。如本文所使用,不含氧可意指以體積計小於1000ppm,更佳以體積計小於100ppm之氧濃度。 In the above process of integrally annealing or integrally forming the article 2, when the HMDS is used as a material for controlling the bonding at the interface, and the HMDS is exposed to the periphery of the stack, it should be covalently bonded in the region where it is desired to prevent the HMDS. At the time, heating of about 400 ° C or more is performed in an oxygen-free atmosphere. That is, if the HMDS is exposed to the atmosphere, it is sufficient to oxidize a certain amount of oxygen in the HMDS (above about 400 ° C). At the temperature, the bond in any such region where the HMDS has oxidized will become a covalent bond between adjacent glass sheets. Other alkyl hydrocarbon decanes can similarly be exposed to oxygen at elevated temperatures (e.g., above about 400 ° C) such as ethyl, propyl, butyl or steryl decane. . Similarly, if other materials are used for the surface modification layer, the environment for the overall annealing should be selected so that the material does not degrade during the time-temperature cycle of the annealing. As used herein, oxygen free may mean an oxygen concentration of less than 1000 ppm by volume, more preferably less than 100 ppm by volume.

一旦片材之堆疊已整體退火,即可將個別片材與堆疊分離。個別片材可經處理(例如,藉由氧電漿、在400℃之溫度下的氧環境中加熱或藉由化學氧化、SC1或SC2)以移除表面改質層790。個別片材可在需要時用作例如電子裝置基板,例如OLED、FPD或PV裝置)。 Once the stack of sheets has been annealed, the individual sheets can be separated from the stack. Individual sheets can be processed (for example, by oxygen plasma, at The surface modifying layer 790 is removed by heating in an oxygen atmosphere at a temperature of 400 ° C or by chemical oxidation, SC1 or SC2). Individual sheets can be used, for example, as an electronic device substrate, such as an OLED, FPD or PV device, as desired.

整體退火或整體處理之上述方法具有以經濟方式維持清潔片材表面之優點。更確切言之,片材不需要自始至終保持於清潔環境中,如保持於清潔室退火窯爐中。取而代之,堆疊可在清潔環境中形成,且隨後在標準退火窯爐(亦即,其中清潔度不受控之退火窯爐)中處理,而片材表面不因粒子而弄髒,因為片材之間沒有流體流動。因此,片材表面經保護而免於片材之堆疊於其中退火之環境。在退火之後,片材之堆疊可易於運送至另一處理區域(於相同設施中或不同設施中),因為片材維持一定黏著程度,但保持可以足夠的力彼此分離而不破壞片材。亦即,玻璃製造者(例如)可組裝且退火玻璃片之堆疊,且隨後將片材作為堆疊裝運,其中在裝運期間 該等片材保持在一起(而不擔憂其在運輸中分離),在達到其目的地之後,可由消費者將片材自堆疊分離,該消費者可單獨地使用片材或以較小群組來使用片材。一旦需要分離,即可再次在清潔環境中處理片材之堆疊(必要時在洗滌堆疊之後)。 The above method of integral annealing or overall treatment has the advantage of maintaining the surface of the cleaning sheet economically. More specifically, the sheet does not need to be maintained in a clean environment throughout, such as in a clean room annealing kiln. Instead, the stack can be formed in a clean environment and subsequently processed in a standard annealing kiln (ie, an annealing kiln where the cleanliness is not controlled), while the sheet surface is not soiled by particles because of the sheet There is no fluid flow between them. Thus, the surface of the sheet is protected from the stacking of the sheets in the environment in which it is annealed. After annealing, the stack of sheets can be easily transported to another processing area (in the same facility or in different facilities) because the sheets maintain a certain degree of adhesion, but remain separated from each other with sufficient force without damaging the sheets. That is, the glass manufacturer, for example, can assemble and anneal the stack of glass sheets and then ship the sheets as a stack, where during shipment The sheets are held together (without concern that they are separated during transport), and after reaching their destination, the sheets can be separated from the stack by the consumer, who can use the sheets individually or in smaller groups To use the sheet. Once separation is required, the stack of sheets can be processed again in a clean environment (if necessary after washing the stack).

整體退火之實例 Example of overall annealing

玻璃基板係以自熔融拉制拉製製程接收之狀態來使用。熔融拉制拉製玻璃組成物為(以莫耳%計):SiO2(67.7)、Al2O3(11.0)、B2O3(9.8)、CaO(8.7)、MgO(2.3)、SrO(0.5)。藉由微影方法、利用200nm深基準點/游標、使用HF將七(7)個0.7mm厚乘150mm直徑之熔融拉制拉製玻璃基板圖案化。將兩(2)nm之電漿沉積氟聚合物作為表面改質層塗佈於所有玻璃基板之所有接合表面上,亦即,塗佈基板面向另一基板之每一表面,其後,每一片材表面之所得表面能量為大致35mJ/m2。將7個經塗佈個別玻璃基板置放在一起,以形成單一、厚基板(稱為「玻璃堆疊」)。在氮沖洗管式爐中將玻璃堆疊歷經15分鐘時期自30℃斜坡升溫退火至590℃,在590℃下保持30分鐘,隨後歷經50分鐘時期斜坡降溫至約230℃,隨後將玻璃堆疊自爐移除,且於約10分鐘內冷卻至約30℃之室溫。在冷卻之後,將基板自爐移除,且易於使用剃刀楔形物分離成個別片材(亦即,樣本在總體上或局部上不永久地接合)。藉由將玻璃基準點與非退火石英參考相比較,對每一個別基板量測壓實。發現個別基板緊實約185ppm。使基板中之兩者作為個別樣本(未堆疊在一起)經歷如上所述的第二退火循環(590℃/保持30分鐘)。再次量測壓實,且發現基板 由於第二熱處理進一步緊實小於10ppm(實際上0至2.5ppm)(在第二熱處理之後玻璃尺寸變化--相較於原始玻璃尺寸--減去在第一熱處理之後玻璃尺寸變化)。因此,發明人已證明:個別玻璃片可經塗佈、堆疊、在高溫下熱處理以達成壓實、冷卻、分離成個別片材,且在第二熱處理之後具有<10ppm及甚至<ppm之尺寸變化(相較於其在第一熱處理之後的大小)。 The glass substrate is used in a state of being received from the fusion drawing drawing process. The molten drawn glass composition was (in % by mole): SiO2 (67.7), Al2O3 (11.0), B2O3 (9.8), CaO (8.7), MgO (2.3), SrO (0.5). Seven (7) 0.7 mm thick by 150 mm diameter melt drawn drawn glass substrates were patterned by lithography using a 200 nm deep reference point/cursor using HF. Two (2) nm plasma-deposited fluoropolymer is applied as a surface modification layer on all the bonding surfaces of all the glass substrates, that is, the coated substrate faces each surface of the other substrate, and thereafter, each The resulting surface energy of the sheet surface was approximately 35 mJ/m2. Seven coated individual glass substrates are placed together to form a single, thick substrate (referred to as a "glass stack"). The glass stack was annealed from a 30 ° C ramp to 590 ° C over a period of 15 minutes in a nitrogen purge tube furnace, held at 590 ° C for 30 minutes, then ramped down to about 230 ° C over a 50 minute period, and then the glass was stacked from the furnace Remove and cool to room temperature of about 30 ° C in about 10 minutes. After cooling, the substrate is removed from the furnace and is easily separated into individual sheets using a razor wedge (i.e., the sample is not permanently joined, generally or partially). Compaction was measured for each individual substrate by comparing the glass reference point to a non-annealed quartz reference. Individual substrates were found to be about 185 ppm tight. Both of the substrates were subjected to a second annealing cycle (590 ° C / hold for 30 minutes) as described above as individual samples (not stacked together). Re-measure the compaction and find the substrate Since the second heat treatment is further tightened to less than 10 ppm (actually 0 to 2.5 ppm) (the glass size change after the second heat treatment - compared to the original glass size - minus the glass size change after the first heat treatment). Accordingly, the inventors have demonstrated that individual glass sheets can be coated, stacked, heat treated at elevated temperatures to achieve compaction, cooling, separation into individual sheets, and have dimensional changes of <10 ppm and even <ppm after the second heat treatment. (compared to its size after the first heat treatment).

儘管上述退火實例中之爐係用氮沖洗,但退火爐亦可用包括空氣、氬、氧、CO2或其組合之其他氣體沖洗,此取決於退火溫度及表面改質層材料在特定環境中於彼等溫度下之穩定性。替代惰性氣氛,上述退火中之爐可為真空環境。 Although the furnace in the above annealing example is flushed with nitrogen, the annealing furnace may be flushed with other gases including air, argon, oxygen, CO2 or a combination thereof depending on the annealing temperature and the material of the surface modifying layer in a specific environment. Stability at equal temperatures. Instead of an inert atmosphere, the furnace in the above annealing may be a vacuum environment.

另外,儘管未圖示,但玻璃可以捲軸形式替代片材形式來退火。亦即,適合表面改質層可形成於玻璃帶之一或兩側上,且隨後輥制帶材。整個捲筒可經受如上對片材所指出相同的處理,其後將整個捲軸之玻璃退火,而不將玻璃之一個包覆材(wrap)黏結至相鄰包覆材。在展開之後,表面改質層可藉由任何適合製程來移除。 In addition, although not shown, the glass may be annealed in the form of a roll instead of a sheet. That is, a suitable surface modifying layer can be formed on one or both sides of the glass ribbon and then rolled into a strip. The entire reel can be subjected to the same treatment as indicated above for the sheet, after which the glass of the entire reel is annealed without bonding a wrap of glass to the adjacent cladding material. After unfolding, the surface modifying layer can be removed by any suitable process.

除氣Degassing

用於典型晶圓接合應用之聚合物黏著劑通常為10-100微米厚,且在其溫度極限處或附近損失其質量之約5%。對自厚聚合物膜逸出之此等材料而言,易於藉由質譜測定法來定量質量損失或除氣之量。另一方面,量測自大約10nm厚或更小之薄表面處理物之除氣更具挑戰,該等薄表面處理物例如以上所述的電漿聚合物或自組裝單層表面改質層, 以及熱解矽油之薄層。對此等材料而言,質譜測定法不夠敏感。然而,存在許多量測除氣之其他方式。 Polymer adhesives used in typical wafer bonding applications are typically 10-100 microns thick and lose about 5% of their mass at or near their temperature limits. For such materials that escape from thick polymer films, it is easy to quantify the amount of mass loss or outgassing by mass spectrometry. On the other hand, it is more challenging to measure outgassing from thin surface treatments of about 10 nm thick or less, such as the plasma polymer or self-assembled monolayer surface modifying layer described above, And a thin layer of pyrolysis oil. Mass spectrometry is not sensitive enough for these materials. However, there are many other ways to measure outgassing.

量測小量除氣之第一方式係基於表面能量量測,且將參考第9圖來描述。為進行此試驗,可使用如第9圖所示的設置。其上具有待測試表面改質層之第一基板或載具900存在表面902,亦即,在組成物及厚度上相應於待測試之表面改質層30的表面改質層。第二基板或蓋材910經置放以便其表面912緊接於載具900之表面902,但未與其接觸。表面912為未塗佈表面,亦即,自其製成蓋材之裸材料之表面。隔片920置放於載具900與蓋材910之間的各種點處,以將其固持成彼此間隔的關係。隔片920應足夠厚以將蓋材910與載具900分離,從而允許材料自一者移動至另一者,但足夠薄以便在測試期間,最小化自腔室氣氛對表面902及912的污染之量。載具900、隔片920及蓋材910一起形成試驗物件901。 The first way to measure small outgassing is based on surface energy measurements and will be described with reference to Figure 9. For this test, the settings as shown in Figure 9 can be used. The first substrate or carrier 900 having the surface modifying layer to be tested has a surface 902, that is, a surface modifying layer corresponding to the surface modifying layer 30 to be tested in composition and thickness. The second substrate or cover member 910 is placed such that its surface 912 abuts the surface 902 of the carrier 900 but is not in contact therewith. Surface 912 is the uncoated surface, i.e., the surface of the bare material from which the cover material is formed. The spacers 920 are placed at various points between the carrier 900 and the cover member 910 to hold them in spaced relation to each other. The spacer 920 should be thick enough to separate the cover material 910 from the carrier 900, allowing the material to move from one to the other, but thin enough to minimize contamination of the surfaces 902 and 912 from the chamber atmosphere during testing. The amount. The carrier 900, the spacer 920, and the cover member 910 together form the test article 901.

在試驗物件901之組裝之前,量測裸表面912之表面能量,如表面902(亦即,載具900的具有提供於其上之表面改質層的表面)之表面能量。藉由對三種試驗液體;水、二碘甲烷及十六烷之接觸角擬合Wu模型來量測如第10圖所示的表面能量,即極性分量及分散分量兩者。 Prior to assembly of the test article 901, the surface energy of the bare surface 912, such as the surface energy of the surface 902 (i.e., the surface of the carrier 900 having the surface modifying layer provided thereon), is measured. The surface energy, that is, the polar component and the dispersed component, as shown in Fig. 10, was measured by fitting the Wu model to the contact angles of three test liquids; water, diiodomethane, and hexadecane.

在組裝之後,將試驗物件901置放於加熱室930中,且經由時間-溫度循環加熱。在大氣壓下及流動N2氣下執行加熱,該流動N2氣亦即在箭頭940之方向上以每分鐘2標準公升之速率流動。 After assembly, the test article 901 is placed in the heating chamber 930 and heated via a time-temperature cycle. Heating is carried out under atmospheric pressure and under flowing N2 gas, i.e., at a rate of 2 standard liters per minute in the direction of arrow 940.

在加熱循環期間,表面902之變化(例如,包括表面改質層由於蒸發、熱解、分解、聚合、與載具反應及抗濕潤(de-wetting)之變化)係藉由表面902之表面能量變化來證明。表面902之表面能量自身之變化未必意指表面改質層已除氣,但指示材料於彼溫度下之總體不穩定性,因為其特性由於例如以上所指出之機制而變化。因此,表面902之表面能量變化愈小,表面改質層愈穩定。另一方面,由於表面912與表面902之緊接性,自表面902除氣的任何材料將收集於表面912上,且將使表面912之表面能量變化。因此,表面912之表面能量變化為存在於表面902上之表面改質層之除氣的代表(proxy)。 The surface 902 changes during the heating cycle (eg, including surface modification layers due to evaporation, pyrolysis, decomposition, polymerization, reaction with the carrier, and de-wetting) by surface energy of surface 902 Change to prove. The change in surface energy itself of surface 902 does not necessarily mean that the surface modifying layer has been degassed, but indicates the overall instability of the material at its temperature, as its properties vary due to, for example, the mechanisms indicated above. Therefore, the smaller the surface energy change of the surface 902, the more stable the surface modifying layer. On the other hand, due to the closeness of surface 912 to surface 902, any material that degases from surface 902 will collect on surface 912 and will change the surface energy of surface 912. Thus, the surface energy of surface 912 varies as a proxy for outgassing of the surface modifying layer present on surface 902.

因此,用於除氣之一個試驗使用蓋材表面912之表面能量變化。確切言之,若表面能量--表面912之表面能量--之變化10mJ/m2,則存在除氣。此量值之表面能量變化與可導致膜黏著之損失或材料性質及裝置效能之降級的污染一致。5mJ/m2之表面能量變化接近表面能量量測之可重複性及表面能量之非均質性。此種小的變化與最少除氣一致。 Therefore, one test for degassing uses a change in surface energy of the cover material surface 912. To be precise, if the surface energy - the surface energy of surface 912 - changes At 10 mJ/m2, there is degassing. This amount of surface energy change is consistent with contamination that can result in loss of film adhesion or degradation of material properties and device performance. The surface energy change of 5mJ/m2 is close to the repeatability of surface energy measurement and the heterogeneity of surface energy. This small change is consistent with minimal degassing.

在產生第10圖中之結果的測試期間,載具900、蓋材910及隔片920均由Eagle XG玻璃製成,該Eagle XG玻璃為可購自Corning Incorporated,Corning,NY之不含鹼金屬鋁硼矽酸鹽顯示級玻璃,儘管無需為此種狀況。載具900及蓋材910為150mm直徑0.63mm厚。通常,載具910及蓋材920將分別由與載具10及薄片20相同的材料製成,需要對該材料的除氣試驗。在此測試期間,矽隔片為0.63mm厚、2mm 寬及8cm長,進而在表面902與表面912之間形成0.63mm之間隙。在此試驗期間,將腔室930併入MPT-RTP600s快速熱處理裝備中,該腔室以每分鐘9.2℃之速率自室溫循環至試驗極限溫度;該腔室保持在試驗極限溫度下歷時如圖表中「退火時間」所示的變化時間,且隨後以爐速率冷卻至200℃。在烘箱已冷卻至200℃之後,移除試驗物件,且在試驗物件已冷卻至室溫之後,再次量測每一表面902及表面912之表面能量。因此,舉例而言,在使用材料#1、線1003之蓋材表面能量變化(測試至450℃之極限溫度)之資料的情況下,資料係如下收集。在0分鐘處之資料點展示75mJ/m2(毫焦耳每平方公尺)之表面能量,且其為裸玻璃之表面能量,亦即,尚未運轉時間-溫度循環。在一分鐘處之資料點指示在如下執行的時間-溫度循環之後所量測的表面能量:將物件901(具有材料#1,其用作載具900上之表面改質層以呈現表面902)置放於處於室溫及大氣壓下之加熱室930中;在處於每分鐘兩標準公升下的N2氣流的情況下,以每分鐘9.2℃之速率將腔室加熱至450℃之試驗極限溫度,且在450℃之試驗極限溫度下保持1分鐘;隨後以每分鐘1℃之速率使腔室冷卻至300℃,且隨後將物件901自腔室930移除;隨後使物件冷卻至室溫(無N2流動氣氛);隨後量測表面912之表面能量且繪製為線1003上針對1分鐘之點。隨後在試驗極限溫度(450℃或適當時600℃)下、利用相應於保持時間的數分鐘之退火時間,以類似方式測定材料#1之剩餘資料點(線1003、1004),以及材料#2(線1203、1204)、材料#3(線1303、1304)、材料#4(線1403、1404)、 材料#5(線1503、1504)、材料#6(線1603及1604)及材料#7(線1703、1704)之資料點。表示相應表面改質層材料(材料#1-7)之表面902之表面能量的線1001、1002、1201、1202、1301、1302、1401、1402、1501、1502、1601、1602、1701及1702之資料點係以類似方式測定,例外之處在於表面902之表面能量係於每一時間-溫度循環之後量測。 During the test that produced the results in FIG. 10, the carrier 900, the cover material 910, and the spacer 920 were all made of Eagle XG glass, which is an alkali-free metal available from Corning Incorporated, Corning, NY. Aluminium borosilicate displays grade glass, although this is not required. The carrier 900 and the cover member 910 are 150 mm in diameter and 0.63 mm thick. Typically, carrier 910 and cover material 920 will be made of the same material as carrier 10 and sheet 20, respectively, requiring a degassing test of the material. During this test, the septum is 0.63mm thick and 2mm The width and length are 8 cm, and a gap of 0.63 mm is formed between the surface 902 and the surface 912. During this test, chamber 930 was incorporated into the MPT-RTP 600s rapid thermal processing equipment, which was cycled from room temperature to the test limit temperature at a rate of 9.2 ° C per minute; the chamber was maintained at the test limit temperature as in the chart. The change time indicated by "annealing time" was followed by cooling to 200 ° C at the furnace rate. After the oven has cooled to 200 ° C, the test article is removed, and after the test article has cooled to room temperature, the surface energy of each surface 902 and surface 912 is again measured. Thus, for example, in the case of using the material of the material surface change of material #1, line 1003 (testing to the extreme temperature of 450 ° C), the data is collected as follows. The surface energy at 75 minutes shows the surface energy of 75 mJ/m2 (millijoules per square meter) and is the surface energy of the bare glass, that is, the time-temperature cycle has not been run. The data point at one minute indicates the surface energy measured after the time-temperature cycle performed as follows: Object 901 (having material #1, which is used as a surface modifying layer on the carrier 900 to present the surface 902) Placed in a heating chamber 930 at room temperature and atmospheric pressure; in the case of an N2 gas stream at two standard liters per minute, the chamber is heated to a test limit temperature of 450 ° C at a rate of 9.2 ° C per minute, and Hold at a test limit temperature of 450 ° C for 1 minute; then cool the chamber to 300 ° C at a rate of 1 ° C per minute, and then remove the article 901 from the chamber 930; then cool the object to room temperature (no N2 Flow atmosphere); the surface energy of surface 912 is then measured and plotted as a point on line 1003 for 1 minute. The remaining data points (lines 1003, 1004) of material #1, and material #2 were then determined in a similar manner using the annealing time corresponding to the retention time for several minutes at the test limit temperature (450 ° C or 600 ° C as appropriate). (lines 1203, 1204), material #3 (lines 1303, 1304), material #4 (lines 1403, 1404), Material #5 (line 1503, 1504), material #6 (lines 1603 and 1604) and material #7 (lines 1703, 1704) data points. Lines 1001, 1002, 1201, 1202, 1301, 1302, 1401, 1402, 1501, 1502, 1601, 1602, 1701, and 1702 representing the surface energy of the surface 902 of the corresponding surface modifying layer material (material #1-7) The data points were determined in a similar manner with the exception that the surface energy of surface 902 was measured after each time-temperature cycle.

如以下闡述,對七種不同材料進行上述組裝製程及時間-溫度循環,且將結果在第10圖作圖。七種材料中,材料#1-4及7相應於以上所述的表面改質層材料。材料#5及#6為比較實例。 As described below, the above assembly process and time-temperature cycle were performed on seven different materials, and the results are plotted in Figure 10. Among the seven materials, materials #1-4 and 7 correspond to the surface modifying layer materials described above. Materials #5 and #6 are comparative examples.

材料#1為CHF3-CF4電漿聚合氟聚合物。此材料與以上實例3b中之表面改質層一致。如第10圖所示,線1001及1002展示載具之表面能量不會顯著變化。因此,此材料在自450℃至600℃之溫度下極為穩定。另外,如藉由線1003及1004所示,蓋材之表面能量亦不會顯著變化,亦即變化為5mJ/m2。因此,自450℃至600℃不存在與此材料相關聯的除氣。 Material #1 is a CHF3-CF4 plasma polymerized fluoropolymer. This material is consistent with the surface modifying layer of Example 3b above. As shown in Figure 10, lines 1001 and 1002 show that the surface energy of the carrier does not change significantly. Therefore, this material is extremely stable at temperatures from 450 ° C to 600 ° C. In addition, as shown by lines 1003 and 1004, the surface energy of the cover material does not change significantly, that is, changes to 5mJ/m2. Therefore, there is no outgassing associated with this material from 450 ° C to 600 ° C.

材料#2為苯基矽烷,其為自苯基三乙氧基矽烷之1%甲苯溶液沉積的且於190℃下在真空烘箱中固化30分鐘之自組裝單層(SAM)。此材料與以上實例4c中之表面改質層一致。如第10圖所示,線1201及1202指示載具上之表面能量之一些變化。如上所指出,此指示表面改質層之一些變化,且相比較而言,材料#2比材料#1稍不穩定。然而,如藉由線 1203及1204所示,載具之表面能量變化為5mJ/m2,證實對表面改質層之變化不產生除氣。 Material #2 was phenyl decane, which was a self-assembled monolayer (SAM) deposited from a 1% toluene solution of phenyltriethoxynonane and cured in a vacuum oven at 190 °C for 30 minutes. This material is consistent with the surface modifying layer of Example 4c above. As shown in Figure 10, lines 1201 and 1202 indicate some variation in surface energy on the carrier. As noted above, this indicates some variation in the surface modification layer, and in comparison, material #2 is slightly less stable than material #1. However, as shown by lines 1203 and 1204, the surface energy of the carrier changes to 5 mJ/m2, confirming that no change in the surface modification layer produces degassing.

材料#3為五氟苯基矽烷,其為自五氟苯基三乙氧基矽烷之1%甲苯溶液沉積的且於190℃下在真空烘箱中固化30分鐘之SAM。此材料與以上實例4e中之表面改質層一致。如第10圖所示,線1301及1302指示載具上之表面能量之一些變化。如上所指出,此指示表面改質層之一些變化,且相比較而言,材料#3比材料#1稍不穩定。然而,如藉由線1303及1304所示,載具之表面能量變化為5mJ/m2,證實對表面改質層之變化不產生除氣。 Material #3 was pentafluorophenyl decane, which was deposited from a 1% toluene solution of pentafluorophenyltriethoxydecane and cured in a vacuum oven at 190 ° C for 30 minutes. This material is consistent with the surface modifying layer of Example 4e above. As shown in Figure 10, lines 1301 and 1302 indicate some variation in surface energy on the carrier. As noted above, this indicates some variation in the surface modification layer, and in comparison, material #3 is slightly less stable than material #1. However, as shown by lines 1303 and 1304, the surface energy of the carrier changes to 5 mJ/m2, confirming that no change in the surface modification layer produces degassing.

材料#4為六甲基二矽氮烷(HMDS),其係於140℃下之YES HMDS烘箱中自蒸氣沉積。此材料與以上表2之實例2b中之表面改質層一致。如第10圖所示,線1401及1402指示載具上之表面能量之一些變化。如上所指出,此指示表面改質層之一些變化,且相比較而言,材料#4比材料#1稍不穩定。另外,材料#4的載具之表面能量變化大於材料#2及#3之任何材料之彼變化,相比較而言指示材料#4比材料#2及#3稍不穩定。然而,如藉由線1403及1404所示,載具之表面能量變化為5mJ/m2,證實對表面改質層之變化不產生影響蓋材之表面能量的除氣。然而,此與其中HMDS除氣之方式一致。亦即,HMDS使氨及水除氣,該氨及水不影響蓋材之表面能量,且可不影響一些電子設備製作裝備及/或處理。另一方面,當除氣之產物捕獲於薄片與載具之間時,可存在其他問題,如以下結合第二除氣試驗所指出。 Material #4 was hexamethyldioxane (HMDS) which was self-vapor deposited in a YES HMDS oven at 140 °C. This material is consistent with the surface modifying layer of Example 2b of Table 2 above. As shown in Figure 10, lines 1401 and 1402 indicate some variation in surface energy on the carrier. As noted above, this indicates some variation in the surface modification layer, and in comparison, material #4 is slightly less stable than material #1. In addition, the surface energy variation of the carrier of material #4 is greater than the variation of any of materials #2 and #3, and the indicator material #4 is slightly unstable compared to materials #2 and #3. However, as shown by lines 1403 and 1404, the surface energy of the carrier changes to 5 mJ/m2, which confirmed that the change of the surface modification layer did not affect the degassing of the surface energy of the cover material. However, this is consistent with the manner in which HMDS is degassed. That is, HMDS degass ammonia and water, and the ammonia and water do not affect the surface energy of the cover material, and may not affect some electronic equipment manufacturing equipment and/or processing. On the other hand, there may be other problems when the degassed product is trapped between the sheet and the carrier, as indicated below in connection with the second outgassing test.

材料#5為縮水甘油氧基丙基矽烷,其為自縮水甘油氧基丙基三乙氧基矽烷之1%甲苯溶液沉積的且於190℃下在真空烘箱中固化30分鐘之SAM。此為比較實例材料。儘管載具之表面能量存在相對小的變化,如藉由線1501及1502所示,但蓋材之表面能量存在顯著變化,如藉由線1503及1504所示。亦即,儘管材料#5在載具表面上相對穩定,但是其的確在蓋材表面上使顯著量之材料除氣,藉以蓋材表面能量變化10mJ/m2。儘管在600℃下、在10分鐘結束時表面能量在10mJ/m2內,但在彼時間期間之變化確實超過10mJ/m2。參見,例如在1分鐘及5分鐘處之資料點。儘管不希望受理論約束,但表面能量自5分鐘至10分鐘之輕微提升可能係與分解且自蓋材表面掉落的一些除氣材料有關。 Material #5 is glycidoxypropyl decane, which is a SAM deposited from a 1% toluene solution of glycidoxypropyl triethoxy decane and cured in a vacuum oven at 190 ° C for 30 minutes. This is a comparative example material. Although there is a relatively small change in the surface energy of the carrier, as indicated by lines 1501 and 1502, there is a significant change in the surface energy of the cover material, as indicated by lines 1503 and 1504. That is, although material #5 is relatively stable on the surface of the carrier, it does degas a significant amount of material on the surface of the cover material, whereby the surface energy of the cover material changes. 10mJ/m2. Although the surface energy was within 10 mJ/m 2 at the end of 10 minutes at 600 ° C, the change during the period of time did exceed 10 mJ/m 2 . See, for example, the data points at 1 minute and 5 minutes. Although not wishing to be bound by theory, a slight increase in surface energy from 5 minutes to 10 minutes may be associated with some outgassing materials that decompose and fall from the surface of the cover material.

材料#6為DC704,其為藉由將5ml Dow Corning 704擴散泵油四甲基四苯基三矽氧烷(可購自Dow Corning)分配於載具上,在空氣中將其置放於500℃熱板上歷時8分鐘所製備的聚矽氧塗層。可見發煙之結束表明樣本製備之完成。在以上述方式製備樣本之後,進行以上所述的除氣測試。此為比較實例材料。如第10圖所示,線1601及1602指示載具上之表面能量之一些變化。如上所指出,此指示表面改質層之一些變化,且相比較而言,材料#6比材料#1稍不穩定。另外,如藉由線1603及1604所示,載具之表面能量變化10mJ/M2,證實顯著除氣。更特定而言,在450℃之試驗極限溫度下,10分鐘之資料點展示約15mJ/m2之表面能量減小,及對在1分鐘及5分鐘處之點而言甚至更大的表面能量減小。 類似地,就在600℃試驗極限溫度下之循環期間蓋材之表面能量變化而言,在10分鐘資料點處,蓋材之表面能量減小為約25mJ/m2,在5分鐘處稍微更大,且在1分鐘下稍微更小。總而言之,儘管如此,在測試之全程內,證實此材料之顯著量之除氣。 Material #6 is DC704, which was dispensed onto the vehicle by dispensing 5 ml of Dow Corning 704 diffusion pump oil tetramethyltetraphenyltrioxane (available from Dow Corning) and placed in air at 500. The polyfluorene oxide coating prepared on a hot plate for 8 minutes on a °C hot plate. It can be seen that the end of the smoke indicates the completion of the sample preparation. After preparing the sample in the above manner, the degassing test described above was carried out. This is a comparative example material. As shown in Figure 10, lines 1601 and 1602 indicate some variation in surface energy on the carrier. As noted above, this indicates some variation in the surface modification layer, and in comparison, material #6 is slightly less stable than material #1. In addition, as shown by lines 1603 and 1604, the surface energy of the carrier changes. 10 mJ/M2, confirming significant degassing. More specifically, at a test limit temperature of 450 ° C, the 10 minute data point shows a reduction in surface energy of about 15 mJ/m 2 and an even greater surface energy reduction at points of 1 minute and 5 minutes. small. Similarly, at the 10 minute data point, the surface energy of the cover material is reduced to about 25 mJ/m2 at a 10 minute data point, slightly greater at 5 minutes, in terms of surface energy change of the cover material during the cycle at 600 °C test limit temperature. And slightly smaller in 1 minute. All in all, despite this, a significant amount of degassing of this material was confirmed throughout the test.

材料#7為CH4-H2電漿沉積聚合物,其係用短暫N2-O2及N2電漿順序地處理。此材料類似於以上表11之實例中之表面改質層。如第10圖所示,線7001及7002展示載具之表面能量不會顯著變化。因此,此材料在自450℃至600℃之溫度下極為穩定。另外,如藉由線7003及7004所示,蓋材之表面能量亦不會顯著變化,亦即變化為5mJ/m2。因此,自450℃至600℃不存在與此材料相關聯的除氣。 Material #7 is a CH4-H2 plasma deposited polymer which is sequentially treated with a short period of N2-O2 and N2 plasma. This material is similar to the surface modifying layer in the examples of Table 11 above. As shown in Figure 10, lines 7001 and 7002 show that the surface energy of the carrier does not change significantly. Therefore, this material is extremely stable at temperatures from 450 ° C to 600 ° C. In addition, as shown by lines 7003 and 7004, the surface energy of the cover material does not change significantly, that is, changes to 5mJ/m2. Therefore, there is no outgassing associated with this material from 450 ° C to 600 ° C.

顯著地,對材料#1-4及7而言,時間-溫度循環全程之表面能量指示:蓋材表面之表面能量保持為與裸玻璃之彼者一致,亦即,未收集到自載具表面除氣之材料。在材料#4的狀況下,如結合表2所指出,製備載具表面及薄片表面之方式在物件(薄片經由表面改質層與載具接合在一起)是否將在FPD處理中保全方面產生大的差異。因此,儘管第10圖中展示之材料#4之實例可能不除氣,但此材料可或可不在400℃或600℃試驗中保全,如結合表2之論述所指出。 Significantly, for materials #1-4 and 7, the surface energy of the entire time-temperature cycle indicates that the surface energy of the surface of the cover material remains the same as that of the bare glass, that is, the surface of the self-carrier is not collected. Degassing material. In the case of material #4, as indicated in conjunction with Table 2, the manner in which the surface of the carrier and the surface of the sheet are prepared in the manner in which the article (the sheet is bonded to the carrier via the surface modifying layer) will be large in terms of preservation in FPD processing. The difference. Thus, although the example of material #4 shown in FIG. 10 may not degas, this material may or may not be preserved in the 400 ° C or 600 ° C test, as indicated in connection with the discussion of Table 2.

量測小量除氣之第二方式係基於組裝物件,亦即,其中薄片經由表面改質層接合至載具之物件,且使用百分比氣泡面積變化來判定除氣。亦即,在物件之加熱期間,載具與薄片之間形成的氣泡指示表面改質層之除氣。如以上結合 第一除氣試驗所指出,難以量測極薄表面改質層之除氣。在此第二試驗中,薄片下之除氣可藉由薄片與載具之間的強黏著來限制。儘管如此,10nm厚之層(例如,電漿聚合材料、SAM及熱解矽油表面處理物)可仍在熱處理期間產生氣泡,而不管其較小的絕對質量損失。而且,薄片與載具之間的氣泡之產生可引起圖案形成、光微影術處理及/或於薄片上之裝置處理期間對準之問題。另外,在薄片與載具之間的接合區域之邊界處的起泡可引起來自一個製程之製程流體污染下游製程的問題。5之%氣泡面積變化為顯著的(指示除氣)且不為合乎需要的。另一方面,1之%氣泡面積變化不為顯著的,且指示尚無除氣。 The second way of measuring a small amount of outgassing is based on the assembled article, that is, the article in which the sheet is bonded to the carrier via the surface modifying layer, and the percentage change in the area of the bubble is used to determine the outgassing. That is, during heating of the article, bubbles formed between the carrier and the sheet indicate degassing of the surface modifying layer. As indicated above in connection with the first outgassing test, it is difficult to measure the outgassing of the extremely thin surface modifying layer. In this second test, the outgassing under the sheet can be limited by the strong adhesion between the sheet and the carrier. despite this, A 10 nm thick layer (eg, plasma polymer material, SAM, and pyrolytic oil surface treatment) can still generate bubbles during heat treatment regardless of its small absolute mass loss. Moreover, the creation of bubbles between the sheet and the carrier can cause problems in patterning, photolithography, and/or alignment during processing of the device on the sheet. Additionally, blistering at the boundary of the bond area between the sheet and the carrier can cause problems with downstream process variations from process flow of one process. A 5% change in bubble area is significant (indicating degassing) and is not desirable. on the other hand, The change in bubble area of 1% is not significant and indicates that there is no outgassing.

在1000級清潔室中利用手動接合的接合薄玻璃之平均氣泡面積為1%。接合載具中之%氣泡隨載具、薄玻璃片之清潔度及表面製備而變。因為此等初始缺陷充當用於在熱處理之後氣泡生長之形核位點,在熱處理之後小於1%的氣泡面積之任何變化在樣本製備之可變性以內。為進行此試驗,使用具有透明度單元之市售桌上型掃描器(Epson Expression 10000XL Photo)來獲取在接合之後立即將薄片及載具接合的區域之第一掃描影像。使用標準Epson軟體、使用508dpi(50微米/像素)及24位元RGB來掃描各部分。影像處理軟體首先藉由以下方式來準備影像:按需要將樣本之不同區段之影像拼接成單一影像,且移除掃描器假影(藉由使用在掃描器中不具有樣本的情況下執行的校準參考掃描)。隨後使用標準影像處理技術來分析接合區域,該等影像處理技術諸如定限化、 孔洞填充、侵蝕/擴張及二進制大型物件分析(blob analysis)。亦可以類似方式使用新的Epson Expression 11000XL Photo。在透射模式中,接合區域中之氣泡在掃描影像中可見,且可判定氣泡面積之值。隨後,將氣泡面積與總接合面積(亦即,薄片與載具之間的總重疊面積)相比較,以計算接合區域中之氣泡相對於總接合面積之%面積。隨後在MPT-RTP600s快速熱處理系統中、於N2氣氛下、在300℃、450℃及600℃之試驗極限溫度下,將樣本熱處理至多10分鐘。確切言之,所進行的時間-溫度循環包括:將物件插入處於在室溫及大氣壓下的加熱室中;隨後以每分鐘9℃之速率將腔室加熱至試驗極限溫度;將腔室保持在試驗極限溫度下歷時10分鐘;隨後以爐速率將腔室冷卻至200℃;將物件自腔室移除且使其冷卻至室溫;隨後利用光學掃描器第二次掃描物件。然後如上計算來自第二掃描之%氣泡面積,且將其與來自第一掃描之%氣泡面積相比較,以判定%氣泡面積變化(△%氣泡面積)。如上所指出,5%之氣泡面積變化為顯著的且指示除氣。由於原始%氣泡面積之可變性,將%氣泡面積變化選擇為量測準則。亦即,大多數表面改質層在第一掃描中具有約2%之氣泡面積,此歸因於在已製備薄片及載具之後且在其接合之前的處置及清潔度。然而,在材料之間可發生變化。相對於第一除氣試驗方法闡述的相同材料#1-7再次用於此第二除氣試驗方法。此等材料中,材料#1-4在第一掃描中展現約2%氣泡面積,而材料#5及#6在第一掃描中展示顯著更大的氣泡面積,亦即,約4%。 The average bubble area of the bonded thin glass using manual bonding in a Class 1000 clean room was 1%. The % bubble in the bonding carrier varies with the cleaning of the carrier, the thin glass sheet, and the surface preparation. Since these initial defects act as nucleation sites for bubble growth after heat treatment, any change in bubble area of less than 1% after heat treatment is within the variability of sample preparation. For this test, a commercially available desktop scanner (Epson Expression 10000XL Photo) with a transparency unit was used to obtain a first scanned image of the area where the sheet and the carrier were joined immediately after bonding. Each part was scanned using standard Epson software using 508 dpi (50 micron/pixel) and 24-bit RGB. The image processing software first prepares the image by stitching the images of different segments of the sample into a single image as needed, and removing the scanner artifacts (by using the sample without the sample in the scanner) Calibration reference scan). The joint area is then analyzed using standard image processing techniques such as sizing, hole filling, erosion/expansion, and binary large blob analysis. The new Epson Expression 11000XL Photo can also be used in a similar manner. In the transmissive mode, the bubbles in the joint region are visible in the scanned image and the value of the bubble area can be determined. Subsequently, the bubble area is compared to the total joint area (i.e., the total overlap area between the sheet and the carrier) to calculate the % area of the bubble in the joint area relative to the total joint area. The samples were then heat treated for up to 10 minutes in a MPT-RTP 600s rapid thermal processing system under N2 atmosphere at 300 ° C, 450 ° C and 600 ° C test limit temperatures. Specifically, the time-temperature cycle performed includes: inserting the article into a heating chamber at room temperature and atmospheric pressure; then heating the chamber to a test limit temperature at a rate of 9 ° C per minute; maintaining the chamber at The test was carried out at a temperature limit of 10 minutes; the chamber was then cooled to 200 ° C at furnace rate; the article was removed from the chamber and allowed to cool to room temperature; the article was then scanned a second time using an optical scanner. The % bubble area from the second scan was then calculated as above and compared to the % bubble area from the first scan to determine the % bubble area change (Δ% bubble area). As indicated above, The 5% bubble area change was significant and indicated degassing. Due to the variability of the original % bubble area, the % bubble area change was chosen as the measurement criterion. That is, most surface modifying layers have a bubble area of about 2% in the first scan due to handling and cleanliness after the sheets and carriers have been prepared and prior to their bonding. However, changes can occur between materials. The same material #1-7 set forth with respect to the first outgassing test method was again used for this second outgassing test method. Of these materials, material #1-4 exhibited approximately 2% bubble area in the first scan, while materials #5 and #6 exhibited significantly larger bubble areas in the first scan, i.e., about 4%.

第二除氣試驗之結果將參考第11圖及第12圖來描述。材料#1-3及#7之除氣試驗結果展示於第11圖中,而材料#4-6之除氣試驗結果展示於第12圖中。 The results of the second degassing test will be described with reference to Figs. 11 and 12. The results of the degassing test for materials #1-3 and #7 are shown in Fig. 11, and the results of the degassing test for materials #4-6 are shown in Fig. 12.

材料#1之結果在第11圖中展示為正方形資料點。如自該圖可見,%氣泡面積變化對300℃、450℃及600℃之試驗極限溫度而言接近零。因此,材料#1證實在此等溫度下沒有除氣。 The result of material #1 is shown in Figure 11 as a square data point. As can be seen from the figure, the % bubble area change is close to zero for the test limit temperatures of 300 ° C, 450 ° C, and 600 ° C. Therefore, material #1 confirmed that there was no outgassing at these temperatures.

材料#2之結果在第11圖中展示為菱形資料點。如自該圖可見,%氣泡面積變化對450℃及600℃之試驗極限溫度而言小於1。因此,材料#2證實在此等溫度下沒有除氣。 The result of material #2 is shown in Figure 11 as a diamond data point. As can be seen from the figure, the % bubble area change is less than 1 for the test limit temperatures of 450 ° C and 600 ° C. Therefore, material #2 confirmed that there was no outgassing at these temperatures.

材料#3之結果在第11圖中展示為三角形資料點。如自該圖可見,類似於材料#1之結果,%氣泡面積變化對300℃、450℃及600℃之試驗極限溫度而言接近零。因此,材料#1證實在此等溫度下沒有除氣。 The result of material #3 is shown in Figure 11 as a triangular data point. As can be seen from this figure, similar to the result of material #1, the % bubble area change is close to zero for the test limit temperatures of 300 ° C, 450 ° C, and 600 ° C. Therefore, material #1 confirmed that there was no outgassing at these temperatures.

材料#7之結果在第11圖中展示為十字形資料點。如自該圖可見,%氣泡面積變化對300℃及450℃之試驗極限溫度而言接近零。因此,材料#7證實在此等溫度下沒有除氣。對600℃之試驗極限溫度而言,材料#7展示小於2之%氣泡面積變化。因此,材料#7證實在此溫度下至多最少的除氣。 The result of material #7 is shown in Figure 11 as a cross-shaped data point. As can be seen from the figure, the % bubble area change is close to zero for the test limit temperatures of 300 ° C and 450 ° C. Therefore, material #7 confirmed that there was no outgassing at these temperatures. For the test limit temperature of 600 ° C, material #7 exhibited a change in bubble area of less than 2%. Therefore, material #7 demonstrates at most a minimum of outgassing at this temperature.

材料#4之結果在第12圖中展示為圓形資料點。如自該圖可見,%氣泡面積變化對300℃之試驗極限溫度而言接近零,但對一些樣本而言,在450℃及600℃之試驗極限溫度下接近1%,且對彼相同材料之其他樣本而言,在450℃及600℃之試驗極限溫度下為約5%。材料#4之結果極不一致,且取 決於製備薄片表面及載具以供利用HMDS材料進行接合之方式。取決於製備樣本之方式的樣本執行之方式與結合以上表2闡述之此材料之實例及相關聯論述一致。要指出的是,對此材料而言,對450℃及600℃試驗極限溫度而言具有接近1%之%氣泡面積變化的樣本不允許薄片根據以上闡述的分離試驗與載具之分離。亦即,薄片與載具之間的強黏著可具有有限的氣泡產生。另一方面,具有接近5%之%氣泡面積變化的樣本允許薄片與載具之分離。因此,不具有除氣之樣本在將載具及薄片黏結在一起的溫度處理之後具有增加黏著之非所要結果(阻止薄片自載具之移除),而允許薄片及載具之移除的樣本具有除氣之非所要結果。 The result of material #4 is shown in Figure 12 as a circular data point. As can be seen from the figure, the % bubble area change is close to zero for the test limit temperature of 300 ° C, but for some samples, it is close to 1% at the test limit temperature of 450 ° C and 600 ° C, and for the same material For the other samples, it was about 5% at the test limit temperatures of 450 ° C and 600 ° C. The results of material #4 are extremely inconsistent and take It depends on the manner in which the sheet surface and the carrier are prepared for bonding using HMDS materials. The manner in which the samples are performed depending on the manner in which the samples are prepared is consistent with the examples and associated discussion of the materials set forth in connection with Table 2 above. It is to be noted that for this material, a sample having a bubble area change of approximately 1% of the 450 ° C and 600 ° C test limit temperatures does not allow the sheet to separate from the carrier according to the separation test set forth above. That is, the strong adhesion between the sheet and the carrier can have limited bubble generation. On the other hand, a sample having a change in bubble area of approximately 5% is allowed to separate the sheet from the carrier. Therefore, a sample that does not have a degassing has a non-desired result of increasing adhesion after the temperature treatment of bonding the carrier and the sheet together (preventing removal of the sheet from the carrier), and allowing removal of the sheet and the sample of the carrier Has the desired result of degassing.

材料#5之結果在第12圖中展示為三角形資料點。如自該圖可見,%氣泡面積變化對300℃之試驗極限溫度而言約15%,且對450℃及600℃之較高試驗極限溫度而言相當高。因此,材料#5證實在此等溫度下之顯著除氣。 The result of material #5 is shown in Figure 12 as a triangular data point. As can be seen from this figure, the % bubble area change is about 15% for the test limit temperature of 300 ° C and is quite high for the higher test limit temperatures of 450 ° C and 600 ° C. Therefore, material #5 demonstrates significant outgassing at these temperatures.

材料#6之結果在第12圖中展示為正方形資料點。如自此圖可見,%氣泡面積變化對300℃之試驗極限溫度而言超過2.5%,且對450℃及600℃之試驗極限溫度而言超過5%。因此,材料#6證實在450℃及600℃之試驗極限溫度下之顯著除氣。 The result of material #6 is shown in Figure 12 as a square data point. As can be seen from this figure, the % bubble area change exceeds 2.5% for the test limit temperature of 300 ° C and exceeds 5% for the test limit temperatures of 450 ° C and 600 ° C. Thus, material #6 demonstrates significant outgassing at 450 ° C and 600 ° C test limit temperatures.

將聚合物表面接合至玻璃表面Bonding the polymer surface to the glass surface

已在諸如聚萘二甲酸乙二酯(PEN)、聚對苯二甲酸乙二酯(polyethylene terephthalate;PET)及聚醯亞胺(polyimide;PI)之聚合物片材上示範論證顯示器,其中裝置製 作係利用積層至玻璃載具之PEN的片材至片材製作。聚合物黏著劑之至多100微米厚層典型地用以將PEN及PET積層至玻璃載具,以用於片材至片材處理。此等黏著劑在裝置處理期間之重量損失典型地大於1%,從而對由於溶劑之除氣的污染而言產生挑戰。另外,黏著劑之完全移除受到挑戰,因此玻璃載具通常未得以重複使用。 Demonstration of displays on polymer sheets such as polyethylene naphthalate (PEN), polyethylene terephthalate (PET), and polyimide (PI), where the device system The film is made from a sheet of PEN laminated to a glass carrier to a sheet. Up to 100 micron thick layers of polymeric binders are typically used to laminate PEN and PET to glass carriers for sheet to sheet processing. The weight loss of such adhesives during processing of the device is typically greater than 1%, creating a challenge to contamination due to outgassing of the solvent. In addition, complete removal of the adhesive is challenged, so glass carriers are often not reused.

本申請案描述使用薄表面改質層來在玻璃載具與聚合物片材之間形成適度黏附,以產生受控暫時接合,該受控暫時接合十分強以在TFT處理中保全但足夠弱以允許脫接合。然而,熱、真空、溶劑及酸性以及超音波平板顯示器(FPD)製程需要供薄聚合物片材結合至載具之穩健接合,但本文論述的本發明表面改質層之各種表面改質層能夠達成此種受控接合,以用於在玻璃載具上處理聚合物薄片。另外,受控接合能夠允許聚合物薄片自載具移除,而無對聚合物薄片或玻璃載具之毀滅性破壞,且進而提供可重複使用玻璃載具。 The present application describes the use of a thin surface modifying layer to form a moderate bond between the glass carrier and the polymeric sheet to create a controlled temporary bond that is strong enough to be preserved in the TFT process but weak enough to Allow disengagement. However, thermal, vacuum, solvent, and acidic, and ultrasonic flat panel display (FPD) processes require robust bonding of the thin polymeric sheet to the carrier, but the various surface modifying layers of the surface modifying layer of the present invention discussed herein are capable of This controlled bonding is achieved for processing the polymer sheet on a glass carrier. In addition, the controlled bonding can allow the polymer sheet to be removed from the carrier without catastrophic damage to the polymer sheet or glass carrier, and in turn provide a reusable glass carrier.

三種電晶體技術用於FPD背板製作之大量生產中:非晶矽(aSi)底部閘極TFT、多晶矽(polycrystalline silicon;pSi)頂級閘極TFT,及非晶形氧化物(IGZO)底部閘極TFT。此等技術全部需要>300C之高溫處理步驟。對能夠實現高溫製程之基板的此項要求以及對化學、機械及真空相容性的要求已成為可撓性顯示器於諸如聚合物之現存可撓性基板上之工業化的主要限制。通用製程以聚合物基板之清潔開始,典型地於鹼性溶液中利用超音波或兆頻超音波攪動清潔,接著DI水清洗。裝置結構係在材料沉積及光微影圖案化接著材料蝕刻 之許多減除性循環中製作。金屬、介電質及半導體材料係藉由真空製程來沉積,該等真空製程例如濺鍍金屬、透明導電氧化物及氧化物半導體;非晶矽、氮化矽及二氧化矽於高溫下之化學氣相沉積(CVD)沉積。雷射及閃光燈退火允許p-Si結晶而無過量基板加熱,但均勻性受到挑戰且效能相較於玻璃基板而言不良。藉由聚合物抗蝕劑及蝕刻,接著抗蝕劑剝除之光微影圖案化來圖案化各層。使用真空電漿(乾式)蝕刻製程及酸性濕式蝕刻製程。在FPD處理中,光阻劑典型地藉由熱溶劑,典型地利用超音波或兆頻超音波攪動來剝除。 Three kinds of transistor technology are used in mass production of FPD backplane fabrication: amorphous germanium (aSi) bottom gate TFT, polycrystalline silicon (pSi) top gate TFT, and amorphous oxide (IGZO) bottom gate TFT . All of these technologies require a high temperature processing step of >300C. This requirement for substrates capable of achieving high temperature processes, as well as requirements for chemical, mechanical, and vacuum compatibility, has become a major limitation of the industrialization of flexible displays on existing flexible substrates such as polymers. The general process begins with the cleaning of the polymer substrate, typically with an ultrasonic or megasonic ultrasonic agitation cleaning in an alkaline solution followed by DI water cleaning. Device structure is based on material deposition and photolithography patterning followed by material etching Made in many subtractive cycles. Metal, dielectric and semiconductor materials are deposited by a vacuum process such as sputtering of metals, transparent conductive oxides and oxide semiconductors; crystallization of amorphous germanium, tantalum nitride and germanium dioxide at elevated temperatures Vapor deposition (CVD) deposition. Laser and flash lamp annealing allows p-Si to crystallize without excessive substrate heating, but uniformity is challenged and performance is poor compared to glass substrates. The layers are patterned by polymer resist and etching followed by photolithographic patterning of resist stripping. A vacuum plasma (dry) etching process and an acid wet etching process are used. In FPD processing, the photoresist is typically stripped by a hot solvent, typically using ultrasonic or megasonic ultrasonic agitation.

移除黏著劑之厚層阻止載具之可重複使用性。對適用於FPD處理之聚合物黏著劑而言,必須在溶劑、強酸及強鹼中具有良好的化學耐受性。然而,此等相同性質使移除受到挑戰。而且,利用至多100微米厚之層的情況下,電漿製程對移除層而言不為實際的。對有機薄膜電晶體製作之主要挑戰為薄聚合物片材至載具之積層。 Removing the thick layer of adhesive prevents the vehicle from being reusable. For polymer adhesives suitable for FPD treatment, they must have good chemical resistance in solvents, strong acids and strong bases. However, these same properties make removal a challenge. Moreover, in the case of layers up to 100 microns thick, the plasma process is not practical for removing layers. The main challenge in the fabrication of organic thin film transistors is the lamination of thin polymer sheets to carriers.

本申請案描述用於將聚合物片材受控暫時接合至玻璃載具以用於FPD製程之方法,且描述用於薄聚合物基板之片材至片材處理之可重複使用玻璃載具。表面改質層於玻璃載具上之形成以薄聚合物片材與載具之間的適度黏著產生暫時接合。適度黏著係藉由最佳化凡得瓦力及共價吸引能量對總黏著能量之貢獻來達成,該總黏著能量係藉由調變薄片及載具之極性及非極性表面能量分量來控制。此適度接合足夠強以在FPD處理(包括濕式超音波製程、真空製程及熱製程)中保全,且仍允許聚合物片材保持可藉由足夠剝離力之施加 而與載具脫接合。脫接合允許在薄聚合物片材上製作之裝置之移除及載具之重複使用,因為表面改質層為<1微米厚且易於在氧電漿中移除。 This application describes a method for controlled temporary bonding of a polymer sheet to a glass carrier for use in an FPD process, and describes a sheet-to-sheet process reusable glass carrier for a thin polymer substrate. The formation of the surface modifying layer on the glass carrier creates a temporary bond with a moderate adhesion between the thin polymer sheet and the carrier. Moderate adhesion is achieved by optimizing the contribution of van der Waals and covalent attraction energy to the total adhesion energy, which is controlled by the polar and non-polar surface energy components of the modulating sheet and the carrier. This moderate bond is strong enough to be preserved in FPD processing (including wet ultrasonic processes, vacuum processes, and thermal processes) and still allows the polymer sheet to remain applied by sufficient peel force And disengaged from the carrier. Debonding allows for the removal of the device fabricated on a thin polymer sheet and the re-use of the carrier because the surface modifying layer is <1 micron thick and is easily removed in the oxygen plasma.

可獲得以下優點:使用薄表面改質層來產生薄聚合物片材與玻璃載具之間的適度接合。 The advantage is obtained that a thin surface modifying layer is used to create a moderate bond between the thin polymer sheet and the glass carrier.

(1)相較於商業黏著劑而言,用以將薄聚合物片材接合至載具之材料之量的大致100X減少使除氣減少,且使吸收與污染下游製程之污染可能性減少。 (1) A substantially 100X reduction in the amount of material used to bond a thin polymer sheet to a carrier reduces the outgassing and reduces the likelihood of contamination of the downstream process of absorption and contamination compared to commercial adhesives.

(2)高度交聯電漿聚合物表面改質層為非揮發性的及不可溶的,從而減少除氣及製程污染之機率。 (2) The highly crosslinked plasma polymer surface modification layer is non-volatile and insoluble, thereby reducing the probability of degassing and process contamination.

(3)表面改質層易於在高溫下、在氧電漿或下游氧電漿中移除。 (3) The surface modifying layer is easily removed at high temperatures in oxygen plasma or downstream oxygen plasma.

(4)玻璃載具可重複使用,因為表面改質層為薄的且易於移除。 (4) The glass carrier can be reused because the surface modifying layer is thin and easy to remove.

PEN及PET尤其為可以捲筒形式利用於電子製作之典型選擇聚合物基板。相較於大多數聚合物而言,其為相對化學惰性的,具有低吸水率、低膨脹性,且耐溫度的。然而,此等性質次於玻璃之彼等性質。例如,非熱穩定PEN之最高溫度為155℃,而PET之最高溫度僅為120℃。此等溫度相較於適用於pSi處理之顯示玻璃之>600℃使用溫度而言為低的。與顯示玻璃之3.5ppm相對,PEN之熱膨脹為約20ppm。而且,在150℃下30min之後,在溫度下之收縮率約0.1%,其遠超過玻璃在顯著更高溫度下之鬆弛及壓實。聚合物基板之此等次級物理性質需要製程適應來以高產率沉積高品質裝 置。例如,二氧化矽、氮化矽及非晶矽沉積溫度必須降低以保留於適於聚合物基板之極限值內。 PEN and PET are especially typical polymer substrates that can be used in electronic form for reeling. It is relatively chemically inert compared to most polymers, has low water absorption, low expansion, and temperature resistance. However, these properties are inferior to those of glass. For example, the maximum temperature for non-thermally stable PEN is 155 ° C, while the maximum temperature for PET is only 120 ° C. These temperatures are lower than the >600 °C use temperature for display glass suitable for pSi processing. The thermal expansion of PEN is about 20 ppm as opposed to 3.5 ppm of the display glass. Moreover, after 30 min at 150 ° C, the shrinkage at temperature is about 0.1%, which far exceeds the relaxation and compaction of the glass at significantly higher temperatures. These secondary physical properties of the polymer substrate require process adaptation to deposit high quality in high yields. Set. For example, the cerium oxide, cerium nitride, and amorphous germanium deposition temperatures must be lowered to remain within the limits suitable for the polymer substrate.

聚合物之上述物理性質亦使得與用於片材至片材處理的剛性載具之接合成為挑戰。例如,聚合物片材之熱膨脹典型地大於顯示玻璃之熱膨脹6x。儘管溫度上限較小,但熱應力足夠大以產生翹曲度及彎曲度,且當使用習知接合技術時引起脫層。使用諸如鹼石灰之高膨脹度玻璃或較高膨脹度金屬載具有助於管控翹曲挑戰,但此等載具典型地相對於污染、相容性或粗糙度(熱轉移)而言具有挑戰。 The above physical properties of the polymer also make it challenging to engage with rigid carriers for sheet-to-sheet processing. For example, the thermal expansion of the polymer sheet is typically greater than the thermal expansion of the display glass by 6x. Although the upper temperature limit is small, the thermal stress is large enough to produce warpage and curvature, and causes delamination when using conventional bonding techniques. The use of high expansion glass such as soda lime or higher expansion metal carriers helps to manage warping challenges, but such carriers are typically challenging relative to contamination, compatibility or roughness (thermal transfer).

PEN及PET之表面能量亦顯著地低於玻璃之表面能量。如以下表16所示,在利用SC1化學方法及標準清潔技術清潔之後,Corning® Eagle XG®玻璃展現約77mJ/m2之表面能量。參見實例16e。在無表面處理的情況下,PEN及PET為非極性的,表面能量為43-45mJ/m2(43-45dyn/cm)。參見以下表15,該表為來自「Remote Atmospheric-Pressure Plasma Activation of the Surfaces of Polyethylene Terephthalate andPolyethylene Naphthalate」,E.Gonzalez,II,M.D.Barankin,P.C.Guschl及R.F.Hicks,Langmuir 2008 24(21),12636-12643之表2。電漿清潔處理(例如藉由氧電漿進行)藉由增加極性分量將表面能量大大地增加至55-65mJ/m2(55-65dyn/cm,「電漿」)。此外,UV臭氧處理或電暈放電可用於清潔聚合物,且短暫地提高其表面能量。然而,隨時間推移,表面能量減小回其先前值(「老化」)。 The surface energy of PEN and PET is also significantly lower than the surface energy of glass. As shown in Table 16, below, Corning® Eagle XG® glass exhibits a surface energy of approximately 77 mJ/m2 after cleaning with SC1 chemistry and standard cleaning techniques. See example 16e. In the absence of surface treatment, PEN and PET are non-polar and have a surface energy of 43-45 mJ/m2 (43-45 dyn/cm). See Table 15 below, from "Remote Atmospheric-Pressure Plasma Activation of the Surfaces of Polyethylene Terephthalate and Polyethylene Naphthalate", E. Gonzalez, II, MD Barankin, PC Guschl and RF Hicks, Langmuir 2008 24(21), 12636- Table 2 of 12643. The plasma cleaning process (e.g., by oxygen plasma) greatly increases the surface energy to 55-65 mJ/m2 (55-65 dyn/cm, "plasma") by increasing the polarity component. In addition, UV ozone treatment or corona discharge can be used to clean the polymer and temporarily increase its surface energy. However, over time, the surface energy is reduced back to its previous value ("aging").

在聚合物接合表面之此等表面能量(約55mJ/m2至約65mJ/m2)及玻璃載具接合表面之約77mJ/m2的情況下,聚合物片材不會充分黏結至玻璃載具來允許結構於片材上之處理,但若首先設置於玻璃載具上且隨後加熱至適度溫度,則聚合物不能自玻璃載具剝離。因此,為在室溫下將PEN或PET初始地接合至玻璃,據發現有益的是:將玻璃載具之表面能量改質至大約匹配PEN或PET之表面能量。另外,據發現上述表面改質層之各種表面改質層控制接合能量,以便聚合物層可甚至在有機-TFT處理循環(包括一小時120℃真空退火及一分鐘150℃後烘焙步驟)之後自玻璃載具剝離。 In the case of such surface energies (about 55 mJ/m2 to about 65 mJ/m2) of the polymer bonding surface and about 77 mJ/m2 of the glass carrier bonding surface, the polymer sheet does not sufficiently bond to the glass carrier to allow The structure is treated on a sheet, but if it is first placed on a glass carrier and then heated to a moderate temperature, the polymer cannot be peeled off from the glass carrier. Thus, to initially bond PEN or PET to the glass at room temperature, it has been found to be beneficial to modify the surface energy of the glass carrier to approximately match the surface energy of PEN or PET. In addition, it has been found that various surface modifying layers of the surface modifying layer control the bonding energy so that the polymer layer can be self-conducted even after the organic-TFT processing cycle (including one hour 120 ° C vacuum annealing and one minute 150 ° C post-baking step). The glass carrier is peeled off.

藉由選擇適當的表面改質層來適當地調整玻璃載具之表面能量,可達成足夠濕潤強度及黏著強度來以一方式將例如PEN或PET之聚合物可控制地接合至玻璃載具,該方式適用於有機-TFT處理(包括一小時120℃真空退火及一分鐘150℃後烘焙步驟),同時允許在處理之後聚合物自載具之可移除性。聚合物片材可成功地自載具移除,亦即,聚合物片材可控制地接合至載具,即使在以上處理之後,聚合物片材上之OTFT與用以產生其之遮罩上之OTFT之間亦未見電晶體幾何結構之明顯差異。表面改質層可自本說明書全篇中所例證 的各種材料及處理中選擇。聚合體材料可有利地在接合之前經電漿清潔(以增加其表面能量之極性分量,以便促進初始接合),但無需如此,因為玻璃載具之表面能量可大大改變,以便達成用於在其當前狀態(亦即,如所接收狀態、如經清潔狀態,或如經老化狀態)下與聚合物受控接合之適合位準。基於以上實例及以下表16中之彼等實例,可在玻璃載具接合表面上獲得自約36mJ/m2(實例5g)至約80mJ/m2(實例5f)之表面能量範圍。 By properly adjusting the surface energy of the glass carrier by selecting an appropriate surface modifying layer, sufficient wetting strength and adhesion strength can be achieved to controllably bond the polymer, such as PEN or PET, to the glass carrier in a manner that The method is suitable for organic-TFT treatment (including one hour 120 ° C vacuum annealing and one minute 150 ° C post-baking step) while allowing the polymer to be self-removable after treatment. The polymeric sheet can be successfully removed from the carrier, i.e., the polymeric sheet is controllably bonded to the carrier, even after the above treatment, the OTFT on the polymeric sheet and the mask used to create it There is also no significant difference in transistor geometry between the OTFTs. The surface modification layer can be exemplified throughout the specification. Choose from a variety of materials and treatments. The polymeric material may advantageously be plasma cleaned prior to bonding (to increase the polar component of its surface energy to facilitate initial bonding), but need not be so because the surface energy of the glass carrier can be greatly altered in order to achieve A suitable level of controlled engagement with the polymer in the current state (i.e., as received, as in a cleaned state, or as an aged state). Based on the above examples and their examples in Table 16, the surface energy range from about 36 mJ/m2 (Example 5g) to about 80 mJ/m2 (Example 5f) can be obtained on the glass carrier joining surface.

表面改質之上述方法中之若干方法適合於聚合物片材與玻璃載具之黏著接合,該表面改質包括自碳源形成,例如自烴氣體之電漿聚合形成的彼等表面改質。例如:自氟碳化合物氣體沉積的電漿聚合物膜(實例5a及5g);自氟碳化合物氣體沉積且隨後同時用氮及氫處理的電漿聚合物膜(實例5m);自各種非含氟氣體沉積的電漿聚合物膜(實例6a-6j);自烴氣體、視需要氮及氫沉積的電漿聚合物膜(實例7a-g、12j);自各種非含氟氣體沉積且隨後用氮處理的電漿聚合物膜(實例9a-9j),其中此等表面能量可適用於在清潔度及/或老化之各種狀態下的聚合物;以及自各種非含氟氣體沉積且隨後順序地用氮隨後氫處理(實例10a-10p),或用稀氨處理(實例8b、8d),或順序地用N2-O2隨後用N2處理(實例11a、11e),或用N2-O2處理(實例11f、12c)的電漿聚合物膜,其全部將尤其良好地與電漿清潔PEN一起工作。在利用不同於PET或PEN之聚合物的情況下,其他表面處理可為適合的,此取決於聚合物在其剛好於接合之前之表面能量、聚合物可受清潔程度及老化程 度影響之表面能量。據發現,大約匹配聚合物片材之表面能量之玻璃載具表面能量在初始接合及在控制接合兩者中效能良好,以便聚合物片材可易於在有機-TFT類型處理(包括一小時120℃真空退火及一分鐘150℃後烘焙步驟)之後脫接合。 Several of the above methods of surface modification are suitable for adhesive bonding of a polymer sheet to a glass carrier, the surface modification comprising formation from a carbon source, such as their surface modification from plasma polymerization of a hydrocarbon gas. For example: plasma polymer films deposited from fluorocarbon gases (Examples 5a and 5g); plasma polymer films deposited from fluorocarbon gases and subsequently treated with nitrogen and hydrogen (Example 5m); Fluorine gas deposited plasma polymer film (Examples 6a-6j); plasma polymer film deposited from hydrocarbon gas, optionally nitrogen and hydrogen (Examples 7a-g, 12j); deposited from various non-fluorine-containing gases and subsequently a plasma treated plasma polymer membrane (Examples 9a-9j) wherein the surface energies are applicable to polymers in various states of cleanliness and/or aging; and deposition from various non-fluorine-containing gases and subsequent sequence Treatment with nitrogen followed by hydrogen (Examples 10a-10p), or treatment with dilute ammonia (Examples 8b, 8d), or sequentially with N2-O2 followed by N2 (Examples 11a, 11e), or with N2-O2 ( The plasma polymer films of Examples 11f, 12c), all of which would work particularly well with the plasma cleaning PEN. Other surface treatments may be suitable where a polymer other than PET or PEN is used, depending on the surface energy of the polymer just prior to bonding, the degree of cleanability of the polymer, and the ageing process. The surface energy affected by the degree. It has been found that the surface energy of the glass carrier, which approximately matches the surface energy of the polymer sheet, works well both in the initial bonding and in the controlled bonding so that the polymer sheet can be easily handled in an organic-TFT type (including one hour at 120 ° C). The vacuum annealing and the one-minute 150 ° C post-baking step) were followed by debonding.

另外,如下,探查表面改質層之其他調配物,以達成在用以將聚合物薄片接合至玻璃載具之聚合物片材表面能量範圍內之表面能量。 Additionally, other formulations of the surface modifying layer are probed to achieve surface energy within the energy range of the surface of the polymeric sheet used to bond the polymeric sheet to the glass carrier.

自氣體之混合物形成的表面改質層Surface modifying layer formed from a mixture of gases

使用電漿聚合膜來調節接合表面之表面能量且覆蓋接合表面上之表面羥基及/或控制接合表面上之極性接合類型之一個實例為:表面改質層薄膜自包括烴(例如,甲烷)之源氣體之混合物的沉積。表面改質層之沉積可在大氣壓或減壓中發生,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於載具之接合表面、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制表面改質層膜厚度、密度及化學性質,以特製用於所要應用之官能基。藉由控制膜性質,包括控制受覆蓋的表面羥基之量,載具接合表面之表面能量可獲調節。表面能量可經調節以便控制接合之程度,亦即,以便在執行來將膜或結構安置於薄片上之後續處理期間,阻止薄片與載具之間的永久共價鍵結。 An example of using a plasma polymer film to adjust the surface energy of the bonding surface and covering the surface hydroxyl groups on the bonding surface and/or controlling the type of polarity bonding on the bonding surface is that the surface modifying layer film is self-contained of a hydrocarbon (eg, methane). Deposition of a mixture of source gases. Deposition of the surface modifying layer can occur at atmospheric or reduced pressure and is performed using plasma excitation, such as DC or RF parallel plates, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream Microwave or RF plasma. The plasma polymerized surface modifying layer can be disposed on the joining surface, the sheet, or both of the carrier. As indicated above in connection with the examples of Table 3, plasma polymerization produces a layer of highly crosslinked material. Control of the reaction conditions and source gases can be used to control the surface modification film thickness, density, and chemistry to tailor the functional groups to be used. The surface energy of the carrier engaging surface can be adjusted by controlling the properties of the film, including controlling the amount of surface hydroxyl groups covered. The surface energy can be adjusted to control the extent of the joint, i.e., to prevent permanent covalent bonding between the sheet and the carrier during subsequent processing to effect placement of the film or structure on the sheet.

在以下表16之實例中,各種條件用於將電漿聚合膜沉積於玻璃載具上。玻璃載具係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在膜沉積之前,使用SC1及/或SC2化學方法及標準清潔技術來清潔載具。在STS多工PECVD設備(可購自SPTS,Newport,UK)中以三極體電極組態模式來沉積膜,其中載具置放於平台上,將50瓦特之380kHz RF能量施加於該平台,該平台上方安置有線圈(噴淋頭),將300瓦特之13.5MHz RF能量施加於該線圈(噴淋頭),平台之溫度為200℃,且氣體穿過噴淋頭之流率如表16所示(流率係計為每分鐘之標準立方公分數--sccm)。因此,例如,表16之「表面改質層沉積製程」欄中對實例16b之記法解讀如下:在STS多工PECVD設備中,在200℃之平台溫度下,使200sccm之H2、50sccm之CH4及50sccm之C2F6一起流動穿過噴淋頭,進入具有300mTorr之壓力的腔室中;將300W之13.5MHzRF能量施加於噴淋頭;將50W之380kHz RF能量施加於其上置放有載具之平台;且沉積時間為120秒。表面處理欄中對剩餘實例之記法可以類似方式解讀。表面能量係藉由使用三種不同試驗液體(在此狀況下為水(W)、十六烷(HD)及二碘甲烷DIM)之接觸角(CA)及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。對此等實例而言,亦展示表面改質層之厚度,以埃「Th(A)」。 In the examples of Table 16 below, various conditions were used to deposit a plasma polymeric film onto a glass carrier. The glass carrier is a substrate made of Corning® Eagle XG® aluminoborosilicate free alkali metal display glass (available from Corning Incorporated, Corning NY). The vehicle is cleaned using SC1 and/or SC2 chemistry and standard cleaning techniques prior to film deposition. Films were deposited in a STS multiplexed PECVD apparatus (available from SPTS, Newport, UK) in a triode electrode configuration mode in which the carrier was placed on a platform and 50 watts of 380 kHz RF energy was applied to the platform. A coil (sprinkler) is placed above the platform, and 300 watts of 13.5 MHz RF energy is applied to the coil (sprinkler). The temperature of the platform is 200 ° C, and the flow rate of the gas through the shower head is as shown in Table 16. Shown (flow rate is calculated as the standard cubic centimeter per minute - sccm). Thus, for example, the notation for Example 16b in the "Surface Modification Layer Deposition Process" column of Table 16 is as follows: In an STS multiplex PECVD apparatus, 200 cm of H2, 50 sccm of CH4 and 200 ° C at a platform temperature of 200 ° C 50sccm of C2F6 flows together through the showerhead into the chamber with a pressure of 300mTorr; 300W of 13.5MHz RF energy is applied to the showerhead; 50W of 380kHz RF energy is applied to the platform on which the carrier is placed And the deposition time is 120 seconds. The notation of the remaining instances in the surface treatment column can be interpreted in a similar manner. The surface energy is obtained by using three different test liquids (water (W), hexadecane (HD) and diiodomethane DIM in this case) and the Wu model, in mJ/m 2 (millimeter). Joules per square meter) to calculate. For surface energy, the polar component (P) and the dispersed component (D), as well as the total energy (T), are shown. For these examples, the thickness of the surface modification layer is also shown in angstroms "Th(A)".

實例16e為已利用SC1化學方法及標準清潔技術清潔之後的一塊裸Eagle XG®玻璃。實例16e展示:在清潔之後,玻璃之表面能量為約77mJ/m2Example 16e is a piece of bare Eagle XG® glass that has been cleaned using SC1 chemistry and standard cleaning techniques. Example 16e shows that after cleaning, the surface energy of the glass is about 77 mJ/m 2 .

實例16a至16d展示:表面改質層可沉積於玻璃表面上以改質其表面能量,以便玻璃之表面可特製來適於特定接合應用。表16之實例為用於具有所要表面能量及極性基團之表面改質層之沉積的單步製程之實例,如表6及7之實例。 Examples 16a through 16d show that a surface modifying layer can be deposited on the glass surface to modify its surface energy so that the surface of the glass can be tailored to suit a particular bonding application. An example of Table 16 is an example of a one-step process for deposition of a surface modifying layer having a desired surface energy and polar groups, as in the examples of Tables 6 and 7.

實例16a展示:表面改質層可為自氫及甲烷(烴)氣體之混合物沉積的電漿聚合膜。在此等實例中,表面改質層係沉積於清潔玻璃載具上。因此,所展示的表面改質層之沉積將表面能量自約77mJ/m2減少至約49mJ/m2,其在典型聚合物接合表面上之表面能量之範圍內。 Example 16a shows that the surface modifying layer can be a plasma polymerized film deposited from a mixture of hydrogen and methane (hydrocarbon) gases. In these examples, the surface modifying layer is deposited on a clean glass carrier. Thus, the deposition of the surface modifying layer shown reduces the surface energy from about 77 mJ/m 2 to about 49 mJ/m 2 , which is within the range of surface energy on a typical polymer bonded surface.

實例16b展示:表面改質層可為自氫、甲烷(烴)及含氟氣體(例如,C2F6,其為一種氟碳化合物)之混合物沉積的電漿聚合膜。在此等實例中,表面改質層係沉積於清潔玻璃基板上。因此,所展示的表面改質層之沉積將表面能量自約77mJ/m2減少至約37mJ/m2,其大約在典型聚合物接合表面上之表面能量之範圍內。實例16b中達成的表面能量低於實例16a中達成的表面能量,從而證實:添加氟至沉積氣體可降低藉由在其他情況下類似表面改質層沉積條件所達成的表面能量。 Example 16b shows that the surface modifying layer can be a plasma polymerized film deposited from a mixture of hydrogen, methane (hydrocarbon), and a fluorine-containing gas (eg, C2F6, which is a fluorocarbon). In these examples, the surface modifying layer is deposited on a clean glass substrate. Thus, the deposition of the surface modifying layer shown reduces the surface energy from about 77 mJ/m 2 to about 37 mJ/m 2 , which is about the range of surface energy on a typical polymer bonding surface. The surface energy achieved in Example 16b was lower than the surface energy achieved in Example 16a, confirming that the addition of fluorine to the deposition gas reduced the surface energy achieved by otherwise similar surface modification layer deposition conditions.

實例16c展示:表面改質層可為自氫、甲烷(烴)及含氮氣體(例如,N2)之混合物沉積的電漿聚合膜。在此等實例中,表面改質層係沉積於清潔玻璃載具上。因此,所展示的表面改質層之沉積將表面能量自約77mJ/m2減少至約61mJ/m2,其在已受O2電漿處理(如在聚合物片材之清潔期間)的典型聚合物接合表面上之表面能量之範圍內。此表面能量亦在達成將薄玻璃片接合至載具之適合性的範圍內。 Example 16c shows that the surface modifying layer can be a plasma polymerized film deposited from a mixture of hydrogen, methane (hydrocarbon), and a nitrogen containing gas (e.g., N2). In these examples, the surface modifying layer is deposited on a clean glass carrier. Thus, the deposition of the surface modifying layer shown reduces the surface energy from about 77 mJ/m 2 to about 61 mJ/m 2 , which is a typical polymerization that has been treated with O 2 plasma (as during cleaning of the polymer sheet). The surface of the object is in the range of surface energy. This surface energy is also within the range of suitability for joining the thin glass sheets to the carrier.

實例16d展示:表面改質層可為自甲烷(烴)及含氮氣體(例如,NH3)之混合物沉積的電漿聚合膜。在此等實例中,表面改質層係沉積於清潔玻璃基板上。因此,所展示的表面改質層之沉積將表面能量自約77mJ/m2減少至約57mJ/m2,其再次在典型聚合物接合表面上之表面能量之範圍內。此外,對一些應用而言,此可適合於將載具接合至薄玻璃片。 Example 16d shows that the surface modifying layer can be a plasma polymerized film deposited from a mixture of methane (hydrocarbon) and a nitrogen containing gas (eg, NH3). In these examples, the surface modifying layer is deposited on a clean glass substrate. Thus, the deposition of the surface modifying layer shown reduces the surface energy from about 77 mJ/m 2 to about 57 mJ/m 2 , again within the range of surface energy on a typical polymer bonded surface. Moreover, for some applications, this may be suitable for joining the carrier to a thin glass sheet.

相較於藉由實例16a所達成的表面能量,藉由實例16c及16d所達成的表面能量證實:添加氮(藉由N2或藉由 NH3)至沉積氣體可增加藉由在其他情況下類似沉積氣體所達成的表面能量。 Compared to the surface energy achieved by Example 16a, the surface energies achieved by Examples 16c and 16d confirm that nitrogen is added (by N2 or by The NH3) to deposition gas can increase the surface energy achieved by similar deposition gases in other cases.

藉由實例16b之表面改質層獲得的表面能量低於50mJ/m2(視為適合於玻璃薄片與玻璃載具之受控接合),然而,此表面改質層適合於將聚合物接合表面接合至玻璃接合表面。另外,應指出,藉由實例16c及16d(自烴(甲烷)、視需要含氫氣體(H2)及含氮氣體(N2或氨)之電漿聚合形成)之表面改質層產生的表面能量大於約50mJ/m2,且因此在一些情況下可適合於將薄玻璃片接合至玻璃載具。 The surface energy obtained by the surface modifying layer of Example 16b is less than 50 mJ/m 2 (considered suitable for controlled bonding of the glass flakes to the glass carrier), however, this surface modifying layer is suitable for bonding the polymer surface Bonded to the glass joint surface. In addition, it should be noted that the surface energy produced by the surface modification layers of Examples 16c and 16d (formed from the polymerization of hydrocarbon (methane), optionally hydrogen-containing gas (H2) and nitrogen-containing gas (N2 or ammonia)) Greater than about 50 mJ/m 2 , and thus may be suitable in some cases to join a thin glass sheet to a glass carrier.

接合至具有其上安置有根據表16之實例16a至16d之表面改質層的載具之薄片為自TEONEX® Q65 PEN(可購自DuPont)製成且具有200微米之厚度的基板。 The sheet joined to the carrier having the surface modifying layer on which the examples 16a to 16d according to Table 16 were placed was a substrate made of TEONEX® Q65 PEN (available from DuPont) and having a thickness of 200 μm.

在表16之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。 In the example of Table 16, although the joint surface on which the surface modifying layer is disposed is glass, it is not necessary to be in this case. Alternatively, the bonding surface can be another suitable material having surface energy and properties similar to glass, such as germanium, polycrystalline germanium, single crystal germanium, ceramic, glass-ceramic, sapphire or quartz.

電漿聚合烴聚合物膜可在STS多工CVD中以三極體模式自甲烷及氫(實例16a)並在可選氟碳化合物(實例16b)、可選氮(實例16c)或可選氨(實例16d)添加的情況下沉積。低達37mJ/M2(實例16b)之表面能量及更高表面能量(約61mJ/m2,實例16c)可利用氟碳化合物或氮添加來達成。亦可達成介於實例16b之能量位準與實例16c之能量位準之間的表面能量(亦即,如實例16a中之約49mJ/m2,及如實例16d中 之約57mJ/m2),因此證明基於包括沉積氣體之沉積條件來調節表面改質層之表面能量的能力。 The plasma polymerized hydrocarbon polymer membrane can be derived from methane and hydrogen in a triode mode in STS multiplex CVD (Example 16a) and in an optional fluorocarbon (Example 16b), optional nitrogen (Example 16c) or optional ammonia. (Example 16d) Deposition in the case of addition. Surface energy as low as 37 mJ/M2 (Example 16b) and higher surface energy (about 61 mJ/m2, Example 16c) can be achieved using fluorocarbon or nitrogen addition. The surface energy between the energy level of Example 16b and the energy level of Example 16c can also be achieved (i.e., about 49 mJ/m2 as in Example 16a, and as in Example 16d). About 57 mJ/m2), thus demonstrating the ability to adjust the surface energy of the surface modifying layer based on the deposition conditions including the deposition gas.

舉相對實例而言,將聚合物膜安置於呈SC1清潔形式的裸玻璃載具上(實例16e)。然而,聚合物片材未充分黏結至載具來允許結構於聚合物片材上之處理。 For a relative example, the polymer film was placed on a bare glass carrier in the SC1 clean form (Example 16e). However, the polymer sheet is not sufficiently bonded to the carrier to allow the structure to be treated on the polymer sheet.

不僅如此,需要濕潤強度及接合強度適合於有機-TFT處理。聚合物膜與載具之間的巨大不同的熱膨脹係藉由選擇高膨脹度玻璃來最小化膨脹差異,並且藉由減小加熱步驟及冷卻步驟之速率而獲最好管控。對在處理期間具有最小吸水率之平滑及清潔基板表面之需要可藉由旋塗及固化適合有機介電質之薄層來滿足,該旋塗及固化兩者均使表面平面化以及產生用於濕氣及其他污染物之障壁。 Moreover, the wet strength and the joint strength are required to be suitable for the organic-TFT treatment. The vastly different thermal expansion between the polymer film and the carrier minimizes the difference in expansion by selecting a high expansion glass and is best controlled by reducing the rate of the heating and cooling steps. The need to smooth and clean the surface of the substrate with minimal water absorption during processing can be met by spin coating and curing a thin layer suitable for the organic dielectric, both of which are planarized and produced for use Barriers to moisture and other contaminants.

表面改質層製程用於將PEN(來自DuPont之TEONEX® Q65 200微厚片材)接合至Corning® Eagle XG®玻璃載具。在利用以下條件沉積之非晶形碳層的情況下發現極好的接合效能:50CH4、200H2、施加於噴淋頭之300W 13.56MHz RF、施加於200℃平台之50W 380kHz RF及2分鐘沉積時間。將PEN在接合之前暴露於UV-臭氧清潔劑5分鐘,因為發現此舉改良黏著。Teflon塗刷器用於塗覆PEN。將約150nm厚環脂族環氧樹脂層旋塗且固化於PEN上,以消除表面缺陷。有機閘極絕緣體(organic gate insulator;OGI)為光可圖案化環脂族環氧樹脂。 The surface modification layer process is used to bond PEN (TEONEX® Q65 200 micro-thick sheet from DuPont) to the Corning® Eagle XG® glass carrier. Excellent bonding performance was found in the case of an amorphous carbon layer deposited using the following conditions: 50CH4, 200H2, 300W 13.56MHz RF applied to the showerhead, 50W 380kHz RF applied to the 200°C platform, and 2 minutes deposition time. The PEN was exposed to the UV-ozone cleaner for 5 minutes prior to bonding as it was found to improve adhesion. A Teflon applicator is used to coat the PEN. A 150 nm thick ring aliphatic epoxy layer was spin coated and cured on PEN to eliminate surface defects. An organic gate insulator (OGI) is a photo-patternable cycloaliphatic epoxy resin.

底部閘極底部接觸有機薄膜電晶體之陣列藉由以下製程形成。100nm Al閘極金屬係藉由以下方式沉積:在AJA 中濺鍍,且用Fuji 6512抗蝕劑微影圖案化,且藉由在A型Al蝕刻劑中濕式蝕刻來閘極圖案化。藉由於室溫PGMEA浴中3min、接著IPA/DI清洗(基於NMP之剝除劑與環氧樹脂層不相容)來移除光阻劑。將第二環氧樹脂閘極絕緣體層旋塗於圖案化閘極上且使其固化。將100nm厚Ag S/D金屬濺鍍,且用Fuji 6512微影圖案化,且用Transene TFS:pH 10緩衝液之1:1混合物蝕刻。蝕刻成為挑戰,因為Ag蝕刻率快,但蝕刻產物之溶解慢。藉由蝕刻5s,在噴灑DI水的情況下移除蝕刻產物,且重複四至五次而獲得極好的結果。四噻吩并苯-DPP共聚物(tetrathienoacene-DPP co-polymer;PTDPPTFT4)有機半導體(organic semiconductor;OSC)層之濕潤成為挑戰。OSC黏著藉由在120℃下之YES烘箱中的HMDS處理來促進。OSC聚合物以5mg/mL濃度溶於6份十氫萘:4份甲苯中。OSC藉由在Laurel旋塗器中利用手動分配(20秒靜止、500rpm 30秒、1000rpm 60秒)旋塗來塗覆。將OSC膜在熱板上軟烘焙90℃ 2min,且在120℃下、於處於粗真空下之Salvis烘箱中真空退火1hr,以移除殘餘十氫萘。在Branson中使用短暫5秒O2電漿以改良黏附,將第三OGI層旋塗於OSC上,且用2.5秒暴露、1min靜止及1min 150℃後烘焙來直接光圖案化。在1min靜止之後,在PGMEA中使活性層圖案托盤顯影1min,接著IPA及DI清洗。在Unaxis 790 RIE中使用30sccm O2 10sccm Ar 20sccm CHF3 50mT 200W 15s之乾式蝕刻用於圖案化活性層且暴露閘極金屬。75/75um TFT’s之效能匯總於第18圖中所示的表中,該圖展示典型電 晶體之汲極電流相對閘極電壓及效能,該典型電晶體具有75微米通道寬度及75微米通道長度、製作於如上所述可控制地接合至玻璃載具之PEN上的底部閘極底部接觸有機薄膜電晶體。PEN易於藉由使用刀片來起始裂紋且隨後剝落而脫接合。聚合物片材可成功地自載具移除,甚至在以上處理之後如此,因為聚合物片材上之OTFT與用以產生其之遮罩上之OTFT之間亦未見電晶體幾何結構之明顯差異。 The bottom of the bottom gate contacts the array of organic thin film transistors formed by the following process. The 100 nm Al gate metal is deposited by: AJA The sputtering was carried out and patterned with Fuji 6512 resist lithography, and the gate was patterned by wet etching in an A-type Al etchant. The photoresist was removed by 3 min in a room temperature PGMEA bath followed by IPA/DI cleaning (the NMP based stripping agent was incompatible with the epoxy layer). A second epoxy gate insulator layer is spin coated onto the patterned gate and allowed to cure. 100 nm thick Ag S/D metal was sputtered and patterned with Fuji 6512 lithography and etched with a 1:1 mixture of Transene TFS:pH 10 buffer. Etching becomes a challenge because the Ag etch rate is fast, but the dissolution of the etch product is slow. The etching product was removed by spraying DI water by etching for 5 s, and repeated four to five times to obtain excellent results. The wetting of the tetrathienoacene-DPP co-polymer (PTDPPTFT4) organic semiconductor (OSC) layer becomes a challenge. OSC adhesion was promoted by HMDS treatment in a YES oven at 120 °C. The OSC polymer was dissolved in 6 parts of decalin at a concentration of 5 mg/mL: 4 parts of toluene. The OSC was applied by spin coating in a Laurel spinner using manual dispensing (20 seconds static, 500 rpm 30 seconds, 1000 rpm 60 seconds). The OSC film was soft baked on a hot plate at 90 ° C for 2 min and vacuum annealed at 120 ° C for 1 hr in a Salvis oven under vacuum to remove residual decalin. A short 5 second O2 plasma was used in Branson to improve adhesion, a third OGI layer was spin coated onto the OSC and directly photopatterned with 2.5 second exposure, 1 min rest and 1 min 150 °C post-bake. After 1 min of inactivity, the active layer pattern tray was developed in PGMEA for 1 min followed by IPA and DI cleaning. Dry etching using 30 sccm O2 10 sccm Ar 20 sccm CHF3 50 mT 200W 15s in Unaxis 790 RIE was used to pattern the active layer and expose the gate metal. The performance of the 75/75um TFT's is summarized in the table shown in Figure 18, which shows typical electricity. The gate current of the crystal is relative to the gate voltage and efficiency. The typical transistor has a 75 micron channel width and a 75 micron channel length, and is fabricated at the bottom gate bottom contact that is controllably bonded to the glass carrier PEN as described above. Thin film transistor. PEN is easily debonded by using a blade to initiate cracking and then peeling off. The polymer sheet can be successfully removed from the carrier, even after the above treatment, since there is no apparent crystal geometry between the OTFT on the polymer sheet and the OTFT on the mask used to create it. difference.

形成底部閘極底部接觸有機薄膜電晶體之陣列之上述製程亦成功地利用PEN片材(來自DuPont之TEONEX® Q65 200微米厚片材)來進行,該PEN片材可控制地接合至由Corning® Gorilla®玻璃(可購自Corning Incorporated,Corning,NY之含鹼金屬、可化學強化蓋玻璃)製成之載具,並具有選自本文所述的彼等表面改質層之適合表面改質層。 The above process of forming an array of bottom gate bottom contact organic thin film transistors was also successfully performed using a PEN sheet (TEONEX® Q65 200 micron thick sheet from DuPont) that was controllably bonded to Corning® A carrier made of Gorilla® glass (available from alkali metal, chemically tempered cover glass from Corning Incorporated, Corning, NY) and having a suitable surface modifying layer selected from the surface modifying layers described herein. .

如上所述,聚合物可自身為其上製作有其他裝置之基板。替代地,聚合物可為例如玻璃/聚合物複合物之複合材料基板上之聚合物表面。在此狀況下,玻璃/聚合物複合物之聚合物表面將面向載具,且將如上所述與其接合,而玻璃/聚合物複合物之玻璃表面將暴露為電子或其他結構可製作於其上之表面。在電子或其他結構於玻璃/聚合物複合物之玻璃表面上之製作之後,可將複合物之聚合物表面自載具上之表面改質層剝離。此實施例可為有利的,因為玻璃/聚合物複合物中之玻璃層變得特別薄,例如,具有以下厚度:50微米、40微米、30微米、20微米、10微米或5微米。在此狀況下,玻璃/聚合物複合物之聚合物部分不僅充當將複合物附接至載 具之接合表面,其亦可在複合物未處於載具上時賦予複合物一些處置優點。 As noted above, the polymer can itself be a substrate on which other devices are fabricated. Alternatively, the polymer can be a polymeric surface on a composite substrate such as a glass/polymer composite. In this case, the polymer surface of the glass/polymer composite will face the carrier and will be bonded thereto as described above, while the glass surface of the glass/polymer composite will be exposed to electrons or other structures that can be fabricated thereon. The surface. After fabrication of the electronic or other structure on the glass surface of the glass/polymer composite, the polymer surface of the composite can be peeled off from the surface modifying layer on the carrier. This embodiment may be advantageous because the glass layer in the glass/polymer composite becomes particularly thin, for example, having the following thicknesses: 50 microns, 40 micron, 30 microns, 20 microns, 10 microns or 5 microns. In this case, the polymer portion of the glass/polymer composite not only acts as a bonding surface for attaching the composite to the carrier, it can also impart some disposal advantages to the composite when the composite is not on the carrier.

結論in conclusion

應強調的是,本發明之上述實施例,尤其任何「較佳」實施例僅僅為實行方案之可能實例,僅僅闡述來達成對本發明之各種原理之清晰理解。在實質上不脫離本發明之精神及各種原理的情況下,可對本發明之上述實施例做出許多變化及修改。所有此等修改及變化意欲在本文中包括於本揭示內容及本發明之範疇內,且藉由隨附申請專利範圍來保護。 It should be emphasized that the above-described embodiments of the present invention, and in particular, the preferred embodiments of the present invention are merely illustrative of the embodiments of the invention. Many variations and modifications of the above-described embodiments of the invention are possible without departing from the spirit and scope of the invention. All such modifications and variations are intended to be included within the scope of the present disclosure and the scope of the present invention, and are protected by the scope of the appended claims.

例如,儘管許多實施例之表面改質層30係展示且論述為形成於載具10上,但其可取而代之或另外形成於薄片20上。亦即,適當時,如表3-12及16之實例中所闡述的材料可施加於載具10、施加於薄片20、施加於載具10及薄片20兩者的將接合在一起的面上。 For example, while the surface modifying layer 30 of many embodiments is shown and discussed as being formed on the carrier 10, it may alternatively or additionally be formed on the sheet 20. That is, as appropriate, materials as set forth in the examples of Tables 3-12 and 16 can be applied to the carrier 10, applied to the sheet 20, applied to both the carrier 10 and the sheet 20, which will be joined together. .

另外,儘管一些表面改質層30描述為控制接合強度以便甚至在400℃或600℃之溫度下處理物件2之後,允許薄片20自載具10移除,但當然可能在比物件2順利完成之特定試驗之彼等溫度更低的溫度下處理物件,且仍達成自載具10移除薄片20而不破壞薄片20或載具10之能力。 Additionally, although some surface modifying layers 30 are described as controlling the joint strength to allow the sheet 20 to be removed from the carrier 10 even after the article 2 has been processed at a temperature of 400 ° C or 600 ° C, it may of course be completed better than the article 2 Objects are processed at lower temperatures of a particular test, and the ability to remove the sheet 20 from the carrier 10 without breaking the sheet 20 or carrier 10 is still achieved.

另外,儘管受控接合概念已在本文中描述為用於載具及薄片,但在某些情況下,該等概念適用於控制玻璃、陶瓷或玻璃陶瓷之較厚片材之間的接合,其中可需要使片材(或其部分)彼此脫離。 Additionally, while the controlled bonding concept has been described herein as being used for carriers and sheets, in some cases, such concepts are suitable for controlling the bonding between thicker sheets of glass, ceramic or glass ceramic, wherein It may be desirable to detach the sheets (or portions thereof) from one another.

另外,儘管本文之受控接合概念已描述為適用於玻璃載具及玻璃薄片,但載具可由其他材料製成,該等其他材料例如陶瓷、玻璃陶瓷或金屬。類似地,可控制地接合至載具之片材可由其他材料製成,該等其他材料例如陶瓷或玻璃陶瓷。 Additionally, although the controlled bonding concept herein has been described as being applicable to glass vehicles and glass sheets, the carriers may be fabricated from other materials such as ceramics, glass ceramics or metals. Similarly, sheets that are controllably bonded to the carrier can be made from other materials, such as ceramic or glass ceramic.

另外,儘管以上在實例3及5-12中之表面改質層係描述為藉由電漿聚合形成,但其他技術可為可能的,例如,藉由熱蒸發濺鍍、呈氣體形式的與接合表面反應之物質之UV活化,或濕式化學方法。 In addition, although the surface modifying layers described above in Examples 3 and 5-12 are described as being formed by plasma polymerization, other techniques may be possible, for example, by thermal evaporation sputtering, in the form of gas and bonding. UV activation of the surface reacting material, or wet chemical method.

另外,儘管藉由實例6-12之電漿聚合形成的碳質表面改質層係使用甲烷作為聚合物形成氣體來形成,但其他含碳源材料可為可能的。例如,含碳源可包括以下至少一者:1)烴(烷烴、烯烴、炔烴或芳族化合物)。烷烴包括但不限於:甲烷、乙烷、丙烷及丁烷;烯烴包括但不限於:乙烯、丙烯及丁烯;炔烴包括但不限於:乙炔、甲基乙炔、乙基乙炔及二甲基乙炔;芳族化合物包括但不限於:苯、甲苯、二甲苯、乙苯);2)醇(包括:甲醇、乙醇、丙醇);3)醛或酮(包括:甲醛、乙醛及丙酮);4)胺(包括:甲胺、二甲胺、三甲胺及乙胺);5)有機酸(包括:甲酸及乙酸);6)腈(包括:乙腈);7)CO;以及8)CO2。替代地,含碳源可包括以下一或多者:1)飽和或不飽和烴,或2)含氮或3)含氧飽和或不飽和烴,4)CO或CO2。一些通常典型含碳源材料包括含碳氣體,例如甲烷、乙烷、丙烷、丁烷、乙烯、丙烯、丙炔、乙炔、MAPP、CO及CO2。 In addition, although the carbonaceous surface modifying layer formed by the plasma polymerization of Examples 6-12 is formed using methane as a polymer forming gas, other carbon source materials may be possible. For example, the carbonaceous source can include at least one of the following: 1) a hydrocarbon (alkane, alkene, alkyne, or aromatic compound). Alkanes include, but are not limited to, methane, ethane, propane, and butane; olefins include, but are not limited to, ethylene, propylene, and butene; alkynes include, but are not limited to, acetylene, methyl acetylene, ethyl acetylene, and dimethyl acetylene. ; aromatic compounds include, but are not limited to: benzene, toluene, xylene, ethylbenzene); 2) alcohols (including: methanol, ethanol, propanol); 3) aldehydes or ketones (including: formaldehyde, acetaldehyde and acetone); 4) amines (including: methylamine, dimethylamine, trimethylamine and ethylamine); 5) organic acids (including: formic acid and acetic acid); 6) nitriles (including: acetonitrile); 7) CO; and 8) CO2. Alternatively, the carbonaceous source may comprise one or more of the following: 1) a saturated or unsaturated hydrocarbon, or 2) a nitrogenous or 3) an oxygen containing saturated or unsaturated hydrocarbon, 4) CO or CO2. Some typical carbonaceous source materials include carbonaceous gases such as methane, ethane, propane, butane, ethylene, propylene, propyne, acetylene, MAPP, CO, and CO2.

另外,儘管如實例5及8-12中用以處理表面改質層且進而增加該表面改質層之表面能量的極性基團,或如實例7、16c、16d中用於表面改質層自身之形成的極性基團為氮及氧,但例如硫及/或磷之其他極性基團可為可能的。 In addition, although the polar groups used to treat the surface modifying layer and thereby increase the surface energy of the surface modifying layer as in Examples 5 and 8-12, or the surface modifying layer itself as in Examples 7, 16c, 16d The polar groups formed are nitrogen and oxygen, but other polar groups such as sulfur and/or phosphorus may be possible.

另外,儘管N2及NH3係用作含氮氣體,但其他含氮材料可能可使用,該等其他含氮材料例如肼、N2O、NO、N2O4、甲胺、二甲胺、三甲胺及乙胺、乙腈。 In addition, although N2 and NH3 are used as nitrogen-containing gas, other nitrogen-containing materials such as hydrazine, N2O, NO, N2O4, methylamine, dimethylamine, trimethylamine and ethylamine may be used. Acetonitrile.

此外,儘管所使用的含氧氣體為N2-O2及O2,但可能可使用其他含氧氣體,例如,O3、H2O、甲醇、乙醇、丙醇、N2O、NO及N2O4。 Further, although the oxygen-containing gas used is N2-O2 and O2, other oxygen-containing gases such as O3, H2O, methanol, ethanol, propanol, N2O, NO, and N2O4 may be used.

如自本文論述的實例可見,包括彼等後續處理表面改質層之表面改質層可達成自約1nm(實例16b)或2nm(實例3、4)至約10nm(實例12c、8.8nm)之厚度。另外,較厚表面改質層亦為可能的,如相對於第15圖所解釋。然而,當厚度變成大於約70nm時,表面改質層開始變得半透明,其對受益於光學清晰度之應用而言可為不合需要的。 As can be seen from the examples discussed herein, surface modifying layers including their subsequent processing surface modifying layers can be achieved from about 1 nm (Example 16b) or 2 nm (Examples 3, 4) to about 10 nm (Example 12c, 8.8 nm). thickness. In addition, thicker surface modifying layers are also possible, as explained with respect to Figure 15. However, as the thickness becomes greater than about 70 nm, the surface modifying layer begins to become translucent, which may be undesirable for applications that benefit from optical clarity.

根據本申請案之各種上述概念可以任何及所有不同組合方式彼此組合。舉例而言,各種概念可根據以下態樣加以組合。 The various concepts described above in accordance with the present application can be combined with each other in any and all different combinations. For example, various concepts can be combined according to the following aspects.

根據第一態樣,提供一種將薄片可控制地接合至載具之方法,該方法包含:獲得具有薄片接合表面之薄片;獲得具有載具接合表面之載具; 將表面改質層沉積於該薄片接合表面及該載具接合表面之至少一者上,以便在該薄片接合表面及該載具接合表面該一者上獲得第一表面能量;處理該表面改質層以便使該第一表面能量變化至第二表面能量,其中該第二表面能量大於該第一表面能量;以及經由該表面改質層將該薄片接合表面接合至該載具接合表面。 According to a first aspect, there is provided a method of controllably joining a sheet to a carrier, the method comprising: obtaining a sheet having a sheet engaging surface; obtaining a carrier having a carrier engaging surface; Depositing a surface modifying layer on at least one of the sheet joining surface and the carrier engaging surface to obtain a first surface energy on the sheet engaging surface and the carrier engaging surface; treating the surface modification a layer to vary the first surface energy to a second surface energy, wherein the second surface energy is greater than the first surface energy; and bonding the sheet bonding surface to the carrier bonding surface via the surface modifying layer.

根據第二態樣,提供態樣1之方法,其中該表面改質層係藉由以下之一來沉積且處理:含碳氣體之電漿聚合以形成一層,接著用含氮氣體處理該層;含碳氣體之電漿聚合以形成一層,接著用第一氣體隨後第二氣體順序處理該層,其中該第一氣體為含氮氣體及含氫氣體之一,且其中該第二氣體為該含氮氣體及該含氫氣體之另一者;含碳氣體之電漿聚合以形成一層,接著用含氧氣體處理該層;含碳氣體之電漿聚合以形成一層,接著用含氮氣體及含氧氣體處理該層;含碳氣體之電漿聚合以形成一層,接著用第一氣體隨後第二氣體順序處理該層,其中該第一氣體為含氮氣體及含氫氣體,且其中該第二氣體為含氮氣體。 According to a second aspect, the method of aspect 1, wherein the surface modifying layer is deposited and processed by one of: a plasma polymerization of a carbon-containing gas to form a layer, followed by treating the layer with a nitrogen-containing gas; The plasma of the carbon-containing gas is polymerized to form a layer, and then the layer is sequentially treated with a first gas followed by a second gas, wherein the first gas is one of a nitrogen-containing gas and a hydrogen-containing gas, and wherein the second gas is the a nitrogen gas and the other of the hydrogen-containing gas; a plasma of a carbon-containing gas is polymerized to form a layer, and then the layer is treated with an oxygen-containing gas; a plasma of a carbon-containing gas is polymerized to form a layer, followed by a nitrogen-containing gas and The layer is treated with oxygen gas; the plasma of the carbon-containing gas is polymerized to form a layer, and then the layer is sequentially treated with a first gas followed by a second gas, wherein the first gas is a nitrogen-containing gas and a hydrogen-containing gas, and wherein the second The gas is a nitrogen-containing gas.

根據第三態樣,提供態樣2之方法,其中該含碳氣體包含烴、烷烴、烯烴、炔烴或芳族化合物之至少一者。 According to a third aspect, the method of aspect 2, wherein the carbon-containing gas comprises at least one of a hydrocarbon, an alkane, an alkene, an alkyne or an aromatic compound.

根據第四態樣,提供態樣3之方法,其中該含碳氣體包含甲烷、乙烷、丙烷、丁烷、乙烯、丙烯、丙炔、乙炔、CO及CO2之至少一者。 According to a fourth aspect, the method of aspect 3, wherein the carbon-containing gas comprises at least one of methane, ethane, propane, butane, ethylene, propylene, propyne, acetylene, CO, and CO2.

根據第五態樣,提供態樣2-4中任一態樣之方法,其中當使用含氫氣體時,該含氫氣體包含H2,且其中當使用含氮氣體時,該含氮氣體包含氨、N2、肼、N2O、NO、N2O4、甲胺、二甲胺、三甲胺、乙胺及乙腈之至少一者。 According to a fifth aspect, the method of any of aspects 2-4, wherein, when a hydrogen-containing gas is used, the hydrogen-containing gas comprises H2, and wherein when the nitrogen-containing gas is used, the nitrogen-containing gas comprises ammonia At least one of N2, hydrazine, N2O, NO, N2O4, methylamine, dimethylamine, trimethylamine, ethylamine and acetonitrile.

根據第六態樣,提供態樣2-5中任一態樣之方法,其中當使用含氫氣體時,該含氫氣體包含H2,且其中當使用含氧氣體時,該含氧氣體包含O2、O3、H2O、甲醇、乙醇、丙醇、N2O、NO及N2O4之至少一者。 According to a sixth aspect, the method of any of aspects 2-5, wherein when the hydrogen-containing gas is used, the hydrogen-containing gas comprises H2, and wherein when the oxygen-containing gas is used, the oxygen-containing gas comprises O2 At least one of O3, H2O, methanol, ethanol, propanol, N2O, NO, and N2O4.

根據第七態樣,提供態樣2-6中任一態樣之方法,其進一步包含在該電漿聚合期間使氫與該含碳氣體一起流動。 According to a seventh aspect, the method of any of aspects 2-6, further comprising flowing hydrogen with the carbon-containing gas during the plasma polymerization.

根據第八態樣,提供態樣2、3、5-7中任一態樣之方法,其中該含碳氣體為氟碳化合物。 According to an eighth aspect, the method of any of aspects 2, 3, and 5-7, wherein the carbon-containing gas is a fluorocarbon.

根據第九態樣,提供態樣2-8中任一態樣之方法,其中該表面改質層係自聚合物形成氣體及第二氣體之混合物沉積,其中該第二氣體為該總混合物之30%。 According to a ninth aspect, the method of any of aspects 2-8, wherein the surface modifying layer is deposited from a mixture of a polymer forming gas and a second gas, wherein the second gas is the total mixture 30%.

根據第十態樣,提供態樣9之方法,其中該第二氣體為氫。 According to a tenth aspect, the method of aspect 9, wherein the second gas is hydrogen.

根據第十一態樣,提供態樣9之方法,其中該第二氣體包含惰性氣體。 According to an eleventh aspect, the method of aspect 9, wherein the second gas comprises an inert gas.

根據第十二態樣,提供態樣1-11中任一態樣之方法,其中該薄片接合表面包含玻璃。 According to a twelfth aspect, the method of any of aspects 1-11, wherein the sheet engaging surface comprises glass.

根據第十三態樣,提供態樣1-12中任一態樣之方法,其中該載具接合表面包含玻璃。 According to a thirteenth aspect, the method of any of aspects 1-12, wherein the carrier engaging surface comprises glass.

根據第十四態樣,提供態樣13之方法,其中該薄片接合表面及該載具接合表面之該至少一者在該表面改質層之沉積之前包含1nm之平均表面粗糙度Ra。 According to a fourteenth aspect, the method of aspect 13, wherein the at least one of the sheet joining surface and the carrier engaging surface comprises before the deposition of the surface modifying layer Average surface roughness Ra of 1 nm.

根據第十五態樣,提供態樣13或態樣14之方法,其中該薄片接合表面及該載具接合表面之該至少一者在該表面改質層之沉積及藉由O2電漿之後續移除之後包含1nm之平均表面粗糙度Ra。 According to a fifteenth aspect, the method of aspect 13 or aspect 14, wherein at least one of the sheet bonding surface and the carrier bonding surface is deposited on the surface modifying layer and followed by O2 plasma Included after removal Average surface roughness Ra of 1 nm.

根據第十六態樣,提供態樣13或態樣14之方法,其中該載具接合表面在該表面改質層之沉積之前具有第一平均表面粗糙度Ra1,其中該載具在該表面改質層已安置於其上且隨後藉由O2電漿清潔移除之後具有第二表面粗糙度Ra2,且當平均表面粗糙度量測係於5x5微米區域上進行時,Ra1與Ra2之間的差異1nm。 According to a sixteenth aspect, the method of aspect 13 or aspect 14, wherein the carrier engaging surface has a first average surface roughness Ra1 prior to deposition of the surface modifying layer, wherein the carrier is modified on the surface The difference between Ra1 and Ra2 after the layer has been placed thereon and subsequently removed by O2 plasma cleaning with a second surface roughness Ra2, and when the average surface roughness measurement is performed over a 5x5 micron area 1nm.

根據第十七態樣,提供態樣1-16中任一態樣之方法,其中該薄片具有300微米之厚度。 According to a seventeenth aspect, the method of any of aspects 1-16, wherein the sheet has 300 microns in thickness.

根據第十八態樣,提供態樣1-17中任一態樣之方法,其中該表面改質層具有1nm至70nm之厚度。 According to an eighteenth aspect, the method of any of aspects 1-17, wherein the surface modifying layer has a thickness of from 1 nm to 70 nm.

根據第十九態樣,提供態樣1-17中任一態樣之方法,其中該表面改質層具有2nm至10nm之厚度。 According to a nineteenth aspect, the method of any of aspects 1-17, wherein the surface modifying layer has a thickness of from 2 nm to 10 nm.

根據第二十態樣,提供態樣1-19中任一態樣之方法,其中該薄片接合表面及該載具接合表面之該至少一者包含玻璃,且另外其中該表面改質層及其處理在該接合表面上達成41mJ/m2至80mJ/m2之第二表面能量。 According to a twentieth aspect, the method of any of aspects 1-19, wherein the at least one of the sheet joining surface and the carrier engaging surface comprises glass, and wherein the surface modifying layer and The treatment achieves a second surface energy of from 41 mJ/m 2 to 80 mJ/m 2 on the joint surface.

根據態樣A,提供一種玻璃物件,其包含:載具,其具有載具接合表面;表面改質層,其安置於該載具接合表面上,其中該表面改質層經配置以便當該載具接合表面與玻璃片接合表面經由其之間的該表面改質層接合時,在使該物件經受藉由以下方式之溫度循環之後:在腔室中以每分鐘9.2℃之速率自室溫循環加熱至600℃,在600℃之溫度下保持10分鐘且隨後以每分鐘1℃冷卻至300℃,且隨後將該物件自該腔室移除且使該物件冷卻至室溫,該載具及片材在一者受固持且另一者經受重力的情況下不彼此分離,在該溫度循環期間不存在來自該表面改質層之除氣,且該片材可與該載具分離而不使該載具及該片材之較薄一者破裂成兩個或兩個以上碎塊。 According to Aspect A, a glass article is provided comprising: a carrier having a carrier engagement surface; a surface modifying layer disposed on the carrier engagement surface, wherein the surface modifying layer is configured to serve When the bonding surface and the glass sheet bonding surface are joined via the surface modifying layer therebetween, after subjecting the article to temperature cycling by: circulating at room temperature in the chamber at a rate of 9.2 ° C per minute Hold at 600 ° C for 10 minutes at 600 ° C and then cool to 300 ° C at 1 ° C per minute, and then remove the article from the chamber and allow the article to cool to room temperature, the carrier and sheet The material is not separated from one another when one is held and the other is subjected to gravity, there is no outgassing from the surface modifying layer during the temperature cycle, and the sheet can be separated from the carrier without the The carrier and the thinner one of the sheets are broken into two or more pieces.

根據態樣B,提供一種玻璃物件,其包含:載具,其具有載具接合表面;片材,其具有片材接合表面;表面改質層,其安置於該載具接合表面及該片材接合表面之一上;該載具接合表面與該片材接合表面經由其之間的該表面改質層接合,其中將該片材接合至該載具之表面能量具有如下特性,即在使該物件經受藉由以下方式之溫度循環之後: 在腔室中以每分鐘9.2℃之速率自室溫循環加熱至600℃,在600℃之溫度下保持10分鐘且隨後以每分鐘1℃冷卻至300℃,且隨後將該物件自該腔室移除且使該物件冷卻至室溫,該載具及片材在一者受固持且另一者經受重力的情況下不彼此分離,在該溫度循環期間不存在來自該表面改質層之除氣,且該片材可與該載具分離而不使該載具及該片材之較薄一者破裂成兩個或兩個以上碎塊。 According to aspect B, there is provided a glass article comprising: a carrier having a carrier engaging surface; a sheet having a sheet engaging surface; a surface modifying layer disposed on the carrier engaging surface and the sheet One of the bonding surfaces; the carrier bonding surface and the sheet bonding surface are joined via the surface modifying layer therebetween, wherein bonding the sheet to the surface energy of the carrier has the property of After the object is subjected to a temperature cycle by: Heating from room temperature to 600 ° C at a rate of 9.2 ° C per minute in the chamber, holding at 600 ° C for 10 minutes and then cooling to 300 ° C at 1 ° C per minute, and then moving the article from the chamber In addition to and cooling the article to room temperature, the carrier and the sheet are not separated from each other while one is held and the other is subjected to gravity, and there is no degassing from the surface modifying layer during the temperature cycle. And the sheet can be separated from the carrier without breaking the carrier and the thinner one of the sheets into two or more pieces.

根據態樣C,提供態樣A或B中任一態樣之玻璃物件,其中該表面改質層具有0.1nm至100nm之厚度。 According to the aspect C, a glass article of any of the aspects A or B is provided, wherein the surface modifying layer has a thickness of 0.1 nm to 100 nm.

根據態樣D,提供態樣A或B中任一態樣之玻璃物件,其中該表面改質層具有0.1nm至10nm之厚度。 According to the aspect D, a glass article of any of the aspects A or B is provided, wherein the surface modifying layer has a thickness of 0.1 nm to 10 nm.

根據態樣E,提供態樣A或B中任一態樣之玻璃物件,其中該表面改質層具有0.1nm至2nm之厚度。 According to the aspect E, a glass article of any of the aspects A or B is provided, wherein the surface modifying layer has a thickness of 0.1 nm to 2 nm.

根據態樣F之任一態樣,提供態樣A至E或1-20中任一態樣之玻璃物件,其中該載具為包含不含鹼金屬、鋁矽酸鹽或硼矽酸鹽或鋁硼矽酸鹽玻璃之玻璃,其具有各自處於0.05wt.%之含量的砷及銻。 Depending on any aspect of the aspect F, a glass article of any of the aspects A to E or 1-20 is provided, wherein the carrier comprises an alkali metal-free, aluminosilicate or borosilicate or Alumina borosilicate glass, each having its own Arsenic and antimony in an amount of 0.05 wt.%.

根據態樣G之任一態樣,提供態樣A至F或1-20中任一態樣之玻璃物件,其中該載具及該片材之每一者具有100mm x 100mm或更大之大小。 According to any aspect of the aspect G, a glass article of any of the aspects A to F or 1-20 is provided, wherein each of the carrier and the sheet has a size of 100 mm x 100 mm or more .

10‧‧‧載具/玻璃載具 10‧‧‧Carriage/glass vehicle

12‧‧‧第一表面 12‧‧‧ first surface

14‧‧‧接合表面 14‧‧‧ joint surface

16‧‧‧周邊 16‧‧‧around

20‧‧‧薄片/薄玻璃片/片材 20‧‧‧Sheet/thin glass/sheet

24‧‧‧接合表面 24‧‧‧ joint surface

26‧‧‧周邊 26‧‧‧around

30‧‧‧表面改質層 30‧‧‧ Surface modification layer

Claims (20)

一種將一薄片可控制地接合至一載具之方法,該方法包含以下步驟:獲得具有一薄片接合表面之一薄片;獲得具有一載具接合表面之一載具;將一表面改質層沉積於該薄片接合表面及該載具接合表面之至少一者上,以便在該薄片接合表面及該載具接合表面該一者上獲得一第一表面能量;處理該表面改質層以便使該第一表面能量變化至一第二表面能量,其中該第二表面能量大於該第一表面能量;以及經由該表面改質層將該薄片接合表面接合至該載具接合表面。 A method of controllably joining a sheet to a carrier, the method comprising the steps of: obtaining a sheet having a sheet engaging surface; obtaining a carrier having a carrier engaging surface; depositing a surface modifying layer And at least one of the sheet bonding surface and the carrier bonding surface to obtain a first surface energy on the sheet bonding surface and the carrier bonding surface; processing the surface modifying layer to make the first A surface energy is varied to a second surface energy, wherein the second surface energy is greater than the first surface energy; and the sheet bonding surface is bonded to the carrier bonding surface via the surface modifying layer. 如請求項1所述之方法,其中該表面改質層係藉由以下之一來沉積且處理:一含碳氣體之電漿聚合以形成一層,接著用一含氮氣體處理該層;一含碳氣體之電漿聚合以形成一層,接著用一第一氣體隨後一第二氣體順序處理該層,其中該第一氣體為一含氮氣體及一含氫氣體之一,且其中該第二氣體為該含氮氣體及該含氫氣體之另一者;一含碳氣體之電漿聚合以形成一層,接著用一含氧氣體處理該層;一含碳氣體之電漿聚合以形成一層,接著用一含氮氣體 及含氧氣體處理該層;一含碳氣體之電漿聚合以形成一層,接著用一第一氣體隨後一第二氣體順序處理該層,其中該第一氣體為一含氮氣體及含氫氣體,且其中該第二氣體為一含氮氣體。 The method of claim 1, wherein the surface modifying layer is deposited and processed by one of: a plasma of a carbon-containing gas to form a layer, followed by treating the layer with a nitrogen-containing gas; The plasma of the carbon gas is polymerized to form a layer, and then the layer is sequentially treated with a first gas followed by a second gas, wherein the first gas is one of a nitrogen-containing gas and a hydrogen-containing gas, and wherein the second gas And the other of the nitrogen-containing gas and the hydrogen-containing gas; a plasma of a carbon-containing gas is polymerized to form a layer, and then the layer is treated with an oxygen-containing gas; a plasma of a carbon-containing gas is polymerized to form a layer, and then a nitrogen-containing gas And treating the layer with an oxygen-containing gas; a plasma of a carbon-containing gas is polymerized to form a layer, and then the layer is sequentially treated with a first gas followed by a second gas, wherein the first gas is a nitrogen-containing gas and a hydrogen-containing gas And wherein the second gas is a nitrogen-containing gas. 如請求項2所述之方法,其中該含碳氣體包含一烴、一烷烴、一烯烴、一炔烴或芳族化合物之至少一者。 The method of claim 2, wherein the carbon-containing gas comprises at least one of a hydrocarbon, a monoalkane, a monoolefin, an alkyne or an aromatic compound. 如請求項3所述之方法,其中該含碳氣體包含甲烷、乙烷、丙烷、丁烷、乙烯、丙烯、丙炔、乙炔、CO及CO2之至少一者。 The method of claim 3, wherein the carbon-containing gas comprises at least one of methane, ethane, propane, butane, ethylene, propylene, propyne, acetylene, CO, and CO2. 如請求項2所述之方法,其中當使用一含氫氣體時,該含氫氣體包含H2,且其中當使用一含氮氣體時,該含氮氣體包含氨、N2、肼、N2O、NO、N2O4、甲胺、二甲胺、三甲胺、乙胺及乙腈之至少一者。 The method of claim 2, wherein when a hydrogen-containing gas is used, the hydrogen-containing gas comprises H2, and wherein when a nitrogen-containing gas is used, the nitrogen-containing gas comprises ammonia, N2, hydrazine, N2O, NO, At least one of N2O4, methylamine, dimethylamine, trimethylamine, ethylamine and acetonitrile. 如請求項2所述之方法,其中當使用一含氫氣體時,該含氫氣體包含H2,且其中當使用一含氧氣體時,該含氧氣體包含O2、O3、H2O、甲醇、乙醇、丙醇、N2O、NO及N2O4之至少一者。 The method of claim 2, wherein when a hydrogen-containing gas is used, the hydrogen-containing gas comprises H2, and wherein when an oxygen-containing gas is used, the oxygen-containing gas comprises O2, O3, H2O, methanol, ethanol, At least one of propanol, N2O, NO, and N2O4. 如請求項2所述之方法,其進一步包含以下步驟:在該電漿聚合期間使氫與該含碳氣體一起流動。 The method of claim 2, further comprising the step of flowing hydrogen with the carbon-containing gas during the plasma polymerization. 如請求項2所述之方法,其中該含碳氣體為一氟碳化合物。 The method of claim 2, wherein the carbon-containing gas is a fluorocarbon. 如請求項2所述之方法,其中該表面改質層係自一聚合物形成氣體及一第二氣體之一混合物沉積,其中該第二氣體為該總混合物之30%。 The method of claim 2, wherein the surface modifying layer is deposited from a mixture of a polymer forming gas and a second gas, wherein the second gas is the total mixture 30%. 如請求項9所述之方法,其中該第二氣體為氫。 The method of claim 9, wherein the second gas is hydrogen. 如請求項9所述之方法,其中該第二氣體包含一惰性氣體。 The method of claim 9, wherein the second gas comprises an inert gas. 如請求項1所述之方法,其中該薄片接合表面包含玻璃。 The method of claim 1 wherein the sheet engaging surface comprises glass. 如請求項1所述之方法,其中該載具接合表面包含玻璃。 The method of claim 1 wherein the carrier engagement surface comprises glass. 如請求項13所述之方法,其中該薄片接合表面及該載具接合表面之該至少一者在該表面改質層之沉積之前包含1nm之一平均表面粗糙度Ra。 The method of claim 13 wherein the at least one of the sheet engaging surface and the carrier engaging surface comprises prior to deposition of the surface modifying layer One of the average surface roughness Ra of 1 nm. 如請求項14所述之方法,其中該薄片接合表面及該載具接合表面之該至少一者在該表面改質層之沉積及藉由O2電漿之後續移除之後包含1nm之一平均表面粗糙度Ra。 The method of claim 14, wherein the at least one of the sheet bonding surface and the carrier bonding surface comprises after deposition of the surface modifying layer and subsequent removal by O2 plasma One of the average surface roughness Ra of 1 nm. 如請求項13所述之方法,其中該載具接合表面在該表面改質層之沉積之前具有一第一平均表面粗糙度Ra1,其中該載具在該表面改質層已安置於其上且隨後藉由O2電漿清潔移除之後具有一第二表面粗糙度Ra2,且當平均表面粗糙度量測係於一5x5微米區域上進行時,Ra1與Ra2之間的差異1nm。 The method of claim 13, wherein the carrier engaging surface has a first average surface roughness Ra1 prior to deposition of the surface modifying layer, wherein the carrier has a surface modifying layer disposed thereon Subsequent to the second surface roughness Ra2 after removal by O2 plasma cleaning, and the difference between Ra1 and Ra2 when the average surface roughness measurement is performed on a 5x5 micron area. 1nm. 如請求項1所述之方法,其中該薄片具有300微米之一厚度。 The method of claim 1, wherein the sheet has One thickness of 300 microns. 如請求項1所述之方法,其中該表面改質層具有1nm至70nm之一厚度。 The method of claim 1, wherein the surface modifying layer has a thickness of from 1 nm to 70 nm. 如請求項1所述之方法,其中該表面改質層具有2nm至10nm之一厚度。 The method of claim 1, wherein the surface modifying layer has a thickness of from 2 nm to 10 nm. 如請求項1-19中任一項所述之方法,其中該薄片接合表面及該載具接合表面之該至少一者包含玻璃,且另外其中該表面改質層及其處理在該接合表面上達成41mJ/m2至80mJ/m2之一第二表面能量。 The method of any of claims 1 to 19, wherein the at least one of the sheet engaging surface and the carrier engaging surface comprises glass, and further wherein the surface modifying layer and its treatment are on the joining surface A second surface energy of from 41 mJ/m 2 to 80 mJ/m 2 is achieved.
TW104102739A 2014-01-27 2015-01-27 Treatment of a surface modification layer for controlled bonding of thin sheets with carriers TW201529511A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201461931912P 2014-01-27 2014-01-27

Publications (1)

Publication Number Publication Date
TW201529511A true TW201529511A (en) 2015-08-01

Family

ID=52464589

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104102739A TW201529511A (en) 2014-01-27 2015-01-27 Treatment of a surface modification layer for controlled bonding of thin sheets with carriers

Country Status (6)

Country Link
EP (1) EP3099484A1 (en)
JP (1) JP2017511756A (en)
KR (1) KR20160114106A (en)
SG (1) SG11201605964QA (en)
TW (1) TW201529511A (en)
WO (1) WO2015113023A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI574346B (en) * 2015-08-14 2017-03-11 Dong-Ming Li Composite cold plate for glass substrate cooling
CN109641789A (en) * 2016-08-31 2019-04-16 康宁股份有限公司 The product and its manufacturing method of controllable bonding sheet material
US11660841B2 (en) 2015-05-19 2023-05-30 Corning Incorporated Articles and methods for bonding sheets with carriers

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
TWI615367B (en) 2012-10-12 2018-02-21 康寧公司 Articles having retained strength
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (en) 2012-12-13 2018-03-11 康寧公司 Facilitated processing for controlling bonding between sheet and carrier
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6770432B2 (en) 2014-01-27 2020-10-14 コーニング インコーポレイテッド Articles and methods for controlled binding of thin sheets to carriers
KR20160145062A (en) 2014-04-09 2016-12-19 코닝 인코포레이티드 Device modified substrate article and methods for making
JP7106276B2 (en) * 2015-06-26 2022-07-26 コーニング インコーポレイテッド Articles and methods with sheets and carriers
US20180305247A1 (en) * 2015-10-02 2018-10-25 Corning Incorporated Methods for treating a glass surface to reduce particle adhesion
JP2018535172A (en) * 2015-10-02 2018-11-29 コーニング インコーポレイテッド Removable glass surface treatment and method for reducing particle adhesion
CN108353507B (en) 2015-10-30 2020-11-27 康宁股份有限公司 Method for processing a first substrate bonded to a second substrate
US10316010B2 (en) 2015-12-17 2019-06-11 South Dakota Board Of Regents Versatile non-destructive surface modification of carbonaceous materials and process for grafting into polymer matrices
TW201737766A (en) 2016-01-21 2017-10-16 康寧公司 Methods for processing a substrate
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10134657B2 (en) 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
TW201825623A (en) 2016-08-30 2018-07-16 美商康寧公司 Siloxane plasma polymers for sheet bonding
CN109906145B (en) * 2016-10-27 2022-10-21 康宁股份有限公司 Stacking structure with improved puncture resistance
WO2018097409A1 (en) * 2016-11-28 2018-05-31 주식회사 네패스 Semiconductor package produced using insulation frame, and method for producing same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
CN111615567B (en) * 2017-12-15 2023-04-14 康宁股份有限公司 Method for treating substrate and method for producing article including adhesive sheet
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
KR20210127188A (en) 2019-02-21 2021-10-21 코닝 인코포레이티드 Glass or glass ceramic article having copper-metalized through holes and process for making same
JP6806193B2 (en) * 2019-07-24 2021-01-06 Agc株式会社 Glass laminate with protective film
JP6939974B2 (en) * 2019-07-24 2021-09-22 Agc株式会社 Display device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040258850A1 (en) * 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US7087134B2 (en) * 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
US20060246218A1 (en) * 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
KR101285442B1 (en) * 2005-08-09 2013-07-12 아사히 가라스 가부시키가이샤 Thin sheet glass laminate and method for manufacturing display using thin sheet glass laminate
KR101486601B1 (en) * 2007-03-12 2015-01-26 아사히 가라스 가부시키가이샤 Glass substrate provided with protection glass and method for manufacturing display device using glass substrate provided with protection glass
WO2012144499A1 (en) * 2011-04-22 2012-10-26 旭硝子株式会社 Laminate, method for producing same, and use of same
JP2013216513A (en) * 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd Method for cutting glass film and glass film lamination body
US20150329415A1 (en) * 2012-12-13 2015-11-19 Robert Alan Bellman Glass and methods of making glass articles

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11660841B2 (en) 2015-05-19 2023-05-30 Corning Incorporated Articles and methods for bonding sheets with carriers
TWI574346B (en) * 2015-08-14 2017-03-11 Dong-Ming Li Composite cold plate for glass substrate cooling
CN109641789A (en) * 2016-08-31 2019-04-16 康宁股份有限公司 The product and its manufacturing method of controllable bonding sheet material

Also Published As

Publication number Publication date
JP2017511756A (en) 2017-04-27
KR20160114106A (en) 2016-10-04
SG11201605964QA (en) 2016-08-30
WO2015113023A1 (en) 2015-07-30
EP3099484A1 (en) 2016-12-07

Similar Documents

Publication Publication Date Title
TWI654088B (en) Object and method for controlled engagement of a polymer surface with a carrier
TW201529511A (en) Treatment of a surface modification layer for controlled bonding of thin sheets with carriers
TWI671200B (en) Articles and methods for controlled bonding of thin sheets with carriers
EP2932540B1 (en) Methods for processing oled devices
KR102237812B1 (en) Glass articles and methods for controlled bonding of glass sheets with carriers
US20150329415A1 (en) Glass and methods of making glass articles
KR102239613B1 (en) Bulk annealing of glass sheets
KR20160066039A (en) Glass articles and methods for controlled bonding of glass sheets with carriers