TW199926B - - Google Patents

Download PDF

Info

Publication number
TW199926B
TW199926B TW080106185A TW80106185A TW199926B TW 199926 B TW199926 B TW 199926B TW 080106185 A TW080106185 A TW 080106185A TW 80106185 A TW80106185 A TW 80106185A TW 199926 B TW199926 B TW 199926B
Authority
TW
Taiwan
Prior art keywords
register
unit
temporary
item
stored
Prior art date
Application number
TW080106185A
Other languages
English (en)
Original Assignee
Carlstedt Elektronik Ab
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carlstedt Elektronik Ab filed Critical Carlstedt Elektronik Ab
Application granted granted Critical
Publication of TW199926B publication Critical patent/TW199926B/zh

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction for memory cells of the field-effect type
    • G11C11/419Read-write [R-W] circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/483Computations with numbers represented by a non-linear combination of denominational numbers, e.g. rational numbers, logarithmic number system or floating-point numbers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/30Creation or generation of source code
    • G06F8/31Programming languages or programming paradigms
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/30Creation or generation of source code
    • G06F8/31Programming languages or programming paradigms
    • G06F8/311Functional or applicative languages; Rewrite languages
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/3001Arithmetic instructions
    • G06F9/30014Arithmetic instructions with variable precision
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C15/00Digital stores in which information comprising one or more characteristic parts is written into the store and in which information is read-out by searching for one or more of these characteristic parts, i.e. associative or content-addressed stores
    • G11C15/04Digital stores in which information comprising one or more characteristic parts is written into the store and in which information is read-out by searching for one or more of these characteristic parts, i.e. associative or content-addressed stores using semiconductor elements

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Nonlinear Science (AREA)
  • Devices For Executing Special Programs (AREA)
  • Stored Programmes (AREA)
  • Static Random-Access Memory (AREA)
  • Complex Calculations (AREA)
  • Executing Machine-Instructions (AREA)
  • Saccharide Compounds (AREA)
  • Multi Processors (AREA)
  • Information Retrieval, Db Structures And Fs Structures Therefor (AREA)
  • Hardware Redundancy (AREA)
  • Exchange Systems With Centralized Control (AREA)
  • Advance Control (AREA)
  • Medicines That Contain Protein Lipid Enzymes And Other Medicines (AREA)
  • Vehicle Body Suspensions (AREA)
  • Measuring Pulse, Heart Rate, Blood Pressure Or Blood Flow (AREA)
  • Semiconductor Memories (AREA)
  • Monitoring And Testing Of Nuclear Reactors (AREA)
  • Pharmaceuticals Containing Other Organic And Inorganic Compounds (AREA)
  • Mobile Radio Communication Systems (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • Paper (AREA)
  • Steering Control In Accordance With Driving Conditions (AREA)
  • Memory System Of A Hierarchy Structure (AREA)

Description

經濟部中央標準局員工消費合作社印製 19 9 9 ^ ΰ Λ 6 ___Β_6 五、發明説明(1) 說明 本發明係針對结構«算之算術犟元者。此一算術單元在 下文中稱為核心單元。 發明背景 «腦係於一九四0年代期間所發明。其後電臞Μ革命性 速度發展。«然如此,時下之霣鼸在结構上幾乎與第一代 之電臞相同。 大部份之改良係在硬《方面。超大型積««路(VLSI)之 介入及印刷技術之提昇乃使;T五年前能製造出一晶片之® 臞,稱之為超電臞。其尺寸係依指數方式嬸小,两嫌路寬 度琨在已比1撤米«小。其時鏡速率及有源雷晶«數目已 增加了許多量级。實體限制大致會使燫路寬限制於0.2微 米。 同時《臞構進在矽之應用迄未見改進。反之*大部份霄 腦矽之應用卻超遢其最佳之數量,為的是要使之更快速。 此兩項事霄將使單一處理器在速度上之發展在五年之内 將受到阻礙。正在引用之並騸處理器•结果使硬·成本费 用增加,因為其複雑性增加,對於大部份程式,其程式撰 編成本费用均已無法增加。 彼此鼷係中所可看見的乃是,硬«成本费用已減纗,而 新系統之程式«製费用已有相當成長,而且馬上會到高得 無法忍受之準。 霣臞係為钦硬《各種不同覃元之複雑姐合。在不同範例 及發展中各階段皆產生出各項欏準一特別及已建立者一擴 LF -3- (請先閱讀背面之注意事項再填寫本頁) 裝· 訂- 本紙張尺度遑用中國國家揉準(CNS)甲4規格(210x297公釐) A 6 B6 五、發明説明(2 ) 展至系铳中。由於此不一致性鼷係,乃有許多的界面。 以此不同界面及不間品霣及樣式之範例,乃使使用人或 程式人貝難於利用逭種檐器一使用埴種機器須具有許多的 知雄一而且由於其複_性,程式人貝乃可能使隠麵之蜡誤 介入0 但是,新近發展出所諝之籣化電腦。籣化《臞執行具有 某一定结構包括有算術式之程式,而且此一结構係經由許 多籣化步«予MM化。因此•其程式並非如其他類型霣臞 中那樣依某一定顧序予Μ執行。 在發展籣化處理器超β某一限定尺寸時,有若干的困雞 問鼸。 程式語言之發展 /、 第一代霄子電牖之發展乃使若干程式語言開始發展以遽 合於此類型之電腦,諸如FORTRAN, COBOL. Algol, 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) BASIC, Pasca丨等。此等語言稱之為祈使語言,在下文中 亦稱之為傳統譖言,主要像由於一項事實,即此等語言通 常產生程式,皆包括有-·糸列之命令或指令交由替««臞 ,亦即依據由John von Neumann所發展出之原理所股計之 電臞予Μ執行。由於此等語言之煩蟠愈來愈多,乃導致另 一糸列賭言之發展:LISP, ISWIM, Schene(Lisp之刖稱) ,ML, Hope, SASL等。此等語言發展之背後驅動力量乃為 理念之籣單化;並焦某一特定之櫬器影響此一設計。經JS 相當時間之後•其功能性語言才開始為人所注羃一理由之 一乃為此等功能性程式執行起來相當級慢•其後之發展顧 LF -4- 本紙張尺度遑用中國家揉準(CNS)甲4規格(210X297公釐) 經濟部中央標準局貝工消費合作杜印製 i999;j6 Λ 6 ___B6_ 五、發明説明(3 ) 示其紈行速度*在某些狀況下,可能輿由傅統型霣腦所執 行之傅統(新使式)語言程式之速度接近或相同,雖然此 等功能性程式,其目欏並非供此類型《臞執行者。 钦體危 使大夥努力Μ發展各種功能性語言之原動力,係為祈使 型語言之煩惬日形埔加。在一九七0年左右躭有人開始談 論软«危檐之事。程式變得愈來愈複雜,而且往往包含有 許多的錯誤,雖多讀解,雖Μ瞭解,而且特別難Μ修改。 其原因之一乃為,期望高水準之新使語言會使程式繙撰趨 於餺化,係麗陳義遢高-埴些語言之水準並沒有如所認為 之那麽高。祈使語言仍然是適應於早期之《腦,即Von Neumann型霄臞之理念。而程式钃擬之水準仍然相當接近 於機器水準。功能性程式钃撰語言具有若干特性*使更 為傳铳之程式編撰語言之若干缺點縐於級和。 欲有更多之資訊及更為了解,誧參閲198 7年Prentice 118丨1出版,冉|<6¥丨|{81|"0丨丨著之教科軎'1^»111<;'1;丨01181 Progra^ning Using Standard ML 〃(應用橛準 ML語言之 功能性程式編撰)。 為充分瞭解本發明之目的及優點,必須先瞭解計算時其 功能性方法之姐成為何。尤其與在歷史上更為替»採用之 新使方法相比較。 Λ功能性方法〃 一豳,其意義乃指程式係依功旌性語言 所繾撰•而其雔存及執行則在以特別«用此等語言之硬* 所姐成之霣腦上。相當的是"祈使性方法〃一詞*其意義 L F - 5 - (請先閲讀背面之注意事項再填寫本頁) 裝· •V® * 線< 本紙張尺度通用中國獼家櫺準(CNS)甲4規格(210X297公釐) 1999 ' … Λ 6 ____Β6_ 五、發明説明(4) 乃指程式係依祈使性語言所編撰,而其《存及執行皆在Μ 特別遘用此等語言之硬Μ所姐成之電臞上。 但是,依功能性語言所編撰之程式亦可於傅统之《臞上 予Μ齡存及執行。反之亦能,即依折使性諝所鑭撰之程式 *亦可於原逋於依功能性語言所《撰程式之執行之霣腦上 予Μ執行。 功能性語言之特性 依功能性箱言所編撰之程式可視為某些目的特性之一姐 定義,及計算法則。其定義係為陳述部份,而其計算法則 ,或簡化或重新捵寫法則,係為紈行時《臑所使Ρ之缠算 部份。功能性語言攞供較高水準之界面予《臞,而使程式 人員能予由硬«中期取霣臞之相闞细則。成為正面附帶结 果的,乃是功能性程式往往比傳統祈使性語言較為籣短而 更易於瞭解。功能性語言之主要缺黏之一乃是,功雔性程 式必須選揮為傳統語言始能為傅統型霣臞予Μ執行。此項 工作係由編譯或解譯程式予Μ完成。顯然的是功能性方法 之某些利益,由於事實上尚無専用硬體以有效實雎功能性 程式之髄存和執行等工作而受到阻礙。 發明目的 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 本發明之目的,為撮供一種特殊之有1記憧簠元,下文 中稱之核心:單元’位置於有效《存器内’此一單元能予實 施各種嫌滅,同時此有效儲存器亦包括有其他類型之記憧
r b V 單元,衹能實豳之某些類型縮滅之有限部份。但是,數字 應算可於與核心單元相連接之數字算術埋輯單元(數字 LF -6 - 本紙張尺度遑用中國國家標準(CNS)甲4規格(210X297公*) 經濟部中央標準局貝工消費合作社印製 1999^6 Λ 6 _Β6__ 五、發明説明(5) ALV)内實施之,但實際之減缩理作偽在核心單元内行之。 本發明之目的係為攞供一種宜與念有有限之記憧 單元之相_之記憶器相配合之核心犟元*下文中稱為目的 的皤存器*而且此為與用K作資訊傳送之相_之記憧器有 直接連接之唯一犟元。 本發明更另有一項目的係為攞供一種其中可予餘存一程 式子之多種位準之核心單元。鐮存於核心單元内表示式基 本指令應於核心單元内予Μ轨行。 本發明之又另一目的係為提供一種结構纒算*亦即用Μ 使電腦程式所提出结構之滅嫌之*算之核心章元.·在此單 元中,其表示式之大小係與紈行中所包括之表示·式分支 相對應。傅统型《«可予設置结構邇蓴之核心單元。當能 應用之程式語言類型係為以结構遵算理念*例如LISP ( LISP=表處理語言)*或任何其他功能性或》述性電臞語 言為基礎時•此一單元尤為有利。此LISP語言特別達用於 處理表類或由各種表所姐構成之结構,而且係使用於傅真 信息之内,例如,構造専家系統。此箱言亦使用於符號代 數,VLSI設計,機器人製造*自然語言理解等。於是•此 核心單元乃可成為用Μ經由使之重寫或/及嫌減之方式處 理此等表類之裝置。 嫌.減熟行 須予執行之程式可Μ接合方向匾表示之,其,*程式之 毎一部份皆由一接合表示之。在紈行期間,此一接合方向 鼸乃依據所使用語言之鏞滅法則予料逐滅鑲。至無可予執 LF —Ί- (請先閲讀背面之注意事項再填寫本頁) 裝. 本紙張尺度遑用中國國家標準(CNS)肀4規格(210x297公着) X993 J6 A 6 136 五、發明説明(6) 行 之 接 合 留 下 時 t 程 式 之 執 行 可 視 之 為 樹 枝 结 構 f 在 捆 上 之 方 之 節 稱 之 為 根 〇 m 滅 轨 行 通 由 離 根 最 适 之 樹 枝 部 份 開 始 縮 此 種 執 行 方 式 通 常 稱 之 為 Μ 需 $ 程 式 各 部 份 之 紈 行- 須 視 其 他 級 實 施 直 至 其 有 结 果 為 止 〇 定 義 下 表 f·. 所 Ψ 為 本 銳 明 中 用 語 及 元 素 K ' ·* 在 資 料 结 構 中 表 依 次 序 排 列 之 為 表 插 入 表 表 之 __. 部 份 t 成 為 . 轚 Η。 接 合 用 Μ 界 定 某 -~* 接 合 皆 有 用 K 欐 器 中 之 嫌 滅 器 之 鏊 Η 狀 戆 巨 的 辟 存 器 包 括 有 镰 存 檷 如 9 相 聯 之 記 儲 存 單 元 百 的 拥 存 器 中 9 此 一 接 合 可 之 其 他 單 元 接 經濟部中央標準局員工消費合作社印製
LF 乃吿完畢。此一接合方向111 毎一節係為一接合*而#上 常係將樹枝结構顔價^ 滅,一直進行至樹根為止° 求為目的之轨行,亦BP瘴銳 部份紈行结果而定*而+# 其含義: 較大者之一部份 元素顬序,每一元素又Μ®1 其微小足Μ龌納於一接 使其能予表示任意長度 «程之靨次结構整麵。所有 獮特界定其接合之根。燔_ 工作係在接合上進行。此拥 係經由嫌減方式予Κ轉變 的之雔存單元之記懞器。例 慵器 之單元。用Μ雠存單元接合 能指《存於其他躲存單元内 合 (請先閲讀背面之涑意事項存琪寫本" -裝. 訂 本紙張尺度逍用中β 家樣準(CNS)甲4規格(210x297公釐) 1993.,6 A 6 B6 五、發明説明(7) 經濟部中央標準局貝工消費合作社印製 單 元 接 合 糠 存 單 元 之 餘 存 單 元 百 的 餘 存 器 接 合 » 此 接 元 内 之 其 他 單 元 接 合 黼 存 單 元 之 m 存 犟 元 檷 躲 存 單 元 內 接 合 元 素 髓 存 於 嫌 存 接 合 議 別 符 専 用 於 禰 示 檷 準 接 合 Μ 法 進 —* 步 任 何 標 示 其 進 — 步 減 嫌 元 接 合 巨 檷 使 須 予 執 行 父 接 合 量 值 / 檷 示 接 合 子 接 合 經 由 接 合 雄 子 接 合 亦 可 為 父 接 合 9 而 父 具 有 —. 僑 Μ 上 之 父 接 合 > 而 合 〇 接 合 位 置 接 合 或 為 根 根 係 為 接 合 樹 節 在 接 合 樹 枝 接 合 所 在 包 含 有 接 合
LF 内涵 中之單元。此傭存器鏞存單元 合可能係指雔存於其他«存單 單元接合 内涵 之一檷 犟元檷内之資料元素 某一接合之接合單元元素 予以減嫌之接合,亦即未含有 他可予而且此一單元碎、須予Μ 之單元接合之接合織別符之單 ,亦即镰滅 櫊中具有至少一接合檷示符之 別符與另一接合相鐽结之接合 接合亦可為子接合。子接合可 父接合亦可具有一個Μ上子接 或為節 枝之最上方接合單元 中根Μ外之接合 位置之«存單元橘 -9 ~ (請先閲讀背面之注意事項再塡寫本頁) 本紙張尺度遑用中國躪家標準(CNS)甲4規格(210x297公釐) 1999.^6 A 6 B6 五、發明説明) 經濟部中央標準局员工消费合作社印製 類 型 單 元 接 合 中 之 位 7C _ 型 悔 性 元 素 在 單 元 接 合 為 延 遲 執 行 識 別 元 素 用 以 表 示 黻 合 元 素 環 堍 值 /樣示 各 種 播 的 可 , 亦 即 直 接 即 間 接 表 示 核 心 單 元 根 據 本 發 明 能 予 實 m 结 數 字 埋 算 差 數 字 m 算 通 輯 單 元 (ALU) 〇 此 核 心 單 完 全 暫 存 器 擴 展 及 於 核 核 心 字 7C 核 心 單 元 中 有 限 暫 存 器 在 核 心 犟 元 暫 存 器 t 其 單 元 元 素 元 素 字 元 有 限 暫 存 器 間 部 份 之 内 數 宇 字 元 元 素 字 元 表 檷 m 字 元 元 素 宇 元 中 特 性 之 部 份 縮 減 依 據 所 使 用
LF 之類別碥,亦即表示目的特性 ,例如,指令碥 中表示其為可即執行或予評定 或停止之元素存犟元中所齡存標的之特別接 依賦予接合元素為緘存籣單值 表示無,或檷示另一接合,亦 之方式予K S分 之结構理算單元。此,算單元 構運算,包括镰減接合 輯單元能遂行基本及蠼輯邐算 元利用數宇ALU遂行數字遵算 心犟元所有平面之暫存器 完全暫存器之内涵 中擴展iftift某一定數之平面之 尺寸包括量值/檷示型之接合或其擴展範與有限暫存器相 涵示量值或禰示之部份 具有標皤指示數字字元中表示特定程式語言法則使接合重寫 -10- (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度逡用中國家標準(CNS>甲4規格(210x297公釐) 經濟部中央標準局貝工消费合作社印製 1999.JD A 6 __B_6_ 五、發明説明(9) /重姐構 發明《要 本發明之主要目的係依结構遲算處理之方法予K解決, 包括有: a) 使資料字元碱存於数暫存器内·每一資料字元具有符 號部份及資訊部份,此符號部份包括有表示該暫存器 係在使用與否之符號在内 b) 此等資料字元係«置成表,使毎一表《存於預定數目 之暫存器内,髓存於各暫存器内之表内每一字元之符 號部份禰明在使用,表示表中其-至少有一部份係》 存於寅際暫存器内*又具有一部份鐮存於實磲暫存器 内之表包括有表指令,其颺於何-類別之表,K及由 各暫存器中各表之K置中顧出各表間之鼷係所在, c) 利用屬於儲存於各暫存器内各表之表指令Μ控制各暫 存器,使各表於各暫存器中重予配S,並依照表指令 使暫存器内涵輪入/鎗出彳 依照本發明之结構蠼算處理之麵算單元,包括有: a) 至少一轤入/鎗出装置,用Μ使資料表轤入及轤出。 b) 若干暫存器,每一暫存器應於«存資料字元,每一資 料字元具有符號部份和資訊部份•符號部份包括有表 示該暫存器係在使用輿否之符號,每一表示皤存於某 一預定數目之暫存器內*在各暫存器中之毎一暫存器 之符號部份檷示正在使用者乃表示各表中其一至少有 LF -11- (請先閲讀背面之注意事項再填寫本頁) 裝- 本紙張尺度遑用中國家樣準(CNS)甲4規格(210x297公釐) A 6 136 經濟部中央標準局員工消費合作社印製 五、發明説明(10) 一部份係實際皤存於暫存器中,而有一部份賁際槠存 於暫存器中之表包括有表指令示明該表之性霣為何, 而且由各表在暫存器中之K置吠況Μ觀各表間之闞係 已了然點。 c) 控制裝置•用Μ控制各暫存器,及利用麵於儲存於各 暫存器中各表之表指令Μ使各表在各暫存器中重予Κ 置,及使暫存器内涵依照表指令驗入/_出。根據申 謫専利範園第1項之方法•其中*使齡存於暫存器中 之各表重予配置成為表樹枝,樹枝上各表中其一係為 根表。 各表宜配置齡存於各暫存器内成為表樹枝,其中各表中 其一為根表。所鐮存表樹枝之嫌別符宜纗存於一獨立之識 別符暫存器中。躲存表樹之環境可予黼存於-《立之瓖埦 暫存器中。樹枝之根表宜置於不同之暫存器内須緘存之實 際樹枝醑次位準而定。暫存器中有些係配置為包括有主齡 存器列在内之基礎暫存器矩陣。衹包括僅一暦次之樹枝宜 雠存於主暫存器内。包括有兩曆次而有根表之樹枝宜備存 於該主暫存器内,而其分支表《存於基礎暫存器内。額外 之暫存器姐,稱為輔肋暫存器•可Κ置於矩陣外部。包括 有三靥次之樹枝宜齡存於輔肋暫存器内*而其元素之~齡 存於所逑之暫存器矩陣内。 表樹枝之根表可匾分為阐元素,矚於實旛何一類型播滅 之資訊可經由控制裝置由根表之第一元素中導出,而其他 元素代表須予嫌減之資料。此根表第一元素内之資訊可包 LF -12- (請先閲讀背面之注意事項再填寫本頁) 裝_ 本紙張尺度遑用中國家搮準(CNS)甲4規格(210x297公*) 經濟部中央標準局員工消費合作社印製 :t999-i〇 A 6 ____B_6_ 五、發明説明(n) 括抱令碼·由控制裝置用K演蟫出須予執行之抱令類別, 或者該根表第一元素中之資訊可包括表示功能定義之表樹 根,此項資訊係由控制裝置用Μ推演出所須採取之行動, Μ使根表缩滅。 根表第一元素中資訊可能包括表示功能定義之表樹根。 表内最大字元數目宜為四。表樹之最大深度宜為三僩位 準曆次。如其深度為三曆次,且如鏞存於該等暫存器内之 根表表指令表示此根表具有一或一Κ上之分枝時,控制装 置於暫存器内祗齡存分枝中其一而已。 结構埔滅係提供於暫存器内之資料檷的上,睹如在基礎 暫存器或基礎及輔助暫存器内。 各暫存器之資料堆疊宜依限幅方式予Μ配置,Μ使每一 堆鑾位元元素在每一資料堆疊上具有相同位置而能於一平 面内依位元方式彼此連接,包括臑於在該位置上而羼於所 有此一類型暫存器之所有堆*元素之所有堆曆元素。暫存 器中有些具有較其他為長之堆叠,因此使某些平而衹有牖 於較畏暫存器之堆鑾位元元素。 暫存器中至少有一些•稱為基礎暫存器配置為NX Ν暫存 器矩陣中之列和行_ N為鳌數。基礎暫存器之堆·元素係 依位元方式互連。基礎暫存器堆疊中之每一位元,毎一行 設有行嫌,毎一列設有列嫌,在行級輿列嫌間之每一交叉 點上設有可控制開Μ ·行和列數目相同。毎一基礎暫存器 至少與其最接近之列繚和行嫌皆有可控制之暫存器埋接。 相邮各基礎暫存器間,在各列輿各行上皆有連接。控制裝 LF -13- (請先閲讀背面之注意事項再塡寫本頁) 裝< 訂- 本紙張尺度遑用中國國家揉準(CNS)甲4規格(2丨0x297公釐) 1999:,6 五、發明説明(12) 經濟部中央標準局員工消費合作社印製 置 控 制 可 予 控 制 之 開 鬭 及 可 中 至 少 其 一 , 須 視 所 要 執 行 a ) 由 ___. 暫 存 器 至 另 暫 存 b ) 各 暫 存 器 間 兩 分 離 連 接 c ) 在 各 暫 存 器 間 成 為 時 素 依 方 向 _ 送 » 而 在 在 暫 存 器 堆 叠 中 之 每 -J a ) 内 部 位 元 暫 存 器 » b) 至 少 —> 可 與 此 —- 位 元 暫 c ) 至 少 --> 内 部 可 控 制 之 連 制 之 開 闥 1 而 成 為 至 少 單 線 匯 流 排 : 單 元 外 部 另 一 暫 存 器 之 單 元 中 其 至 少 一-. 内 部 位 元 暫 存 如 反 相 器 1 及 幢 出 級 銜 於 各 緩 衝 器 裝 置 間 之 可 與 出 鑀 銜 器 裝 置 可 分 部 單 線 匯 流 排 相 連 接 〇 視 各 暫 存 器 之 位 置 而 定 , 輿 其 内 部 連 接 中 至 少 其 —- 相 中 之 --* 〇 可 予 連 接 分 艫 器 使 部 份 结 果 提 供 予 稱 為 存 取 之 外 部
LF 控制之埋接,而進成三種缠接 之指令種類而定: 器依一方向之籣單連接, ,每一方向各一, 間多工連接,其中,髄存表元 兩連績階段中則依另一方向。 單元宜包括有 存器相連接之内部一嫌匯流排 接,各包括有可由控制装置控 一可與下列元件之一相連接之 之匯流排·靨於暫存器堆中 —► 〇 器可包括驗入縵銜器装置·諸 器裝置,諸如反相器•及連接 控制開闞。此輪入緩衝器裝置 別經由可控制開«輿至少一内 某些暫存器單元具有固定值, 埋接,而非輿内部暫存器連接 暫存器内涵相比較,並Μ比較 匾流排内之嫌上。 -14- (請先閱讀背面之注意事項再填寫本頁) -裝- 訂- 線- 本紙張尺度遑用中國家標準(CNS)甲4規格(210x297公#) x999;a 經濟部中央搮準局員工消費合作社印製 Λ 6 _ B6 五、發明説明(13) 附籣略說明 為其更充分瞭解本發明及其進一步各項目的和優點,現 謫配合附·參閲下文中詳细說明,其中: 圈1所示為縮滅處理器结構之略,此處理器包括有根 據本發明之核心單元· 鼸2 A所示為可《存單元接合之雔存單元實腌例, _ 2B至2D所示皆為核心暫存器,此等暫存器可使用於根 據本發明之核心單元寅施例中, 匾2E所示為核心睪元實豳例中各核心暫存器之可能姐構 » 3 A至3F所示為根據本發明之核心單元内各種不同資料 儲存器之形狀, 圔4,5和6所示為根據本發明之核心單元埋作實例* _7所示為根據本發明之核心單元内第一類工作平面之 第一實施例方麋圔, 鼷8所示為一完鏊暫存器簞元之設計實旛例略_,設有 _7中所示第一種工作平面之各種可能連接類別, _ 9 A至9F所示為可使用於暫存器單元内各種不間姐件實 例, _10和11所示為醒7中所示第一種工作平面内各種不同 暫存器單元之設計略臞, 圓12所示為根據本發明之核心單元内第二種工作平面( 羼性平面)之第一實豳例方塊·, 蹰13至15所示為·12中所示第二種工作平面内各種不同 LF -15- (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度遑用中國钃家楳準(CNS)甲4規格(210x297公*) 經濟部中央標準局員工消費合作社印製 i999;^8 Λ 6 __ Β6_ 五、發明説明( 暫存器單元之設計略·, 16和17所示為暫存器單元間兩傳送貢例略, _ 18至24所示為核心單元内資料傅送之不同實例, _ 25所示為根據本發明之核心單元内第一種工作平面第 二實施例方塊_, 靨26所示為工作平面内暫存器單元第二實施例之設計略 圖, 較佳實麻例銳明 画1中顯示核心單元係包括於單一鏞滅處理器之實施例 中,此為相當簡簞之縮滅處理器,此處理器可予設置為較 為複蠊之埔減處理器中之一部份。此一纗減處理器之结構 略_可由_1中看得很明顧。但是,須予注意的乃是,其 設計可為十分不相同,例如,核心單元之不間平面可並排 設置於一晶Η上*或者設置為並馨靥•如此乃與略_所示 者不相同。 圈1中之單一鑲滅處理器包括有核么麗元)2Ρ,含若干核 ...........4. Η*·"1" 心暫存器3Ρ>檷的儲存器4Ρ,包括許多的記憧躲存單元, ........... .*1- ...ι-Λ ........ . ' 每一單元皆能予雠存單元接合,且亦能予攞供有限之埔滅 ...... 一..··. . 埋算姐,又如鼷1中之單一縮滅處理器係為包括有若干單 一鑲滅處理器之較複雜處理器之一部份時,處理器纗路資 料傳送装置5P乃提供各複合處理器間之通信。此資料傳送 装置5P包括有若干暫存器《!用於睡時保存單元接合,俾使 此一單元接合傳送予另-·單一處理器。由於此傳送裝置 5P並非根據本發明之實際核心單元之一部份,乃不擬進一 LF -16- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度遑用中《家標準(CNS)甲4規格(210X297公翁) 經濟部中央標準局員工消費合作社印製 i9S9,',-C A 6 _____ B6 五、發明説明(15) 步予Μ說明。 控制軍元 ..·· .〆 此處理器亦確實包括有控制單元6Ρ,用Κ控制核心單元 2Ρ中各元件。而且尚包括有數字ALUIP 。控制犟元GP係為 布爾W陣列,係由須經核心簞元*理之接合内涵所控制。 控制單元6Ρ和數字ALUIP皆非實陳發明之一部份。因此皆 不予詳细說明_衹往返於其間之信號配下文中各實施例予 Μ 銳明。吾人參考 1980年由 Addison Wesley Publishing Company 出 Η 之 Carver Mead 與 Lynn Conway # 合撰著之 教科軎Introduction fo VLSI Systems* (超大型積麵 «路裝置籣介)一害,Μ提供有翮控制單元設計之進一步 資訊。 檷的緘存器; 核心暫存器包括有由檷的齡存器4Ρ中所等出之结構。檷 的儲存單元祗能黻存一曆次之结構,亦即單元接合。核心 暫存器與檷的儲存器相連接•其匯流排寬度足Μ傅送一層 次结構,即單元接合。但是,核心單元可包含及包括多達 三層次之檷的结構。有四種狀況:〇·1,2或3曆次禰的 结構可«存於核心單元内。一如下文中將予銳明者,如儲 存三層次檷的结構時•祗其最上方曆次(根)及其分支之 一予Κ儲存。否則所有靨次皆予«存。 核心單元结構 核心單元整儸係為含有若干核心暫存器3Ρ之結構遲算之 埋算裝置。此核心暫存器用以雠存表樹。每一表皆包括若 L F -17- (請先閲讀背面之注意事項再塡寫本頁) 本紙張尺度逍用中國家標準(CNS)甲4規格(210x297公釐) 1999 經濟部中央橾準局員工消費合作社印製 五、發明説明(16) 干字元。暫存薄中有一部份•有限暫存器*各能《存一元 索字元,此元素字元包括有數宇字元和檷鑛宇元。標籤字 元用Μ表示數宇宇元中符號特性。例如•如數字字元表示 量值時•標鑛字元係表示數宇字元中所包含最值之類別* 例如*如其為一轚數或浮動小數貼之類。此核心犟元每次 衹能處理某一定畏度之表,須視其所投置之暫存器多少而 定。用Μ作表鶼存之暫存器數目宜為4 •此乃表示所黼存 之表衹能有四僩之内之元素。例如*暫存器可包括佔32位 元之數字字元及6位元之禰鑛宇元。在此狀況下*有四元 素之表宜佔4Χ 38位元。 但是,可處理任意畏度之表,但ft届時毎一表必須Β分 為若干其畏度為相同於或小於在核心覃元内所處理之最大 畏度。此核心單元一次衹能處理某一定深度之樹枝。深度 較大之樹枝可予處理,但是一次祗有深度有限之樹枝部份 雠存於核心單元内*亦即•一次衹鮪«理樹枝之一部份。 固定數目之暫存器可鳙存表。未使用之暫存器係特別欏 示為未使用。表樹係用以控W及提供計算,此項工作係在 來自控脚犟元6P中之控刺下實旛•且如需饕,係輿數字 AULIP相配合。計算偽經由使表樹之内涵璽予寫錄之方式 賞施之。 界面:檷的黼存器〈一〉核心單元 檷的鹪存器4P,宜為相馨之記懼器•及核心犟元係經由 轉變界面9P而互缠者,使信號缠應及接合寬腫流排8P,亦 即包括部份匾流排OBJV (垂直禰的匯流排)之鼸流排與暫 L F -18* (請先閲讀背面之注意事項再塡寫本頁) 裝. 訂_ 線· 本紙張尺度逍用中國國家樣準(CNS)甲4規格(210x297公釐) 1999 ,6 Λ 6 Β6 經濟部中央標準局員工消費合作社印製 五、發明説明(17) 存器平面NO Μ相連接•而部份匯流排TAG偽與暫存器平面 HEAD相缠接,因為係為能使一靥次结構予Μ傳送之匯流排 。界面9Ρ使經由接合寬腫流排OBJ 8Ρ,來自核心暫存器 3P之信號予Μ放大而轉變為《合於檷的儲存器中鶬存單元 之信號。並使於讀取作業中來自禰的儲存器之信號予以放 大並轉變為埋應核心單元暫存器。難然如疆示,此界面係 置於檷的蠊存器4Ρ内,反之亦可與核心單元放在一起。但 是,界面9Ρ切勿視之為根據本發明之核心單元之一部份, 因此在本文中不予說明。 核心單元平面 一如由圓1中所了然者,核心暫存器係匾分為若干部份 ,如 _示為平面,NUM. HEAD, BOOL, TYPE, WAERE, LAZY, COLS/SIMPLE等。各不同部份包含不间數目之平面 。圓1中梅顬示少數若干平面’俾較易於判嫌。在下文中 ,TYPE, WHERE, LAZY及CLOS/SIMPLE等平面皆稱為屬性 平面。 數字字元可鶼存於暫存器平面HUM内•檷鑛字元可鹳存 於暫存器平面HEAD内。計有’例如,32NUM平面及6HEAD 平面。 例如•在_1中所示處理器貢施例中,有五暫存器平面 TYPE ·及一暫存器平面CLOS/SIMPLE 。在核心暫存器部份 之此等平面内須予提供之資訊可由下文銳明中β於明期, 將予說明核心軍元之蓮作,並於其實除說明之前提出之。 核心單元埋作 L F -19- (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度逍用中國國家標準(CNS) Ή規格(210X297公釐) 經濟部中央標準局员工消費合作社印製 iS99:^S Λ 6 ______B6_ 五、發明説明(18) 為提供核心犟元發展之背景瑁埔,茲銳明根據本發明之 核心單元實際發展之前已予颺發之特定搮的_存器4P,而 且已在本申誧人等同時攞出仍在籌理中之申請粟第XXX號 中更有進一步之說明。但是,須予注意的是,根據本發明 之结構蠼算用之*算單元亦可輿較為傅統類型之計算和鏞 存裝置相連接。須予注翥的是,此核心單元係為實施结構 遲算之装置,而且此裝置利用數字*算單元(數字ALU ) 實豳數字麵算。通常處理器皆設置有運算軀輯單元(ALU) Μ遂行數字及部份之结構《算,而此種先前技術ALU並未 為结構和數字麵算匾分為不同功能部份。當使用傅統ALU 時,須要小程式Κ遂行與核心單元中所遂行之理算相當之 工作。 檷的齡存器能力 此檷的皤存器4Ρ比普通RAM型記慊器具有多出許多之理 解力。前者係為相聯的能提供比普通RAM型記憶器所提供 之Λ讀取"和"寫錄"多的服務。 此欏的儲存器區分為若干«存單元,每一單元包括若干 供!存元素,例如•舫者能找出特定資料元素之產生*而不 諭其係在届別餡存單元之何一部位,並能祗利用一價記慵 器指令,使在整僩檷的鐮存器内所找出之特定資料元索重 予寫錄為新值。由於此禰的《存器係為相職性,此項簠予 寫錄作業可於兩實體記憶遇期内予以完成’而與受影響之 髄存單元數目無Μ » 儲存單元 LF -20- (請先閲讀背面之注意事項再填寫本頁) 裝- 訂_ 線· 本紙張尺度遑用中《國家橾準(CNS)肀4規格(210X297公*) Λ 6 Β6
五、發明説明(19) 1989^6 _2Α中所示為儲存單元之實施例。此單元能予餘存兩播 接合元素,並包括有特別进於須予雔存元素之齡存檷。此 等檷在圈2Α中所使用名稱與須皤存其中之元素相同。 第-·類接合元素 第一類接合元素說明儲存單元之各種不同狀態。此類元 素之一為LAZY,表示該單元是否空閑未使用*在此狀況下 ,此單元之其餘内涵乃視為被動資訊exec,亦即係為可執 行狀態,或wait,亦即單元之評估已予延期,並正等待有 结果之後始能予執行。此第一類另一元素為TYPE,此元素 包括有類別碟(par, seg, apply, risf, unify 等)。此 等第一類元素皆遽於槠存於設置於LAZY, VH ERE,和THPE等 平面内之核心暫存器之各部份内。但是,核心單元設有額 外平面稱為CLOS/SIMPLE *其中乃表示在暫存器内之資訊 係為接合或籣單量值。額外平面可予設置,須視用途而定 第二類接合元素 第二類接合元素乃說明識別,環境或量值。計有 IDENTIFIER, ENVIRONMENT, VALUE/DES等。此等第二類元 素壤於餘存於設置於HEAD和NUM平面内之核心暫存器之若 干部位上。每一此等元素皆包括有元素宇元,此字元又® 分為須鐽存於核心單元内HUM平面内之數字字元*及須棋1 存於核心單元HEAD平面内之標示字元。須視用途而設置額 外平面。 標不字兀 LF -21- 本紙張尺度逍用中國家標準(CNS)甲4規格(210x297公釐) (請先閲讀背面之注意事項再填窝本頁) 裝. 經濟部中央標準局貝工消費合作社印製 1999)¾ Λ 6 Β6 經濟部中央標準局员工消費合作社印製 五、發明説明(20) 第二類毎一接合元素皆設有禰示字元以表示數字字元特 性。檷籤有兩種,間接標皤係為用Μ作為纖別符號和環境 之欏籤,而直接禰籤係用Μ作為量值之類之檷籤。間接欏 級實例為(LLJL, canon.及open。如檷示字元為C丄i時,乃 表示此數字字元表示可予縮滅之接合。如檷示字元為 c-ajion時,乃表示其數宇字元代表無法進一步縮滅之接合 。如禰示字元為QJLaiL時,乃表示該數字宇元代表插入表之 接合。直接檷饑實例為 d ijs c r . C ο n t . u η u s e d ID nothing 等。如檷示字元為d-Ls-ex時,乃表示其數字字元係為一整 數。如櫟示字元為時,乃表示該數字字元係為浮點小 數值。如禰示字元為uiL.us.ed.時•乃表示該數宇字元無意義 。如檷示字元為DJ^iLllLg.時,乃表示該數字字元代表無, 亦即包括禰示"無"之橘之接合統一,始终為無任何事物 。視用途可予設置額外之間接直接檷示字元。 議別符 如在《存單元内之識別符檷包括有識別符元素時,該供ί 存單元内之單元接合可予傅送至核心單元上。每一鐮存單 元橘VALUE/DES皆可包含表示另一單元接合之雄別符,從 而攞供與此另一單元接合之相鍵。所騸存各接合之集合可 視之為方向圏,或單元接合樹,係Μ雄刖符使之结合在一 起。 環境 環m權可包括有雄別符,以禰示钃路部份之根接合,亦 即攞供接合瓖«之接合樹。但是,此瓖《櫊亦可作其他用 LF -22- (請先閲讀背面之注意事項再填寫本頁) 裝< 訂- 本紙張尺度逍用中困家楳準(CNS)甲4規格(210X297公釐) Λ 6 Η 6 經濟部中央標準局貝工消費合作社印製 五、發明説明(2ί 途。此等瑁埦權可用Μ經由在所產生之所有單元接合之環 «檷内雠存下創始人之雄別符方式而保持结構創始人之記 錄。例如,分樹枝上之所有接合單元,其中,名稱相同之 所有符號皆代表相同東西,可予納入相同環塊之姐類中。 依此方式•鏊僩结構皆可由樹枝上之一接合中予Μ進出。 由於環堉並不影響核心單元内所遂行之麵作.此乃非本發 明之部份*因此不準備作進一步銳明。 因此,如接合之環堍為已知時,乃可找出此瓖境之根接 合。此環堍之節接合可能於WHERE檷中設有另一符號(例 如,、、0" ) « 核心單元中之暫存器 可使用於核心單元實施例中之暫存器見示於圓2B至2D中 ,可使用於核心單元實施例中之暫存器見示於鼷2E中。 _2B中所示為暫存器。此·乃顯示暫存器係由暫存器單 元所姐成,每一單元皆能予雔存一資訊位元。此暫存器綸 製之方式乃顯示暫存器係伸展通*核心單元中之不同平面 *每一暫存器置於一平面内。 圈2C所示為暫存器,此暫存器伸展通過核心單元中之所 有平面,此乃為一完全暫存器。這種暫存器可保存NUM和 HEAD平面内暫存器單元内之雄別符或量值。此暫存器亦能 在 BOOL, TYPE, WHERE, LAZY 和 CLOS/DES等平面内之暫存 器單元内保持如上所述之狀態。 圓2D所示為暫存器,此暫存器之伸展,衹通«核心單元 之ΝϋΜ和HEAD平面,係為一有限暫存器。 LF -23- (請先閲讀背面之注意事項再填寫本頁) 裝- 線- 本紙張尺度逍用中國國家標準(CNS)甲4規格(210X297公釐) i9S9ri6 Α 6 Β6 經濟部中央標準局貝工消費合作社印製 五、發明説明(22) _2E所示為核心單元實旛例中各暫存器之可能姐構。此 核心單元之基礎暫存器宜配置為方形,稱之為基礎暫存器 矩陣。此等基礎暫存器之主列係在其邊侧之一上,稱之為 主暫存器。各基礎暫存器行稱之為輔助暫存器*各設有一 主暫存器於最下方。核心單元亦可設有_別符暫存器及環 境暫存器。輔助暫存器嫌路係在基礎暫存器矩陣纗。 在核心單元實施例中,除了主暫存器可為_ 2D所示之類 型,即有限暫存器外,所有基礎暫存器及·2Ε中之其餘暫 存器皆可為鼷2C中所示之類型,而完全暫存器。 在詳綑說明核心單元之硬體結構之前,玆先參照_ 3Α至 3F簡略說明資料之各種不同脯存形式,並參照_ 4Α至4Η, 5Α至5G及6Α至6G等說4明其埋作之若干實例。 籣單值 如_3Α中所示•籣單值25係為嬸滅之结果,出現於主暫 存器之特定暫存器上。 犟一靥次结構 目檷乃為裝載於核心犟元中Μ備嫌滅者。如 3Β所示, 衹包括簞一曆次之目檷典型上係為一輿其他單元接合不相 干涉之接合,《存於主暫存器内。實例期示其Μ單數字麵 算情形,亦即數值1,2和3等之相加。數字指令卜)儲存 於第一主暫存器内|而須予處理之各元素則雠存於其他主 暫存器内。 兩靥次結構 如鼷3C所示,包括有兩曆次结構之樹枝*可設有其根表 LF -24- (請先閲讀背面之注意事項再填寫本頁) 裝< 訂- 本紙張尺度遑用中國國家搮準(CNS)甲4規格(210x297公釐) 經濟部中央標準局員工消費合作社印製 x939,;d Λ 6___1^6 五、發明説明(23) ,係為父表,依水平方式健存於主暫存器内,而其子表係 依垂直方式健存於基礎暫存器内。在此賁例中,其設有表 符號((1 2)(3 4))之结構係皤存於基礎暫存器矩陣内。其 根表,亦即1和3 ,係為次表中之第一元素,齡存於主暫 存器内,而其子表,亦即(1 2)及(3 4),係依垂直方式 儲存於輔肋暫存器内。將於下文中參照·4進一步說明此 類型齡存器之實例。 三晒次結構 如 3Ε所示,包括有三靥次结構之目禰樹*其根儲存於 輔助暫存器之一内,而其單一子表係齡存於主暫存器内。 在麵3D中,其根係為目檷樹之指令Transpose(Tr),槠存於 輔助暫存器之一内,而其子表,即表(icU. id2. id7)係 儲存於主暫存器内。此表中毎一元素又為表示子表之識別 符。在圓3E中·此等子表皆依垂直方式装載於基礎暫存器 内,其中idi輿其所檷示之表,即(1 2 3),相互換·而 其中之id7係與其所表示之表,即(21 22 23>互換。 管道横式 如_3F中所示,躲存於管道横式之樹装載有主暫存器内 之目檷表及輔助暫存器内目欏父表•且設有指令和須予處 理鏞存於兩種暫存器内之元素。當鏞滅數字式時,宜使用 管道棋式運算。一項儍點乃是其中間结果可予暫時儲存於 核心單元,而不必槠存於檷的儲存器内。 實例1 園4 A至4H所示之第一實例係為列示為可予縮減之接合之 LF -25- (請先閲讀背面之注意事項再填寫本頁) 裝· 訂- 線. 本紙張尺度遑用中《國家«準(CNS)甲4規格(210x297公釐) X999-0 Λ 6 0 6 經濟部中央標準局員工消費合作社印製 五、發明説明{24) 若干平行值之統一· 統一(平行(1平行⑴3)平行(1平行⑴2)) 此可縮滅接合須予簠寫為統一平行结構。 _4A所示為起始可埔滅接合。_4B顧示此可予鏞減接合 如何儲存於檷的雠存器内。有可縮滅接合之各不同部份齡 存其中之各繾存犟元皆於國4A中禰示出來。各元素接合與 各犟元接間之通信鍵於·4Β中檷示出來•設有豳別符 之單元接合具有欏籤(Ιϋ及在類別檷内設有類別碣 而設有雄別符i , i (13和i 之單元接合則在其類 別橘内設有類別礴Eail。設有議別符idt之單元接合包括 有接合元素作為其為首兩量值/欏示,K檷示具有織別符 id2和丨(14之單元接合。此等睪元接合皆檷示canon 。設 有議別符id2之犟元接合,其第一和第三量值/禰示接合 元素皆設有其禰示為dlacr之分之量值·而其第二量值/ 標示接合元素樣示設有識別符id3之單元接合,並因此而 橘[示Canon 。設有織別符ids之單元接合,其第一量值/ 欏示接合元素•設有整數而因此檷示dis.cr 。設有織別符 i d4之單元接合,其第一和第三最值/檷示接合元素設有 具有檷籤discr之分立量值,而其第二最值/檷示接合元 素,標示設有織別符丨d3之單元接合,且因此禰示Canon Ο 如圓4(:中所示,雠存單元内涵為具有織別符id:之覃元 接合,首先输入至一核心單元,使其織別符置於織別符暫 存器内而成内idi ,包括有接合類別« u.nif y ,而量值/ LF -26- (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度逍用中國國家標準(CNS)甲4規格(210x297公釐) 經濟部中央標準局員工消費合作社印製 A 6 ___________B6_ 五、發明説明(25) 檷不7Π素,於第一蠼算步骤時成為主暫存薄中之目檷。至 此時此一工作已實際完成,如中所示,茲說明於下。 如·40所示,具有識別符ida和id4之子表係依垂直方 式艙入基礎暫存器,而使其第一量值/檷示元素内涵董於 檷示有其織別符之主暫存器内,而其餘量值/識別元素置 於其上方之垂直行内暫存器。每一此等子表之類別礴亦轤 入主暫存器内。類別磲_入至位於TYPE平面内之暫存器單 元内。 如·4Ε中所示,基礎暫存器内涵顔倒90。,而使基礎暫 存器第一垂直行内涵置於主暫存器内,而第二垂直行置於 與主暫存器相平行之列内。設於織別符暫存器内與主暫存 器内之類別碼和U.nify相互交換,此一工作係經由控 制單元自動完成。此時基礎暫存器包括三子表置於各行内 之父表。利用指令酿ake·使每一子表鎖回檷的儺存器內。作 為來自檷的槠存器之回應,所躲存各子表之_別符皆予設 置並餘存於主暫存器内。須予注意的乃是•此控制單元 6P係為一種闸控陣列•係用以特別感测在平面 CL0S/SIMPLE至TYPE内各暫存器之内涵,並予攆供指令, 亦指依據所找到之資訊,控制各種開闞及閛波°各子表名 稱係依ida之後之順序,而已予耩合之名稱未予使用。但 是,此名稱類序並不重要,因此可予醻《° 如圈4F所示,第一子表獲得雄別符i d2 ,包含佔有識別 符id3之元索接合之第二子表,獾得識別’而第三子 LF -27- (請先閲讀背面之注意事項再填寫本頁) 裝- *,τ. 本紙張尺度遑用中國國家標準(CNS)甲4規格(210x297公*) 經濟部中央標準局員工消費合作社印製 χ 9 9 9 A 6 ____B_6_ 五、發明説明(26) 表獲得豳別符ide 。具有與設有織別符id3,id4,1“等 之單元接合相鍵之元素接合之父表仍保有其雄別符idt並 因而儲存於檷的儲存器内。 蹰4G所示為儲存單元用以皤存下列可予縮滅之接合: Par(Unify(l l)Unify(Par(l)par(l)unify(2 3)) 此可予縮滅接合之本身見示於·4Η中。H4G和4H係依與圔 4 Α和4Β相同方式顯示,因此係為不言自明者 在_4G中,所顯示設有類別礴unify之各單元接合,皆 巳於LAZY梱I内賦予訊號e^,而設有豳別符之犟元接 合則《予記號wait.,此乃表示檷示有之單元接合應於 檷示雜別符icU之單元接合之前予K紈行’俾使其内涵縮 減為量值。圈4H内之接合,可於畤間上稍後•輪送回至核 心單元内作進一步處理.例如,設有雄別符丨d2之單元接 合,其值將為,因為其值1與其量值/檷示元素内之1相 同,而設有雄別符ids之單元接合,其结果為零’因在其 量值/檷示元素中之量值2與3不相間。每一統一皆勝於 數字ALU内實施,此ALU使比較器中各黴值作比較’並將 比較结果搌供予控制單元6P。於是控制單元乃設定布耳明 波陣列而據Μ產生核心單元内第一主暫存器中之資訊。當 縮減结果產生標準之檷示或簡單悬值或寧時’乃普18分® 予可用Μ儲存第二類π素接合之檷的齡存器中之所有齡存 檷内•而使經纗滅之接合之每一間接禰示改變為直接量值 檷示。此項工作係經由unify-id«作實梅之*容待參照· 21後進一步於下文中說明之。 乙 p -28- (請先閲讀背面之注意事項再填寫本頁) 裝. 訂_ 本紙張尺度逍用中國家櫺準(CNS)甲4規格(210x297公*) ι999;;6 A 6 Β6 經濟部中央標準局貝工消費合作社印製 五、發明説明C7 ) 實例2 本實例係為砸Μ描今丨iSt expansion (表擁展),其含 義乃為其犟元接合包括有插入表在内。此一類指令乃為其 他縮滅中之鋪助步«。硬髑指令表擴展見示於画24中,容 待參照鼷2 4予Μ進一步說明之。 此拥器實施稱之為ex.型之示範性指令之讅滅·此指令 可為任一類型之指令•此指令包括有量值及具有下列形式 之表。eX.型(1表(2 3表(4 5 6)7)此形式見示於圔5A· 而其簞元接合見示於鼷5B中。·5Α和5B皆依與_4A和4B之 相同方式欏示*因此像為不言自明者。 如圖5C中所示,設有議別符idi之單元接合係繪入至核 心單元主暫存器内,而其雄別符及類別碼係在雄別符暫存 器内。由於在第二主暫存器内之内涵檷示為間接元素 open,輿其相鏈之單元接合係依垂直方式轤入至基礎暫存 器内作為子表,一如由圔5D中所顧然者。 硬«指令11 st expand,進一步詳细顯示於鼸24中,於是 使第三主暫存器内之各別值7移動至第三基行内idA旁邋 之位置上,並使第二主暫存器上方第二行内之表之一部份 移動至第三行而使其最下方元素(量值3 )置於第三主暫 存器内,並賦予類別* list。由於第二主暫存器内涵係為 一分立量值•其禰示為d i scr 。 然後,使新表擴展而使主暫存器上方第三行内涵置於第 四行内,歸類為第三主暫存器內涵係為一分立量值 ,檷示為cLLsjul ,一如由 5F所顧然者。 LF -29- (請先閲讀背面之注意事項再塡寫本頁) 本紙張尺度遑用中國觸家楳準(CNS)甲4規格(210x297公釐) x99^:ji3 A 6 B 6 經濟部中央標準局員工消費合作社印製 五、發明説明 於是使第四行内之表利用指令«akft,緘存於具有雄別符 id2之欏的儲存單元内*由於其已變為空間,識別符id2 之供懕乃被送回至核心單元而髂存於第四主暫存器内•一 如由國5G中所顏明者。 其後於實施其他類型之ex.type »減,然後使嫌減结果 回输至檷的儲存器内。 實例3 須予執行者為數字指令。此數字指令可為+,-,*,/, nod等。指令之後,接著為引數。在本實例中,係為實豳 表内諸數字間之相加。«器實施應用之缩減,其功能為: apply(+ list(l 2)) 其應用見示於臞6A中,而其單元接合見示M_6B中。園 6A和6B係依與圖4A和4B相同方式檷示,因此,係為不言自 明者。 如騮6C中所示,具有識別符idi之單元接合係_入至其 識別符暫存器内具有其識別符和類別碼之核心單元内。其 數字指令(+ )係檷示為指示。由於第二主暫存器内涵係檷 示為間接元素〇隨_,與之相鍵之單元接合乃依垂直方式输 入至基礎暫存器内作為子表,一如由_60中所顧明者。 然後實施表擴展,使第二主暫存器内分立量值檷示為 discr ,並使經擴展之表值2禰示為類刖碼檷内之表。此 項工作之實施係由於表上具有之織別符id2具有二,三或 四元素,皆作相同之邇算。由於在新表内衹有一元素*此 櫬器使標示1 ist換成為主暫存器内有值為之檷示, LF -30- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度遑用中國《家標準(CNS)甲4規格(210x297公*) 經濟部中央標準局员工消費合作社印製 i9S9-- A 6 _ B 6 五、發明説明(29) 一如 6P中所顏明者。 於是主暫存器包括有指令檷示(+ )及兩分立值,如此乃 促使其控制單元,直接,或經由,例如,儲存於其中《存 有指令之檷的雔存器非可寫錄部份内闥於指令之資訊控制 數字ALUK實施指令(相加),並使數字埋算结果作為櫟 準值鎗送至第一暫存器内,如麵6G内所示。須予注意的乃 是*在類別碼檷内之符號係為須予實施之功能施加 之檷記。其結果值在此實例中,之簡單值3乃予普通分配 ,俾於毎逢識別符idi產生時皆與此值相互交換。 核心單元之硬《结構 平面ΝϋΜ和HEAD之核心暫存器單元係與匯流排OBJV, i d匯流排亦即雄別符匾流排,及e n v匯流排,即瓖堍匯流 排等内之嫌相連接於平面2Ρ與界面9Ρ之間。OBJ V匯流排包 括睡流排部份V〇. V1( V2和V3。 其餘各平面之用途及埋接容後說明於下。 核心暫存器陣列因此乃與各暫存器相垂直分切為若干平 面,而麵於相同ΝϋΜ和HEAD平面但靨於不相間核心暫存器 之暫存器單元乃依圓7中所示方式彼此相連接。 至少在NUM -及HEAD-平面之结構中*如圓7所示*有暫 存器單元矩形置於有NXN暫存器S〇.〇至Sn.N-i之矩陣内 。在此矩陣内之暫存器皆稱為基礎暫存器單元。 此等基礎暫存器在大多數用途中皆用Μ暫時槠存接合元 素。暫存器之符號經予嚴匾分,Μ使當其銳明係著重於暫 存器之實際位置時,使用-種欞示,諸如基礎,主和_助 LF -31 - (請先閲讀背面之注意事項再填寫本頁) 裝. 訂· 線· 本紙張尺度逍用中國家標準(CNS)甲4規格(210x297公釐) 1999 ^ A 6 B6 經濟部中央標準局貝工消费合作社印製 五、發明説明(30) 暫存器,如其說明係著重於暫存器之功能時*則使用另一 種檷示,諸如子表*目禰及父表暫存器等。 在實施例中顯示N = 4 ,且Μ如此顧示較為合宜*但是其 他矩陣尺寸亦可予灞用(未願示)。最下方之基礎暫存器 S〇.〇, Si.o, S2.〇, S3.〇等,如·7中所示,係與此平面 特定之麗流排h〇中鐮路相連接,且為主暫存器單元。此等 主暫存器犟元S〇.〇, St.o, S2.<^S3.〇最常使用Μ作為目 檷根暫存器,偽經由包括導»NU〇至NU3等匯涑排HU與數 字ALU IP相連接。 但是,須予注意的乃是,可能依照本發明之教導搌供籣 覃處理器,其中不須要捤供數字埋算。在類此狀況下,乃 可使數字ALU IP從略(參閲_1> 。 雄別符暫存器單元ID係與嫌id相邃接,而瓖堍暫存器犟 元係與嫌env相連接。 匯流排繚hi可經由開闞SWvi與匯流排繚Vi相連接,其中 i係為0至3之間之數字。包括匾流排級h〇,ha,h2, h3等之鼸流排稱為OB Jh *亦即水平檷的匯流排。匯流排 OBJh亦在用Μ使資料垂亶_人,亦即輪入至暫存器行内之 許多東西之列•在核心犟元中,此等資料係由欏的齡存器 經由匯流排0BJV所搮供。玆參照! 20予進一步說明於下 〇 臞流排嫌id, env, V。,Vt, V2, V3等皆可經由開U SH 丨 d.ho,SVenv.ho,SWvo,SWvl.ho,SWv2.ho 和 SWv3.h。分別與匾#U h〇相連接。包括賵流排排嫌Cld, Λ LF -32- 本紙張尺度遑用中國家標準(CNS)甲4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局员工消費合作社印製 i999:jb Λ 6 ____B6五、發明説明(31 ) Cr, Ch及Cv等之匯流排係與控制單元6P相連接,且可用以 使暫存器設定常數,諸如0 。匯流排鐮Cid連接至議別符 暫存器單元上,而匯流排嫌cf埋接至暫存單元F0,F1 , F2,和F3,而匯流排嫌Ch可纆由開關SWch. h。輿膛流排線 ho相連接’而睡流排嫌Cv可經由開翮SVv,.cv與麗流排嫌 Vi相連接,其中之ί係為〇至3之間之數宇。腫流排Ves 之開W在某些用途上可予省略(未顯示)。 輔助暫存器 資料樹上有最上方暦次•稱為父表。此父表有時係雔存 於位置於園7左方之輔助暫存器FO, FI, F2, F3等内。在 所示實施例中,每一輔助暫存器可儲存一核心字元。每一 輔助暫存器單元分別速接至K流排嫌id及嫌h〇 · hi · h2 * h3等之一上,在臛流排OBJh內係與匯流排嫌相垂直。_助 暫存器單元係使用於核心單元可提供之少數饞種作業上。 因此,_助暫存器在根據本發明核心單元之某些用途上係 可予省略(未顯示)。亦可能搛供具有一轜助暫存器行K 上之核心單元(未顧示)° 一如由上文中所顯明者,毎一暫存器在数平面2P設有暫 存器單元•在平面上均在相間位置。轚傾暫存器皆由_7 中所使用之#考編號予K檷示* _然_7衹顧示一單元, 亦即每一暫存器之一位元。一如圓7中所顏明者,各暫存 器係K置成行和列。_助暫存器區F〇,PI, P2, F3係為行 ,而N基礎暫存器KS〇.。至S〇.3, Sx.oSSn S3.〇至 S2.3,及S3.〇至S3.3分別各有一行,能予儲存子表。 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度逡用中國家標準(CNS)甲4規格(210X297公釐) i999 A 6 136 經濟部中央標準局員工消費合作社印製 五、發明説明(32j) 暫存器睪元間之連接 毎一平面内各相鄰基礎暫存器犟元間皆有垂直和水平方式 之連接。具有固定程式值,在_示實胞例中為f之連接亦 設置於水平列上轉彎至欏的黼存器上之每一最外方基礎暫 存器上。係缠接至暫存器犟元(參閱矚8 )内之N接靖( 北)上,係於南北方向轉變之時使用之。亦可於基礎暫存 器犟元間依斜對角方設定達接以使依可調換位置相達接。 埴乃表示單元si,j可輿犟元sj,i相連接•其中1_與上不相 同。每一基礎暫存器單元與位置於有基礎暫存器犟元在此 一位*上之最接近右下方之基礎暫存器犟元相缠接。毎一 輔助,識別符,瓖堍及基礎暫存器單元皆分別經由鎗出 ACCFX, ACC;d,ACCw ,及 ACC·».» 與平面 BOOL 之一相連 接,其中X和Y乃為〇至3間之數字。 玆將待置於平面NUM和HEAD之普通暫存器犟元說明於下 (鼷8)。在普通暫存器單元中所使用之開鬮及閘控實施 例見示於圈9A至9F中。由輔助暫存器單元(騮]〇)之普通 暫存器單元實施例中,導出_別符暫存器簞元(園11)。 Η將須置於ATTRIBUTE(圈性)平面内之暫存器單元實施 例說明於下。在圓13中,所示為識別符暫存器單元。鼸 14中所示為轜助暫存器單元,而在圔15中所示主暫存器犟 元0 平面ΝϋΜ及HEAD内之替通暫存器單元 參閲·8 ,暫存器單元之較佳實施例包括有兩内部騰流 L F -34* (請先閱讀背面之注意事項再填寫本頁) 裝· 訂· 本紙張尺度遑用中國家標準(CNS)甲4規格(210x297公釐) 經濟部中央標準局貝工消費合作社印製 x93B;,6 Λ 6 ____B_6_ 五、發明説明( 排3”和“及中央内部暫存器「11。_排排311和1)|1係連接至暫 存器單元外部之若干連接上。_8中實施例所示普通暫存 器單元設有與外部之每一可能連接。檷準的是,某一特定 暫存器單元並未設置圈8中所示之各項埋接,須視此所遺 漏之暫存器單元之一或數個之位置而定。在有連接各終端 間之嫌皆可由圓7中所示之接繚情形皆甚顯明《由_7中 亦顬明的乃是*所有暫存器單元皆有外部逋接,如_8中 所示。因此各暫存器及其逋接情形不擬再予詳细說明。 匯流排aR 匯流排aR係經由開USVvt及终纗V ,與垂直匯潦排Vx相 埋接,其中之X係為0至3之間數字,此匯流排經由開Μ SWH1及终端Η與水平匯流排hy相連接*其中之y係為0與 3之間數字,經由與在附近暫存器單元中之開闞SWE (東) 相埋接之終靖與左方暫存器單元相連接*又如此暫存器單 元係主暫存器單元時,亦經由終繃Νϋ輿數字蓮算單元IP直 接相連接。此匯流排aR亦經由至設於該暫存器單元内之開 WSWDb之終蠼連接至右方之暫存器單元上,亦經由至設於 該暫存器單元内開闞SWN(北)之終鏞S(南)連接至下方暫 存器單元上。此暫存器單元可經由終纗C及與匯流排a!«相 連接之開闞SWC予Μ設定或重置。此匯流排亦經由開闞 SWel與中央内部暫存器rR之鎗入相連接,及經由Μ闞 SW«〇與其输出相連接。 屬流排b R 匯流排^經由開闞SWE及終接E連接至右方之暫存器單 LF -35- (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度遑用中國國家楳準(CNS)甲4規格(210X297公釐) 經濟部中央標準局員工消費合作社印製 x9S9,,6 A 6 __B6___ 五、發明説明(34I 元,亦經由開WSWDb和終端Db埋接至各暫存器單元之對角 ,亦經由開鬭SWN和终端N連接至上方之暫存器單元。此 睡流排bl«亦經由開鼸SWbl連接至中央内部暫存器rR之轤入 上,及經由開MSWb。至其输出上。 中央内部暫存器 中央内部暫存器「《包括有兩反相器(^和〇2,宜為CMOS反 相器,及其間之可控制闢鼷SW〇 。一完整之暫存器單元亦 包括有匯流排aR#bR,及開WSWel, SW·。,SWbl, SWb〇及 使此單元與外部相轉換之若干開闞。中央内部暫存器「》«驗 出係為可控制分別經由開M SVh〇和终蠼Η及開翡SVvo和終 端V通往水平和垂直匯流排。此中央内部暫存器rR儲存動 能狀戆(說明於下)。 開Μ麵作 在核心内各暫存器單元内之所有可控制開闢,皆係經由 與控制單元6Ρ相連接之霣嫌予以控制,此單元6Ρ包括有W 控陣列*諸如PAL (可程式陣列邏輯)。此W控陣列利用 雠存於核心單元内之資訊,Μ決定何等開闞須予厮開及何 者須予閉合。《控陣列遲作係由時鐮予Κ同步。各開翮皆 為雙向,但是某些開闞衹用於犟一方向•例如,繪入和轤 出開 Μ 3(^1和 SVH(1。 比較器裝置COMP 比較器裝置COMP包括有第一反及WGi。其一鎗入連接至 反相器^之非反相轤入上,而另一蝓入連接至反相器之 輪入上。裝置COMP亦包括有第二反及WG2。其一驗入連接 LF -36- (請先閲讀背面之注意事項再填寫本頁) -裝- 訂- 本紙張尺度遑用中國國家標準(CNS)甲4規格(210x297公釐) i999;:6 Λ 6 Β6 經濟部中央標準局貝工消費合作社印製 五、發明説明$5 ) 至反相器III出上,而另一输入連接至反相器〇2输出上。 閛G^D G2之输出皆連接至一線匯流排ACC上,通往平面 BOOL中之一。兩反及閘皆可由兩串脚耩合之MOS FET場效 霉晶«設置之,其串聯耩合之場極/吸極經路係連接於地 與B00L平面之間•其W極係為反及閛轤入,其最上方 M0SFET霣晶體係為蝓出(參閲HI9D)。此比較器裝置 COMP係於相闞_之搜尋期間,亦即當核心單元内之元素須 與檷的齡存器内或核心單元另一部份内之元素相比較時使 用之。於是此須予比較之元素乃施加至含有須相比較之元 素之暫存器單元之鎗入上,容待進一步說明於下。 反相器及開闞 反相器CUDCU可由增強型(IB9A)之兩M0S-FET霣晶體 ,或一增強型和一虛乏型MOS-FET II晶鱷如圈9B所示予K 連接,或兩互補M0S-FET霣晶«(圈9C)提供之。暫存器 單元内各可控制開闞,可經由MOS-FET S晶體(圓9E>或 兩互補M0S-FET電晶體(增強型(EEM0S)),如圏9F所示予 K連接而提供之。控制單元6P係經由控制信號C Μ控制開 醑。如圓9 F中可看到,可經由控制信號及其互補信號兩者 Μ控制開闞,以達成更快速之狀態轉變。 圓8中之普通暫存器單元可視為核心單元内所有暫存器 單元之基礎*亦即是說,暫存器設計方式皆相類似。由一 般暫存器單元中所導出之暫存器單元衹是直接端數目及其 附随之输出和_入開闢方面有差異。此等導出之暫存器單 元•其參考編號係與圈8中所示單元相同。 LF -37- (請先閲讀背面之注意事項再填寫本頁) 裝- 本紙張尺度遑用中國家搮準(CNS)中4規格(210x297公*) ±939,: Λ 6 Β6 經濟部中央標準局员工消費合作杜印製 五、發明説明(36 ) NUM和HEAD平面内之基礎暫存器單元 基礎暫存器單元So.o,S0.:i, S2.3和S3.3等均未設置有 開WSWDb和接蟠Db,基礎暫存單元S〇.〇,Sm S2.3和 S3.3等皆未設有Da接纗,主暫存器單元(S〇·。至S3.〇)皆 未設有S接纗,而其餘暫存器單元(So.t至53.3)皆未設 有NU接蟠。基礎暫存器單元皆未設有C接端 亦未設有 S Wc開W -反之,使用垂直和水平睡流排及接端V和Η Μ 設定完或重置暫存器單元,而由流排媒Cv或CH攞供常數 值° NUM和HEAD平面内之輔肋暫存器單元 _10中所示之輔肋暫存器單元衹有接端Hy, V, C和ACC 等,其中之Y係為0至3間之數字,又其中之V接端係達 接至睡流排嫌ID,而其C接纗連接至匯流排嫌Cr上。 ΝϋΜ和HEAD平面内之豳別符/環境暫存器單元 圏11中所示之織別符暫存器單元衹有接纗V, C和ACC , 其中之V接蠼係連接至匯流排鐮ID,而C接纗連接至鼴流 排嫌Cld 。環境暫存器單元(未顬示)與園11中之識別符 暫存器單元相若,但在本實施例中,並無C接翊和SWc開 闞。在另一項實施例中,瑁境暫存器單元可包括C接端和 SWc開闞》 相醐連之尋找及布耳平面 在相《之尋找期間,比較工作係在霣線連接之存取上實 施,而匯流排通往平面B 0 0 L上。兩及閜G i和G 2比較主要量 值,亦即I輪入上須予相比較之儲存值,與Q2_入上之齡 LF -38 - (請先閲讀背面之注意事項再塡寫本頁) 本紙張尺度遑用中國家樣準(CNS)甲4規格(210x297公釐) i999 ;8 Λ 6 Β 6 經濟部中央標準局员工消費合作社印製 五、發明説明(37) 存值。在此一比較期間,此等主要值係經由内部匯流排 3|1或“傳送予(31。 開關S Wa於是必須予以闞斷,亦即斷關。如所產生之值 ,亦即主要量值輿所儲量值不相正配時,充霉之BOOL平面 將經由反及W G2予Μ放甯。如相匹配時,B00L平面 將仍保持充電> 暫存器内所有匾流排線,即每一暫存器單元一匯流排成 ACC ,可能相並聯轔合而與平面B00L内同一匯流排線相連 接。另一方式乃是|設於平面NUM和HEAD内所有暫存器單 元之匯流排ACC可與供此等平面使用之B00L平面内匯流排 線相連接,而設於ATTRIBUTE平面内之所有暫存器單元, 皆可與設於同一 B00L平面或M ATTR I BUTE平面為目欏之兩 B0 0L平面之獨立匯流排線相連接。如一或二B00L平面及一 或二匯流排線之設置係為可選揮之事•而旦端視儲存於控 制單元6P内之控制指令類別而定時,則設定兩B00L平面亦 係在本發明之範圃內。設置B00L平面之數目乃決定相鼷尋 找之成性,亦即可予寊施之不同相闞尋找之次數及其實施 之程度,亦即是說,何等暫存器部份可予包括有内。因此 *同時將與B00L平面内同-匯流排嫌相連接之暫存器部份 亦予比較 如所有之反及閛〇1和02之轤出皆相同時(高位 ),於是比較結果乃產生"正配w ,否則乃不產生"正配 〃 •此"正配〃之意義乃是兩資訊片段完全相同。因此, 平面B00L乃為匯流排線平面,可視之為假或"想像"平面 ,亦即是銳,匯流排線不必設置於平面内,但可予直接輿 LF -39- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度遑用中國國家標準(CNS)甲4規格(210x297公;¢) 經濟部中央標準局貝Η消費合作杜印製 i993:,C Λ 6 __B_6_ 五、發明説明(38) 控制單元6 P相連接, ATTRIBUTE平面姐構 除了平面HUM和HEAD外,此ATTRIBUTE (臈性)平面尚 有另一種組態,此另-種姐構見示於圈12中‘使用與圏7 中相同參考编號Μ欄示具有相同姐構之元素’此類型之平 表包括開闞SWvo, SWV1, SWva和SWva,一雄別符暫存器單 元IDT ,四輔肋暫存器單元F0T, FIt, F2T, F3T,及衹包 括主暫存器單元S〇.〇, Si. 〇, S2.。和S3.〇之基礎暫存器姐 合。因此,此基礎暫存器矩陣已予縮滅至抵有主暫存器單 兀列 So.o 至 Sa.o分別經由開 MSWvo,SWvi.ho,S.Wvz.ho. SWV3.ho等與相闞匯流排嫌VO, VI, V2, V3及與匯流排嫌 h〇相埋接,其方式與_7中所示之暫存器平面者相同。匯 流排線hi可經由開關swvl與匯流排線vi相連接,其中之i 係為0至3之間數字。但是,流排嫌VO, VI, V2, V3等 可繪至除具有與平面HUM和HEAD相同名稱之IS流排嫌以外 之其他界面9P_入上,並因此可耩合至標的雠存器4P之其 他部份,宜為部份lazy,where和ye (參閲鼸1 )。另夕卜 ’匯流排線VO, VI, V2和V3根本不須耩合至檷的儲存器 4P上,反之,匯流排線id可用Μ使狀態資訊傳送(參閲· 1),由棟的儲存器,即禰的雠存器内之lazy, where,和 type稱合至核心單元内之相對應平面内之匯流排嫌id上。 又主暫存器單元列S〇.<^S3.。,激別符暫存器單元IDT , 及轜助暫存器單元F0T至F3T皆遵接至匾流排res上,逭匯 流排包括K流排線C,d. Cr, Ch和Cv等•其方式與_7中 LF -40- (請先閱讀背面之注意事項再塡寫本頁) 裝- -*t . 本紙張尺度遑用中國國家標準(CNS)甲4規格(21〇χ297公慶) Λ 6 ϋ6 經濟部中央標準局貝工消費合作社印製 五、發明説明69 ) 所示之暫存器平面内者相同。 識別符暫存器單元IDt及四轤助暫存器單元F3T, F2T, F1 τ和F0T等,除與匯潦排線id相達接外,亦與第二匯流 排線〇〇nt.(麵1中未顯示)相連接,而導住控制姐合6P。 此控制姐合6P可利用可於此匯流排上傳送之資訊,Μ決定 應實豳何種縮減。 麵12中所示之暫存器平面類型之每一暫存器單元,除具 有其存取線和_流排嫌ACC外*亦具有繪出嫌SDi ·其中 之i為0至3之間數字,或符號ID, F0至F3,用以直接檢 視與該轤出蠊相連接之暫存器單元之狀態。 ATTRIBUTE平面内之雄別符暫存器單元 _ 13所示為位置於ATTRIBUTE平面上之嫌別符暫存器單 元IDT實施例。此單元設有四接端V, CONT, SD和ACC等 。接纗V和CDNT可與匯流排線Id和cont分別埋接。接端 C0NT係經由開闥SWCONT與内部暫存器rR_出相達接。接纗 SD係與内部暫存器rR輪出,亦即反相器Q2驗出相連接。接 端c與睡流排線cid相連接。 ATTRIBUTE平面上之輔肋暫存器單元 顧14所示為位置於ATTRIBUTE平面内之辅助暫存器單元 FyT實施例,其中之y係為0至3間之數字。當與識別符 單元IDT相比較時,此暫存器單元具有一額外接端,即接 端Η 。此接端Η可與匯流排線hy相埋接,其中之y為〇至 3間之數字。其餘接端之連接方式與雄別符暫存器單元 IDT相對應各接孅之速接相若。接端C係與S流排嫌Cf相 L F ~41' 本紙張尺度逍用中Η «家樣準(CNS)甲4規格(210x297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝< 訂- 線· 1909 ^ Λ 6 Β6 經濟部中央標準局員工消費合作杜印製 五、發明説明贫〇 ) 連接。 ATTRIBUTE平面内之主暫存器單元 _15所示為位置於ATTRIBUTE平面內之主暫存器犟元 SK.。實施例,其中之){係為0至3之間數字。此單元設有 之接端V, E, H. W, SD和ACC等。接端SD係連接至内部暫 存器出上,亦即反相器^之«出上。其餘接蝙之連接 方式與平面NUM和HEAD内各暫存器單元相對應接钃之連接 相若。主暫存器單元均未設有C接纗,亦未有SVc W闞一 反之係使用垂直和水平匯流排及接端V和Η Μ設定或重置 經由匯流排《 C或Ch設置恆定值之暫存器單元。 預備讎存横式 預備儲存横式環路係K單元内所設置瑁路之一或二構成 之。一環路係由WW SWb。,睡流排bR,開闞SVbl,反相器 Qi,開MSWQ及反相器〇2等姐成之。另一瑁路係由開闞 SW-。,匯流排aR,開鼷SW·:!,反相器Qi,開WSVQ及反相 器Q2等姐成之。當一或二環路之開鼷皆閉合時,信號乃能 經由兩反相器^和匕予以傳播•在反相器CU_入上及反相 器Q2_出上之信號位準皆圼穩定一此乃為資料如何雠存於 單元内之情形。此單元乃在齡存動態之狀態< 驗出横式 當在_出模式時,〇2之_出可予傅送至匯流排311或“之 一上,從匯流排上可予控制遘當之關Μ而使输出傳送至输 出端(N, S, E, W等)之一或數處之上。b<«或811中之另一 匯流排乃可用於任意横式中。如開鼷SWQ偽為鳜開時,亦 LF -42- (請先閱讀背面之注意事項再塡寫本頁) 本紙張尺度遑用中《國家樣準(CNS)甲4規格(210x297公釐) i993;,e Λ 6 B6 經濟部中央標準局員工消費合作社印製 五、發明説明(41 ) 即開路時,反相器〇2之_出係為穩定,亦即不致於變化* 直至開^swQ閉合時為止。反相器之输出乃可經由開闞 SWb。,當其閉合時,予Μ傳送至匯流排aR,經由開翮 SWCQ„t,當其閉合時,傳送至轤出iLQJLL上,而直接至内 部S D上。匯流排b R和a R上之資訊可予傅送至經由控制埋接 於暫存器單元與該外部匯流排之間之開Μ之方式與之相連 接之每一外部匯流排上,容待Κ實例說明於下。 输入横式 在输入横式期間,開闞51«[<11或SWbl中之一為接通*即閉 合。因此,接端(N, S, E, W等)之一之吠態乃傳送至局 部匯流排aB_bR上,旦由該處中央内部暫存器 傅_ 在兩階段遇期内,資料傅_可能由核心單元内之任何暫 存器單元經由接蝙連接至核心單元内之另一暫存器單元上 。在三階段遇期内,兩基礎暫存器單元可能依垂直,水平 或對角線方向交換。 開關SWQ係經由主時鐘同時使暫存器内所有單元直接定 時,Μ使反相器“與匕間傅鑰係同時在整僩核心單元内進 行。其餘開闞係受由主時鐮所導出之信號予Μ控制,但在 主時鐘遇期内依不相同而適切之吠態時隔提供之。主時鐘 係用Μ作為核心單元之所有各項埋作之基準信號。 時間遇期區分為時鐘階段〇_,卩/或。階段〇_係為第 一可延伸階段|亦即是說*當中央内部暫存器「《係在預備 儲存横式當其資料穩定時。階段1係於由匯潦排a R中輪 LF -43- (請先閱讀背面之注意事項再填寫本頁) 裝· '可* 線. 本紙張尺度逍用中國國家標準(CNS)甲4規格(210x297公货) ;ι999:;ΰ Λ 6 Η 6 經濟部中央標準局員工消費合作社印製 五、發明説明(42 ) 送時使用·而階段b係於由睡潦排1>«中轤送時使用。 單向傅蝓,亦即往或返暫存器單元*係於兩階段時鐮遇 期内發生。第一階段(L係為穩定。在兩階段時鐘«期内, 階段i或階段1L使用於输送方面。 雙向傅諭,亦即兩暫存器單元間為交換其相闞内涵之傳 _係於三階段時鐘遇期内實施之。階段iL工作穩定。在階 段3_和1期間依不同方向實施傅输。 應予注意的乃是,有三階段Μ上之時鐮邇期係臞於本發 明範圃,例如,有兩tL階段者。 開W!SWe^tISWbl通常皆為閉合。局部睡流排3«和1)1?於是 保持所儲存之暫存器單元狀態。當内部匯流排^或“用於 輸入須予儲存之新量值時,缠當之開翮sw^ggsWbi分別控 制為斷開。外部匯流排之-·,諸如垂直或水平匯流排之開 闞,在短促時段内閉合時間夠長而足Μ使在該匯流排上資 訊能予傳轤至内部歷流排上。 亦可能利用移位網路,即在包括有與接端相連接之開Μ 在内之不同暫存器單元間之網路,以傳_暫存器單元内涵 ,北Ν或南S或西W或東Ε等。 單向傳输作槊實例 16Α所示如兩相鄰之基礎暫存器犟元,資料係由左方 ,發送器,傳蝓至右方,接收器上。來自控制姐合6Ρ之控 制信號用以控制開闞。園16Β所示為在各不同階段期間, 每一開闢受傅输影響之狀態,較低量值表示開翮開路,而 較高量值乃表示開闢閉合。實際傳轤係於階段b進行。傅 LF -44- (請先閱讀背面之注意事項再塡寫本頁) 本紙張尺度通用中國國家標準(CNS)甲4規格(210x297公龙) 經濟部中央標準局貝工消費合作社印製 ;l993- - a 6 _B 6 五、發明説明 (43) _實施方式如下(T述諸不同步驟係依與_16A和16B相 同之數字檷示): 0. 罨路穩定,在發送櫬和接收櫬中之開MSWci, SW-o, SWel, SWb。,SWbl均閉合,其餘開闞皆為斷開 <此一 步驟在圓16A中未予搮示,因其與全部開闞相闞連) ,此一潘定横式與_16B中之階段0相對應· 1 . 在時鐘時段之第 階段(階段b)期間,發送櫬和接收 機之開闞SWa為斷開| 2. 在發送機和接收機中之開IISW»。為斷開,而開闞 S W b。為閉合^ 3. 在發送櫬和接收櫬之間之開MSWB為閉合, 4. 在發送拥和接收櫬中之開關SWbl為斷開,及 5. 在發送機中之開闞SWel為斷開,而在接收機中之開關 S¥el為閉合。如此乃使資料由發送機内部暫存器傳输 至接收櫬内部暫存器上。 6. 在時鏞時段之第二階段(階段0),發送機和接收櫬中 之開鬮SWQ皆為閉合, 7. 在發送櫬與接收櫬之間之開闞SWE為斷開, 8 . 在接收機和發送櫬上之開闞SWb。和SW·。最先皆為閉合 ,其後開闞皆閉合。如此乃使之回復至上 述步驟0所述之穩定棋式,亦即階段〇。 雙向傅_埋作之實例 圖17A所示為兩相鄰基礎暫存器單元•在兩不同基礎暫 存器單元内之資料將依雙向傳蝓作業方式相交換。來自控 LF -45- (請先閱讀背面之注意事項再填寫本頁) 裝· 線. 本紙張尺度逍用中國國家標準(CNS)甲4規格(210x297公¢) χ999;,ε Λ 6 Β6 經濟部中央標準局員工消費合作社印製 五、發明説明(44) 制姐合6ρ之控制信號用以控制開W。鼷17Β所示每一開Μ 狀態係受到不同階段期間之傅_所影響,較低量值表示開 闢為斷開,而較高量值表示開W為閉合。兩暫存器單元係 用Μ作為發送器和接收器;因此在下中將稱之為"單元1 "和、'單元2 "。由單π 2至單元1之一種傅_係於階段 a發生,而另-方向之傳蝓,即由單元1至單元2 ,係於 階段b發生。此等不同步嫌在下文中係Μ與圓17A和B中 相同數字予Μ櫟示。此項傳轤係依下述方式進行: 0 . 此電路係穗定,阐單元内之SWQ, SWe〇 , SWel , SWb。,SWbl等皆為閉合,其他開闞皆為斷開(此一步 驟於圆1 7 A中未予檷示,因其係與所有開關相闞)。 此一穩定横式與鼸17B内之階段0相對應。 1 . 在時鐘時段之第一階段(階段a)期間,單元1和2中 之開闢SWa皆為開路, 2. 在單元1和2内之開闞SW-o係為閉路*而在單元1和 2之開M SWb〇皆為開路, 3. 各單元間之開闞SWE係為閉路, 4. 在單元1和2中之開«SWei係為開路,及 5. 單元1内之開期^卩^係為閉路,而在單元2内之開關 5!«^1係為開路。如此乃使資料由單元2傳播至單元1 内。 在時鐘時段第二階段期間(階段b)*開WSWa仍為開路 $ 6. 在單元1和2之開翮為開路,而單和2之 LF -46- (請先閲讀背面之注意事項再塡寫本頁) 裝· 訂_ -線- 本紙張尺度逍用中國國家標準(CNS)甲4規格(210乂297公|) 經濟部中央標準局員工消費合作社印製 A6 _B6 五、發明説明(4$ 開M SWb〇係為閉路, 7. 在單元1和2之開闞SWbl,為開路,及 8. 在單元1之關闞SWel為開路,而單元2之開翮“^為 閉路,如此乃使資料由單元1傳播至單元2。 9. 在時鐘時段第三階段(階段0)期間,單元]和2兩者 之開闞SWa皆為閉路, ίο.各單元期之開闞syE係為開路,及 11.開醑SWb〇和SWe〇最初係閉合,其後此兩單元内之開關 51^1>1和51^1亦閉合。如此乃使之回復至上文所述步嫌 0之穩定横式,即階段〇 。 開闞SWe。和SWb〇之控制信號 在階段0期間,信號係由於不履行而接通,亦即各閘控 皆為閉合。所有局部匯流排於是皆保持所儲存狀態。用以 _入之睡流排係經由將開闢SWQ和開闞SWX0之控制信號設 定於斷亦即斷開之方式而予Μ控制·其中之X為a或b 。 在输入邇作期間,可能有一短時間有若干匯流排皆經由某 些接端(E, V, D, Η等)使之短路。« —陣子後,膛流排 乃獲致正確量值。 由開闞SWQ之控制信號下降部份至開闞SW-。(){為a或 b>控制信號之下降部份有一時間延遲。如時間係為短暫時 ,不致發生什麽問鼷。但是,如此一時間到達奄秒範園時 |睡流排XR (X為a或b)可能喪失其動態狀態。 由開關SWXO控制信號上升部份至開闞SWxl(x為a或b>之 控制信號上升部份有一延埋時間。如其變為負向時,可能 L F - 4 7 - (請先閱讀背面之注意事項再塡寫本頁) 本紙張尺度適用中國國家標準(CNS)甲4規格(2】0 X 297公釐)
經濟部中央標準局員工消費合作社印製 Λ 6 _Β_6_ 五、發明説明(46) 有一錯誤值由反相器Q2傅播至局部匯流排至反相器Qi。 因此係使用正延續時間。 開闞SWE, SWD,SWH等之控制信號 各開闞通常係為斷開,亦即開路。於是所有局部歴流排 皆相隔_。鎗入或_出用之腯流排係經由將與之相連接之 接端開Μ控制信號設定為接通,亦即使開闞閉路之方式予 Μ控制。在此項理作期間,若干_流排可能經由某些開Μ (SWE, SWv, SWD, SWh等予Μ短路一段短時間。一忽兒後 ,匯流排乃獲致正確量值。 由開W SWQ控制信號之下降部份至開M SWZ (Ζ為H, D, N, V, E等,亦即與内部匯流排3«和1>11相連之任一接纗皆 設有開闞)控制信號上升邰份間有一延遲時間。如此時間 為負時,局部腫流排XR(X為a或b)值可能改變。於是暫存 器值乃可能設定。因此,此一延«時間應為正。 由開鼷SWz(Z為H, D, N, V, E等,亦即與内部匯流排 〜和^相連接之任·接端皆設置有闞闞)控制信號之上升 部份,至開闞SWX1控制信號之下降部份之間有·延«時間 。如此時間為負時,此值無法傅播至轤入上(因此使用正 延遲時間。 由開闞SWX1控制信號之上升部份,至開WSWZ控制信號 之下降部份間有一延遲時間。如此時間變為負時*局部匯 流排可能改變,而暫存器可能被設定為錯誤值。因此係使 用正延遲時間。 開之控制信號 LF -48 - (請先閲讀背面之注意事項再填寫本頁) 裝- 線. 本紙張尺度逍用中國國家標準(CNS)甲4規格(2】0χ297公釐) ±9Q0a Λ 6 B6 經濟部中央標準局員工消費合作社印製 五、發明説明(47少 在階段0期間,由於不履行其信號係為接通。但是,由 開闞SWQ控制信號之上升部份至開醐$¥»1和5«*>1控制信號 之上升部份間必稍有延邏。如此一延邏變為負向時,反相 器Q2输入上之值可能無法傅播至匯流排XR (X為a或b)。因 此係使用正向延壩。 核心單元計算技術 、··_ΐ|ι . _ι ·ι 丨丨_“_丨丨 ι·_ __*****.’丨·^**^^**^****1*^*^ 檷準之表指令係於一機器埋期内實施之。 如上文所述*核心單元實施结構邐算。各步驟皆侏由核 心暫存器利用其所含臟於表内抱令予以實施。指令*例如 下: 長度 計算目檷長度 Η 函數亦施加於表元素上。如此表包含有插入表時 ,指令亦胞加於此等插入表元素上(指令圏容待 進一步說明於下)。 濾波器 函數和濾波器皆施加於表元素上。濾波器亦施加 於插入表上,如有的話。 结合 各元素皆予重寫錄為插入表元素。指令亦施加於 插入表上,如有的話。 調換 小矩陣予Κ諝換。如其含有表元素時,各元素皆 予交換。插入表予Μ處理。(指令調換容待進一 步說明於下), 核心單元皤存器 核心單元用Μ雔存: ♦ 目檷,須於若干暫存器内予Κ嫌滅,宜於基礎暫存器 LF -49- 本紙張尺度逍用中β β家楳準(CNS)甲4規格(210x297公釐) (請先閲讀背面之注意事項再填寫本頁} 裝· 訂_ 經濟部中央標準局員工消費合作社印製 Λ 6 Β 6 五、發明説明18 ) 内 来 在某些狀況下•例如當縮減三暦次结構時•目檷之根 ,宜於輔助暫存器内,而其餘结構係在基礎暫存器矩 陣内。 核心單元之臨時儲存器有四種狀況,亦即儲存0,1,2或 3層次目檷。 簡單樹,亦即軍一值(0曆次欏的)係儲存於第一主暫 存器内。 包含有僅一層次之樹係齡存於主暫存器内ι 包含有兩曆次之樹可能具有根表,即父表,係依水平方 式儲存於主暫存器内,而為子表之表,係依垂直方式儲存 於基礎暫存器内。另一方式是*根表可能雔存於輔助暫存 器内,而子表之一在主暫存器内。須予注意的乃是,控制 姐合6Ρ可選揮此等替代方式之一或另一,端視其實際埋作 情形而定。 包括三層次之樹•其根表髄存於_助暫存器之一内·而 其兩層次子表之-齡存於基礎暫存器矩陣内< 因此,目檷樹之根表係宜餘存於核心單元内暫存器中不 同部位,端視樹结構之層次及所實施運作情形而定。 目檷樹之根係為可縮滅類型之接合,諸如Unify 。在功 能應用(apply..)上,第一元素係為指令或直指檷示接合 結構之識別符,用K作為功能界定,而其餘元素則為指令 /功能界定之引數。 核心單元雠存控制 LF -50- 本紙張尺度逍用中《 *家樣準(CNS)甲4規格(210x297公釐) (請先閲讀背面之注意事項再塡寫本頁) -裝· A99^ ·' Λ 6 B6 經濟部中央標準局員工消費合作社印製 五、發明説明( 餘存於核心暫存器内之資訊係由目檷儲存器4P内之資訊 中導出。核心暫存器内資訊係依下述方式齡存: ATTRIBUTE平面内之緘存控制 ATTRIBUTE平面内各核心暫存器係與目檷儲存器匯潦排 OBJ相連接。儲存狀態包括有織別符暫存器IDT ,輔助暫 存器F0T至F3T和基_暫存器S〇.〇SS3.。等之儲存狀態。 ATTRIBUTE平面内各核心暫存器單元之控制宇元,包括 有開闥SWV1, SW^.w,(其中i為〇至3間數字)* SWld.b。》SWch.b。,SWvl.bo. SWv2.bO 和 S W v 3 . b Ο 1 雄 別符暫存器IDT ,輔肋暫存器F0T至Ρ3τ ,及主暫存器 S 〇.〇至S 3 . 〇等之較小控制字元。 各控制字元係經由與控制姐合6Ρ相連接之若干控制線傅 输。控制繚可為二相控制對嫌或單相控制單線,皤視所使 用開Μ為何一類型而定(#閲_9£和9F)。 主暫存器S〇. 〇至S3.。内毎-犟元之控制字元係經一公共 部份及每-基礎暫存器之緬別部份所傳輪。公共部份用 控制核心單元之開闞SWe〇, SWb〇和SWQ (參閲_15)。但 是,須予注意的乃是,本說明中所述祗能視為一實例•且 可構想出若干其他實例· 平面HEAD和NUM内之儲存控制 平面HEAD和ΝϋΜ内各核心暫存器係與目檷儲存器匯流排 OBJ,存取匯流排ACC,res匯流排及數字ALU臚流排HU等相 埋接。其儲存狀態包括有兩單一暫存器ID和ENV ,_助暫 LF -51- (請先閱讀背面之注意事項再填寫本頁) 裝· •-°_ 本紙張尺度逡用中國國家標準(CNS)甲4規格(210x297公*) 1959::¾ A 6»6 經濟部屮央標準局员工消費合作社印製 五、發明説明(50) 存器F〇至F3,及基礎暫存器5〇.〇至53.〇等之儲存狀態。 核心暫存器之控制字元包括閭闞SWvl, SWV1.CV (其中 ί 為 0 至 3 間數字 > ,StfId.b。,SWch.t>。,SWenv.b。, SWvi.bc>,SWv2.b。》及 SWv3.i>。. SWvi。,SWvi,SWvz和 SWV3,單一暫存器ID和ENV ,輔肋暫存器Fo至p3,及基礎 暫存器S〇.〇至S3.3等之控制字元。 此等控制字元係經由與控制姐合6P相連接之若干控制線 而傳输。此等控制線可為雙相控制對嫌或單相控制單線· 端視所用開翮為何類型而定(參閲鼷9E和9F)。 每一基礎單元之控制字元包括一公共部份及每一基礎暫 存器之儸別部份。公共部份控制核心單心之開闞SW-〇 , SWb〇及SWQ(參閱鼸8>。但是,須視注意的乃是本說明中所 述者祗能視為實例,而可予構想出若干其他實腌例。 核心暫存器埋作實例 _18至24係由圓7所導出。·7中各參考媚號亦皆逋用 於疆18至24。但是,大部份參考钃號皆予省略俾易於參閲 。又鼷18至24之說明中•暫存器單元之檷示乃用以表示伸 展於整届平面2Ρ之整涸暫存器。 1 .目檷餘存器4Ρ之存取 MPX — MV指令: 目檷儲存器4Ρ之讀取及主暫存器之設定皆係烴由目檷齡 存器之遲作MPX„MV而實施。所存取目櫟係經由匯流排V。, Vn V2, V3 而蝓送至主暫存器 S〇.0, Slo, S2.〇, S3.〇, 經由匯流排id至暫存器ID,M及經由腫流排env至暫存器 LF -52- 本紙張尺度逍用中Η國家標準(CNS)甲4規格(210x25»公釐) (請先閲讀背面之注意事項再填寫本頁) 裝· 訂- λ j0 Λ 6 Β6 經濟部中央標準局員工消費合作社印製 五、發明説明(51) ENV ,如圈18中所示,論送至有筋頭所指向之暫存器單元 上。同時,主暫存器内之原有内涵後儲存於目檷暫存器内 成為接合。因此,此MPX_ MX指令使現有之核心單元接合儲 存於目檷儲存器内,而使須予執行之次一目檷儲存接合_ 人至核心單元内。 拿取指令: 圃19和20所示狀況為當有識別符儲存於主暫存器之一内 時,識別符乃輿其表示之資訊相交換。識別符係與其所表 示之資訊相交換。例如儲存於S2.〇之識別符》#閲圈19’ 係供應至目檷儲存器4P上,此目檷儲存器找出識別符及其 所摞示内涵,使其內涵置於匯流排線V〇至V3’且最後轤入 至基礎暫存器垂直行内,例如* S2.〇至S2.3'參閲圖20。 此項理作係以使基礎暫存器S2.〇之識別符經由匯流排 ho和開Med id, ho,傳送至垂直匯流排id (圔19)。所儲 存值可由任一其他暫存器,依相類似方式予Μ傅送之。 此項埋作係經由使匯流排VO, VI, V2, V3上之目檷儲存 器4Ρ所供應之值艙人至通當暫存器内之方式繼續進行,此 等暫存器在此實例中為暫存器S2.〇, Sm S2.2, S2.3等 ,其值係經由開WSWvo, SWV1, SWV2, SWV3ft匯流排h〇, hi, ha, h3等予K傅输。 目檷儲存器作業實施和統一 i d,可於核心單元之內涵須 予儲存於目欏儲存器内時使用之。 實施指令: LF -53- (請先閲讀背面之注意事項再填寫本頁) 裝· 訂- 線· 本紙張尺度遑用中國*家標準(CNS)甲4規格(210x297公*) 1 經濟部中央標準局貝工消費合作社印製 Λ 6 η 6 五、發明説明) 作業實施之第一步驟中,使該等暫存器中之内涵予以傅 _[,一如圖20所示,但依相反方向。此項作業並傳输環境 暫存器内涵。在目檷儲存器内實施相關埋之找尋,俾找出 具有輿由核心單元相提供之資訊相同之儲存資訊之相檷。 如找到目檷時,表示此目檷之識別符乃予退回’否則’如 未找到目檷,將未使用之識別符退回。在此阐棰狀況下, 乃利用匯流排id,使豳別符由目檷儲存器傅送至核心單元 之識別符暫存器内。另一方式乃是,議別符可予傳轤至受 影響之暫存器行内之主暫存器内。因此,核心單元内涵與 議別符間乃產生闢連。 統一(u n i f y ) - i d 指令 统一(unify)-id作業見示於圓21中,使來U暫存器之一 之識別符予Μ分配,例如,使S2.〇經由使該暫存器單元與 水平匯流排ho相連接,及使所有垂直匯流排經由開闞 SWId.h。,SlJLv.h。,S¥vo, SWvl.h。等輿水平匯流排 ho 相 連接之方式,分配予所有垂直匯流排id. env, VO, VI, V2, V3等。此項埋作可用於實施相闞連之找尋和取代之時 ,此項遲作可包括,例如,找出識別符之產生,並Μ新而 簡化之簡單值Μ取代所產生而已找到之此一識別符。 與unify id相類似之作業可於第一步驟中使用make指令 Μ獲得核心單元内涵之獨特識別符,而第二步驟中將核心 單元内涵置於與目棟儲存器相埋接之匯流排線上,俾使目 檷儲存此_別符及其所檷示之内涵。 unify作業實例見示於附錄1内·其中顯示有核心單元 LF -54- (請先閲讀背面之注意事項再塡寫本頁) 裝· ,\η°. 線. 本紙張尺度遑用中國属家標準(CNS) ΊΜ規格(210x297公釐) 經濟部中央標準局員工消費合作社印製 :l99_ A 6 _____B_6_ 五、發明説明(53) 内涵,及階段a,b和o時各開關之狀態。 2 .數字嫌減 在數字8Ϊ滅時,鐮滅檷的,亦即目禰*係置於主暫存器 内。通常整僩目欏皆參與縮滅。檷準的是,主暫存器 s〇.。保存指令碣,此碼為各不同指令有不同之雙函型。暫 存器Si.。和s2.〇係用於二素作業,亦即有兩*算子之埋作 ,而暫存器Si 〇係用於單素遵作•亦即祗有一 «算子邇作 。通常後暫存器係依表形式使用之。因此其内涵經鏞減後 係推送至左方。於是主要數字麵算係於目檷暫存gh.o輿 s2. 〇間遂行之。數字ALU之主加法器與比兩暫存器相連接 。其他暫存器可用於》al (乘),div(除)及nod(修改)等 指令中作為輔助之用。 下述各項指令類型皆可予使用: 單素指令 暫存器儲存指令,暫存器51.〇餘存《算子。暫存器 S2.。和S3.。暫不使用。數字ALU之結果送回至所有各主暫 存器。在非管道狀況下•係儲存於暫存器Si. 〇内。在管道 狀況下,則予中間儲存於輔助暫存器内或基礎暫存器内。 雙素抱令 暫存器S〇·。儲存指令 > 而暫存器51.〇和S2·。皤存雇算子 。暫存器$3.〇暫未使用。其結果送回至所有主暫存器。在 非管道狀況下,係儲存於暫存器Si.。内。在管道狀況下, 係中間儲存於輔助暫存器或基礎暫存器内。 L F - 5 5 - (請先閲讀背面之注意事項再塡寫本頁) 裝- 訂_ 本紙張尺度逍用中國困家標準(CNS)甲4規格(210x297公釐) -;0 經濟部中央標準局員工消費合作社印製 A 6 ____B6 五、發明説明(541 乘,除,修改指令 暫存器So.o櫧存抱令,而暫存器Sio, S2.。儲存趣算子 。暫存ss3.〇可用以臨時讎存中間结果。最終結果讎存於 暫存器S i 內。 统一縮減 铳一縮滅利用數字ALU ,使暫存器So .〇中之内涵與暫存器 S^o中之内涵相比較。其他主暫存器亦可於貢施统一使用 之。緘存於各暫存器HEAD平面內之檷示字元係與比較结果 相配合使用,Μ評估次一行動。 指令nul, div和B〇d係完全於數字應算姐合内遂行其内 部ii路。計算中間结果可依動態方式槠存於數字邇算姐合 與核心單元内各主暫存器間之各線上,亦即在NU匯流排上 Ο 3.结構縮減 在结構縮滅時,須待縮減之檷的,即目檷,係置於主暫 存器内。通常基礎暫存器之一部份或全部參與此項嫌減。 標準的是,主暫存器S。.。儲存抱令碼.此«對於不同指令 有不相同之雙匾型。 _指令設有函數f和表(ei.....,en)作為引數,且使函 數施加於表之每-元素上。指令使每一函數應用结果之表 送回,其中fei表示於使用f於61上之结果。 繪圖指令 格式:(鬮f表)。 指令顯鎗入至補助暫存器F0内。須使用之功能轤入至輔助 LK -56- (請先閲讀背面之注意事項再填寫本頁) 裝. 訂· 線 本紙張尺度逍用中國國家標準(CNS)甲4規格(210x297公#) 經濟部中央標準局員工消費合作社印製 Λ 6 ____B6__ 五、發明説明(55) 暫存器F1内。表蝓入至主暫存器s0.〇至S3.〇 <,如圈22a内 所示,儲存於主暫存器内之元素往上傳送兩步鼸於基礎暫 存器矩陣内•亦即暫存器Sx.o之内涵傳送至暫存器Sx.2, 其中之X為0至3間數字。如圓22b内所示,輔肋暫存器 F0和F1之内涵於是依水平方式廣播至基礎暫存器内,亦即 使F0内涵抄錄予S〇.〇Ms3.〇,Fi之内涵抄錄予S0.:i至 Ss.i。如元素係為簡單值(非表)時,位於,例如, Si.2之暫存器單元之内涵,及其下方暫存器單元,例如, ,之内涵皆往下移位一步驟。須使用之功能此畤係在主 暫存器單元,例如,S1>0内,而須使用功能於其上之元素 此時係位於此主暫存器上方之暫存器單元内,例如,在 Si.T内。如元素為表時,即不須在該暫存器行上移位。在 驪22c中,所圼現的是^,^和es代表籣單值.而e4代表 插入表。基礎暫存器矩陣内每一行於是儲存成為檷的儲存 器内之接合。其後,使每一此等雠存接合鎗人至核心單元 予以繼續處理。如所齡存接合包含簡單值時,乃使之依正 常方式输入至核心單元内,亦即使f脯存於S〇.〇内•而 ei雠存於S^o内,如_22d所示。另一方面,如所儲存接 合包含有插入表時,即依上文所述予以_人*如圈22a所 示,ei係為由e4所代表之表上之第一元素* ^為e4内第二 元素等。如此乃使此_指令循環埋作於插入表上。 因此,具有兩曆次结構之指令函(_,f, (ei..... e„))重予寫錄為((f, et), e„)),於執行後重予 寫錄為一曆次结構,即(fe^.—fe»),其中fe,乃表示當 LF -57- (請先閱讀背面之注意事項再填寫本頁) 裝- 訂- 線· 本紙張尺度边用中國*家標準(CNS>甲4規格(210X297公*)
經濟部屮央標準局員工消費合作社印製 A 6 13 6_ 五、發明説明(56) 在61上施加f時之结果。此指示具有三醑次(或更多) 之结構,即(圖· f,同等 (βΐ,..·(e>c,*..,em),t,i)Gn)),其中 ’ 係為 一插入表,予Μ重寫為同等((f. ed.....(圖,f, (ck. . . . .e»)).....(f.e)),成為中間步朦,而且其後’ 同((f, e !),·..,( (f , ek).....(f, em)) , . . . ( f. en),於 執行後,重寫為兩層次結構,同 (f e ......( f ek.....f em ).....(fe„>),埴於執行後 * 重寫 為兩層次結構,同(fen. .., (fek----fen).....fe„),其 中,fex表示當於e^i_加f時之結果,而其中 乃為插入表。因此*功能f乃循瓖施加於 引數表内之所有元素上| 說明核心單元如何重組構並執g.胤通-念之真屏分番捆不 _________________ ________.................' 。為使說明趨於簡短,使用簡縮語如下:以暫代表暫存器 •識代表識別符,儲存器代表目檷儲存器。實例指令為: (hi a p f ( - :! - 2 ( - 7 - 8 > )),其中之 f 界定為 f ( X ) = a b s U ) + 1 。 機器符號,使用櫬器識別符I可為: i d 1 : ( m a p f ί d 2 ) Id2: (-1-2 id3) i d 3 : ( - 7 - 8 ) 其中,識idl表示含有(map f id2) -结構等> 在下文中,i為0至3間數字。霣施下述諸步驟: 步驟1 :使_儲存於暫F0内,f於暫存F1內之及識id2於 暫S。.。内 LF -58- (請先閲讀背面之注意事項再填寫本頁) 裝· 線- 本紙張尺度遑用中國臟家標準(CNS)甲4規格(210x297公着)
經濟部中央標準局員工消費合作社印製 Λ 6 _B6 五、發明説明(57) 步驟2 :使譏id2予Κ擴展,即暫s〇. 〇含有-1,暫Si .。含 有-2,及暫S2.。含有譏id3。 步驟3:使暫Si〇内涵傳输至暫Si2。檷示未使用之暫存 器不受影響 步睇4:使map和f依水平方式廣播*亦即暫Sii含有f •而暫Si〇含有map 。檷示未使用之暫存器不受 影響 步驟5:在其暫S,.2中具有簡單值之各行往下擠縮一步嫌 ,即暫S〇. i含有-1,而暫S〇.。含有f ,而暫 S,.,含有-2,而暫S i . 〇含有f ,第Η行不動 步驟6:基礎暫存器矩陣內每-行皆(再)儲存於儲存器 内成為: idl:(id6, id7, id8) i d 6 : ( f - 1) i d 7 : ( f - 2 ) id8:(map f id3) 步驟7:使檷示識id6之接合输人主暫存器內,f於暫 S〇. 〇内,而-1於暫Si . 〇 步驟8 :使函數•即fU)=abs(x>+l施加於引數上,其結 果2儲存於暫S〇.。 步驟9:於儲存器内實施識id6之相闞搜尋,而識id6所 產切一切皆M2代替: idl:(2 id7 id8) id7:(f -2) LF -59- (請先閱讀背面之注意事項再填寫本頁) -裝- 訂_ 線_ 本紙張尺度遑用中國國家標準(CNS)甲4規格(210x297公;»:) ;;0 A 6n 6 經濟部中央標準局員工消費合作社印製 五、發明説明(^8) i d 8 : ( a a p f i d 3 ) 步驟]0:使識id7完成步驟7至9 ,結果為3 。此儲存器 i dl: ¢2 3 i d8 ) id 8: (map f id3) 步驟11:使識id8完成步驟1至6 ,結果兩基礎矩陣行皆 儲存於儲存器内: i d 1 : ( 2 3 i d 8 ) i d 8 ·· ( i d 9 i d 1 0 ) i d 9 ·· ( f - 7 ) idlO : (f -8) 步驟12:使_id9和idlO完成步驟7至9 ,其結果分別為 8和9 。此儲存器: i d 1 : ( 2 3 i d 8 ) id8: ¢8 9) 乃纊為:(2 3 (8 9)) —此函數f已施加於引數表内之 所有元素上。 須予注意的乃是•所述諸步驟可由核心單元依不同而更 有效方式討論實施 例如,使中間結果與黻存於目棟儲存 器内,方便時可在核心單元内實施進一步之縮滅/執行。 調換 格式:(調換表) 使調換指令输入至輔助暫存器之内,例如,F0,並使 LF -60- (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度逍用中國國家楳準(CNS)甲4規格(210x297公龙)
Λ 6 Β6_ 五、發明説明(59) 表引數,例如,清單表,»入至基礎暫存器矩陣内’ 參閲圖23。使基礎暫存器矩陣之内涵調換因此*使 具有三層次結構之指令調換 (調換| 予Μ執行,Μ使其结果重寫為兩層結構者 (請先閲讀背面之注意事項再填寫本頁) 裝· 經濟部中央標準局貝工消費合作社印製 一項說明性實例: 表結構 ((1 2 3 4 ), (5 6 7 8), (9101112), (13 14 15 16)>,其中之第一表,即(1 2 3 4 )係儲 存於基礎暫存器之第一行内,亦即基礎暫存器So. 〇至 S〇.3,第二表,亦即(5 6 7 8)係儲存於基礎暫存器 第二行内,亦即基礎暫存器Si.至Si.3等, 調換為 (1 5 9 13), (2 6 10 14), (3 7 11 15), (4 8 12 1 6),其第一表即(1 5 9 13)係儲存於基礎暫存器第一 行内,即基礎暫存器S〇.。至S〇.3等。 L F - 6 1 - ,\5 . 線. 本紙張尺度逍用中國國家標準(CNS)曱4規格(210x297公釐)
Λ 6 Η 6 五、發明説明 (60> 父換 格式:(交換m表) 執行交換指令K使指令交換具有三層次结構 (交換》((ei.i,..·>,
其中具有元素eij之清單表’ i和j係為基.礎暫存器 矩陣中元素位置欏示,使之重寫為兩曆次結構 (e (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 以使元素____)與元素(epm...)變化部位。 跳越 格式:(跳越β表) 執行跳越指令Κ使指令跳越具有三層次結構 (跳越 ( ( e 1 · 1,...), LF -62- 本纸張尺度边用中國家標準(CNS)甲4規格(210x297公釐) 五、發明説明(6Π (e , A 6 B6 經濟部中央標準局員工消費合作社印製 其中 暫存 ((ei .· ♦ > )而 4.表摘取 使含有 插入表時 擴伸表 對角線方 除外,後 基礎暫存 存器單元 本說明 明之較佳 精確設計 核心 画25所 於相同核 之核心元 2 5中所示 1,...))) 清單表中具有元素e,.J, i和』係為元素在基礎 器矩陣中位置檷示,使此表纖寫為兩曆次结構.1 二…),·..(e m - 1 · ----) * ( e ,)( e „ . i , 使元素(e . _____)副除。 表之目 ,乃使 作業可 式往下 者係傅 器内( "充實 所述之 實施例 ,其中 單元之 示為核 心單元 件元素 實施例 檁t於主暫 此等表依垂 於一通期内 推移至右方 输至垂直匯 參閲疆24) #資料。 核心單元和 *須予朦解 可作各項變 另--·實施例 心單元之另 元素之#考 則Μ ”標示 間之主要差 存器内。如表内所含元素係為 直方式:餘存於輔肋暫存器内。 SSfe之。使基礎暫存器内涵依 --步驟’但主暫存器内之内涵 流排上’並插入於該行最上方 。可利用重禊孃伸表,使主暫 暫存器單元等之設計係為本發 者乃是•本發明並不限於此等 化而不致逸鑲本發明之範圃。 一項實施例。使用與困7中用 編號。輿臞7中有不相同連接 。鼸7中較佳霣施例,輿函 異乃是,其基礎暫存器單元 (請先閱讀背面之注意事項再填寫本頁) 裝< 訂_ 線<
LF -63- 本紙張尺度適用中國躏家樣準(CNS)甲4規格(210X297公釐)
經濟部中央標準局員工消費合作社印製 A 6 _ B 6_ 五、發明説明(62 ) S,’0.0, S'.o, S”2.〇,和S”3.。皆可與未設開Μ之線 res”相連接。又匯流排嫌cid和Cf皆予省略。而且’基礎 暫存器單元S〇.^之* (西)接纗未與基礎暫存器單元 53.,(其中y為0至3間數字)之E (東)接斓相逋接’ 反之*此等接端設有f (繕誤)信號。核心單元内之連接 徑路可使之對某些指令略有不同,但埴並非功能上差異’ 而祗是須予控制之核心暫存器單元内之内部開闞之差異。 暫存器單元間之連接亦顯示略有差異,但埴亦梅是須予控 制之毎一暫存器單元内部之内部開闞之事。 圖26所示為核心暫存器單元第二項實施例,以作為搴實 上在單元内須予控制之開闞可依不同方式予以置放’而此 單元仍然具有相同功能之實例。使用輿圏8中相同之參考 編號K檷示相同單元元素°與鼷8中缠接不相同之元素已 Μ 欏示之。圓8與26所示實施例間之主要差異’係為鼸 26中接端C和開醑SWc皆予省略’而接蟠V和Η各祗有一 開騙,又使用有開醑之接蟠L’ L’和D Μ取代有Μ翮之接 端D a和D b。 本發明雖你參照若干特定實施例予以說明’凡鑭於本樂 技術人士皆瞭解可作各項變化,而某些相當元素可用以取 代其元素,而不致逸_本發明之真正旨趣及範麵。此外’ 亦可作各項修改而不逸離本發明之基本教導° (請先閲讀背面之注意事項再填寫本頁) 裝· 訂_ 本紙張尺度边用中Η «家樣準(CNS)甲4規格(210X297公;»)

Claims (1)

  1. AT
    蚁潦部中央採準局印裝 i·—檯结構蓮算«理之方法,包括: - (a)使資料字元魅存於若干暫存器内,每一資料字元具 有一苻號部份和資訊部份, tb,此等資料字元係配置成表,使每一表雔存於預定數 目之暫存器内,其特擞在於: (c,提供每—資枓字予以一苻號部份了該苻號部份包括 有表示該暫存器係在使用與否之欏示.雔存於禰示 在使用中之各暫存器各表上每—字元之符號部份,— 顆示出各表中其一具有至少~部份雜存於霄際暫存 器内,Μ及顢示具有一部份髄存於賞際暫存器内之 表包括有表指令,以及此表鼷於何一類別, (d)使儲存於各暫存器内之各表配置成為表樹,其各表 中之一為根表,而各表之闞係所在,可由各表在 各暫存器中之配置情形顯示出來. ,e)利用儲存各暫存器内各表所儲存之表指令,控制各 暫存器,Μ使各表在各暫存器中重予配置,並依據 各表指令输入/输出暫存器内容, 該表指令係相對應於高階程式語言構造之结構埋算 符。 2 根逋申辆專利範圍第2項之方法,包括使儲存於1各U存 器内各表樹之雄別苻儲存於一取獼之激別符晳存器内 3. 根據申讁專利盹阐第2或3項之方法,包括使所(¾存表 樹之環境檷示儲存於軍明之瑁堍暫存器内。 4. 根據申請専利範®第1項之方法,包括使表樹之根表置 T 4(210X 297 (請先閑讀背面之注t事項再琪窝本頁) •装· ΒΤ C7 D7 ;l999:;6 六、申請專利泛® 於不同之暫存器内,端視須予儲存之實際樹之層次而定 0 5. 根據申請專利範_第4項之方法·包括使若干暫存器配 •置成為基礎暫存器矩陣,包括有主暫存器列。 6. 根據申請専利範圍第5項之方法*包括使僅包括一 β次 之樹辞存於主暫存器内。 7. 根據申謫專利範画第5項之方法,包括使包括有兩層次 之樹予以餘存,俾提供其根表於主暫存器中,而其分支 表於其基礎暫存器内。 8. 根據申請專利範園第5項之方法,包括在該矩陣外部配 置另一姐暫存器,稱為輔肋暫存器。 9. 根據申謫専利範園第8項之方法,包括儲存包括有三β 次之樹,使其根表儲存於輔肋暫存器内,而其元素之一 儲存於暫存器矩陣内。 ίο.根據申謫專利範圍第1項之方法*其中闞於須要s施縮 減類別之資訊可由其根表類別中導出之· r· 1 1 .根據申請專利範圍第1 0項之方法,其中該根表類別内之 資訊包括有表示須予紈行指令之指令碼。 1 2 .根據申辅專利範画第1 0項之方法,其中如其類別為功能 應用時,其根表之第一元素包括有指令碼•或¥示功能 ......................................................«...............................打...........................*1 (請先閱請背面之注意事項再填穽本页) _ 蚊濟部中央诛準局印製 之 深 項 大 12最 或 之 11中 0, 樹 1. 表 9 中 0〇 - C ,ο 其 7''-,4i , .6為法 .5-字方 ,4敝之 .2大項 1 ® 1 , 第元第 表圍字圍 根範中範 之利表利 樹専中專 表湞其請 之申 -申 定據法據 界根方根 甲 4(210X 297H) 9 9 9 1- BCD 六'申請卑到ϋ® 度為三層次。 15. 根據申請専利範匾第14項之方法,其中如其深度為三醑 次*且如其髂存於各暫存器内根表之表指令顯示該根表 具有一分支以上時,其分支中柢其一係儲存於暫存器内 0 16. 根據申請專利範第5項之方法,其中结構埔減係在置 於諸如基礎暫存器,或於基礎和_助暫存器等之暫存器 中之資料目標上。 17. 根據申講専利範_第16項之方法’其中執行鑰·指令以 使指令aap具有兩Μ次结構(aap, f, (ei,...en)) ’其 中之指令map係寫入於輔肋暫存器中其一内*鑰_功能 寫入於第二輔肋暫存器中,而基礎暫存器之指令表元素 ei則纆由來自控制單元之控制1"信號重予寫入為((f, ei )____(f,e„)),其功能予Μ廣播,指令元素予以重新 配置•因此使各基礎暫存器中每一行•其功能皆置於該 行之最下方基礎暫存器中,而其指令元素之一置於該行 之次低下方基礎暫存器内。 18. 根據申請専利範園第16項之方法·其中執行調換指令而 使指令transpose具有三10 :欠结構 (transpose, ..................................! ................&..............................ir........ .................α {請先《讀背面之注龙事項再瑱3?本頁) _ 令 指 之 中 其 指 表 單 淸 其 及 器 存 暫 肋 輔 於 人 寫 e S ο Τ4(210Χ 297Ί) 六、申访專 , 器 陣存 矩暫 該礎 於基 素使 元-令此 指因 為, 係一不 j檷 和置 .1 位 , 之 中内 其陣 ’矩 内器 j存 ei暫 素礎 元基 令於 予 重 號 信 制 控 之 元 單 制 控· 自 來 由 經 方構 正结 素次 元蘑 有兩 含為 合人 複寫 資縯 内之 陣Μ 矩相 器線 存斜 暫角 礎對 基之 而陣 ’矩 空遇 騰通 為與 皆於 值置 器而 存位 暫移 肋以 _予 之素 中元 其訊 上 令 指 換 交 行 執 中 其 法構 方结 之次 項層 16三 第有 圃具 ϊ Ρ 範 a 利SW 專令 請指 置申使 位據此 子根而 基器 於存 素暫 元彳礎 令基 指之 為塊 係方 表素 單一兀 淸有 之含 •J使 和此 i 因 j 示 ei搏 素S 元位 令内 指陣 中矩 其礎 構 结 次 18 二 為 0 里 制 控 之 元 取 制 控 白 來 由 0 合 複 累 元 使 此 因 元 與 置 位 赛 改 (請先閱讀背面之注意事項再蜞荈本頁) Τ4(210Χ 297 二'沒) 999 六、申請卑利ϋ® ΒΤ CT D7 而 令 指). 越 眺: 0.1 實el 中Π 其 B , P 法ki. 方(S 之構 項结 16次 第層 園三 範有 利具 専越 請跳 申令 據指 根使 指经 為’ β示 表棵 單置 濟位 之之 等;素 •J元 和令 i指 ,之為 j内寫 el陣重 素矩制 元器控 令存之 指暫元 礎單 基制 在控 素自 元來 令由 之 中 式 •除 :剔: 丨’以括 .予包 .1:元一 ;1.單〆 em•蓴 .(.(e理 .)素之 :一兀理 1.使處 1.而算 -因邐 (e’ 構 ),>‘ :.)作 ::以 .1;用 1 ί e c 種 置 装 出 输 \ 入 输 一 少 至 ,a, 出 输 及 入 榆I 元 字 料 資 使 Μ 用 每置 .·有 ,配 於括 V)係 在包 ΕΝ元 激份 ,字 特部 ID料 其號 . 資 .苻 F3該 内該 至, -器, F0元 份存份 ,字 部暫部 .3枓 訊之號 S3資 資目苻 至一 一數 I 0 存 有定有 ο.儲 具預具 (S於 元 I 元 器通,字於字 存器中料存料 暫存表資儲資 干暫該一可一 若 一於每表每 1 (b)每(c) , 中 份器 部存 號暫 符際 之 * .器在 否存存 與暫儲 用 I 係 使毎份 在之部 係中一 器用有 存使少 暫示至 該搮一 示中之 顯器中 號存表 符暫示 一 各顧 (請先閱讀背面之注意事項再填穽本頁) 垃沦奸中央楗準局印父 令 表 指 各 表 中 有 其 括 ’ 包 樹 表 表 之 為 中 置 器 配 存M 15* 予 昀 表 賓.之 於表内 存棰器 儲何存 份為暫 部其各 _ 示於 有顧存 , , 儲 f 4(210X 297二、尨) 3?濟部中央橾準局印製 AT BT 六、申專利ϋ® 中之一係為根表,各表間之闞係由各表在各暫存器 中之配置情形趨於明顯· (e) 控制装置(6Ρ),用以控制各暫存器,及理用儲存於 各暫存器中之表指令Μ使各表於各暫存器中重予配 置,及用Μ使暫存器内涵依照該表指令予以檐入/ 轤出, 該表指令係相對應於主階程式語言構造之结構理算 付° 22. 根據申謫專利範画第21項之理算單元,其中該表之一為 一根表1 23. 根據申請專利範圍第21或22項之理算單元•其中儲存表 之暫存器最大數目為4 24. 根據申請專利範園第21項之運算單元,其中表樹之最大 深度為三®次。 25. 根據申請專利範圍第24項之運算軍元,其中如深度為三 層次,且各儲存於暫存器内之根表之表指令顯示該根表 係有一或一以上分支時•其控制装置適於柢儲存暫存器 内分支之一 26. 根撺申請専利範園第21、22、24或25項之浬算軍元’其 中至少没有一額外暫存器(I D )·其中之所儲存之表樹之 淑別符像為可予龆存者。 27 .根據申镝専利範圍第2 1、2 2、2 4或2 5項之埋«駔元,其 中至少没有一額外暫存器(E N_V ),其中之所儲存之表之 環境係為可予儲存者。 r.., 甲 4(210X 297公尨) {請先閱讀背面之注意事項再琪3?本頁) k. •打· AT B7 · x999 ct ___D7_ 六、申汸專利 28.根據申請専利範IS第21項之邇算軍元,包括有暫存器 (So. Ο至Ss. 3)矩陣,具有提供主暫存器之遇邊列 (S 0.0至S3.〇),該矩两之各行搮供基礎暫存器% 29 .根據申講専利範園第28項之運算單元•其中包括有許多 設置該矩陣外部之_助暫存器(F0至F ^。 30. 根據申請専利範園第22、24或25項之«算單元*其中該 控制装置(6p)竈於將表樹之根表配置於不同暫存器内, 蟠視所«存之實際樹之暦次而定。 31. 根據申講專利範國第28項之運算單元,其中該控制装置 遘於將祗包括一靥次之樹《存於主暫存器内(S〇.〇至 S 3 . Ο ) ° 32. 根據申請專利範_第28項之埋算單元,其中該控制装置 遘於將包括兩醱次之樹使其根"'表儲存於主暫存器(So.。 至S3.〇)内而其分表於基礎暫存器,基礎暫存器(S〇.〇至 So.3’ Sl.o至 Si.3. Sz.O至 Sz.3, S3·。至 Sa.3)内: 33. 根據申請專利範圍第29項之理算單元,其中該控制装置 (6p)適於將次之樹使其根表儲存於_肋暫存器(F0至 F3)及其元索之一拥存於暫存器矩陣内。 34. 根據申請專利範第21項之埋算軍元•其中Μ於須實施 何一類型之縮喊之資訊可經由控制装置(6ρ)由該根表類 別中等出。 r. ..................................一..................5t..............................ir........_ .................^ (請先閱讀背面之注意事項再琪珥本页) _ K2.濟部中央橾準局印製 別何 類施 表買 根須 該定 中推 其以 -用 元P) 甩(6 算置 浬~裝 之制 項控 34由 第. _碼 範令 利抱 *有 a括。 申包令 據訊指 根資種 甲 4(210X297 公沒) 993; AT BT C7 D7 六、申請專利範圍 36. 根據申請専利範圃第34項之理算單元*其中該類別係為 功能應用時·其根表之第一元素即包括指令碼或表示功 能界定之表樹根,係由控制装置(6P)用>乂推定須予採取 之行勖K使該根表予以縮滅。 37. 根據申謫專利範圃第21項之邇算單元」其中此等暫存器 係在«輯上依切片方式配置於核心單元平面上(NUM, HEAD, TYPE, WHERE. LAZY, CLOS/SIMPLE),每一平面 包括最多一來自每一暫存器之暫存器單元•其中每一暫 存器單元能予儲存一資訊位元,又其中在平面内之各暫 存器單元皆可彼此相連接。 38. 根據申請専利範画第37項之運算單元,其中某些暫存器 較其他暫存器為長•而使平面中(TYPE, WHERE, LAZY, CLOS/SIMPLE)有些衹設有鼷於該較長暫存器之暫存器單 元(圈1 2 )。 39. 根據申請專利範圍第37項之埋算單元,其中至少某些稱 之為基礎暫存器之暫存器係在N乘N暫存器之矩陣内配 置成列及行,N為整數;基礎暫存器之暫存器單元皆依 位元方式而互埋|又基礎暫存器内每一位元每一行皆設 置行線(V 0 , V丨,V 2 . V 3 ),毎一列皆設置列埭(h。, ) h : , h 2 , h 3 ),在列和行編號相同之行線與列媒間之每 ..................................一.................¾...............................打.........I .................Sf {請先閱讀背面之i±意事項再填3?本頁) _ Μ 開 之 钊 控 可 有 55 皆 點 叉 交 媒 列 之 近 接 0 其 與 少 至 器 存 暫 礎 基 - 毎 且 相 之 上 行 各 和 列 各 在 接。 連接 器埋 存有 暫設 制皆 控間 可器 有存 設暫 皆礎 垛基 行各 和郎 8 T4(2l〇X 297父尨) AT BT C7 D7 其一元 , , 另軍 Γ 接依算 b 一 連段遲R· 工階之 ,(a 多續項 :R)排 間連40有(Γ流 時兩第括器匯 之在園包存線 間而範均暫 一 器,利元元部 存蝓專單位内 暫傅請器一 一 為向申存部少 , 稱方據暫内至接 C)一根 la)b)連 依每 器 素中 存 元器 暫 表存 元 存暫 位 儲。中 一 ,向其 與 中方, 可 ;ι939 六、申請專利耗a 40 .根據申猜專利範園第39項之理算單元,其中控制装置 (6Ρ)«於控制該可予控制之開闞及可予控制之暫存器吠 況,並使至少三種連接之一完成連接*而與所執行指令 類別無闞: a) 依一方向由暫存器至另一暫存器之f單連接, b) 暫存器間兩各別連接,兩方向各一, c)至少一内部•可控制連接•各包括有開關(SWN, SWe. SWvi. SWv〇. SWhi. SWh〇> SW〇b» SW«〇, SW«ti, S W b。,S W b i ),可經由控制装置(6 P )予K控制,使可與 下述各元件之一相連接之至少——線匯流排實施連接·· 軍元外部之匯流排,屬於暫存器中另一暫存器之單元中 {請先閑讀背面之注意事項再填3?本页) 内反各 衝 一如於 嫒 少諸接 入 彳至.連 喻 該1)及 該 中(0- 中 其竄器 其 ’装相 , 元®反 元 茚迓如。軍 算入豬 > 蓴 埋输,yQ埋 之有2)(s之 項括(0關項 41包置開42 第R)裝制第 園(rlff控•圍 範器娌可範 利存部之利 専暫外間專 請元及置請 ο 申位-装申 1 據 一器衝據 之根部相娌根 9 甲 4(210X 297^:廣) ΒΤ C7 D7 f 999' ;ί: 六、申請專利ϋ® 装置及蝓出媛衝装置皆可各別地垤由可控制之開Η,與 至少一内部一嫌匯流排(aR, bR)相連接。 44. 根據申請專利範圈第39項之理算單元•其中每一暫存器 '單元包括有: a) 第一(aR)及/或第二(bR)内部一線p流排, b) 内部一位元暫存器(rR) · c) 第一内部可控連接單元,包括有可經由該控制装置之 開闥,而使第一匯流排可與其内部暫存器第一输入相連 接及與其他暫存器單元相锅合之若干外部匯流排之第一 單元相連接, d) 内部可控制連接第二單元,包括有可經由該控制裝置 予以控制之開闥,使此第二匯流排可與内部暫存器第二 输入及與其他暫存器單元相播"合之若干外部匯流排第二 單元相連接, e) 内部可控制連接第三姐,包括有可由控制裝置控制開 關,使内部一位元暫存器之蝓出可與若干外部匯流排第 三軍元相埋接。 45. 根據申講專利範画第44項之埋蓴軍元,其中與暫存器位 置不相Μ連·暫存器珥元中有些係具有固定值依固定方 \ 式連接至其内部連接中至少其一上•但並非與内部暫存 器之連接中之一。 4 6 .根逋申讀専利範園第4 4項之埋算頃元,其中每一可控制 之埋接至為一可控制之開Μ「包括下列裝置之一: MOS-FET(圖 9Ε) ·兩互補 MOS-FETS (圈 9F)。 -10- 甲 4(210X 297公尨) ..................................:...................Κ..............................t.........『.................«?. {請先W讀背面之注意事項再填寫本页) _ 部 Ή 採 準 局 印 AT BT ί. 003'/1._D7 _ 六、申請專利泛ffi (請先《讀背面之注意事項再蜞宑本頁) 47. 根據申請專利範園第42項之理算單元,其中每一反相器 包括下述装置之一:兩互補M0S-FETs(H9C) ·兩増強 型M0S-FET(I19A) > —增強型MOS-FET及一虛乏型 'M0S-FET(K9B)。 48. 根據申請専利範第42項之理算單元_*其中比較器 (Gi, G2)相連接Μ使該部份暫存器内涵相比較,而提供 比較结果予稱為存取之外部匯流排上之嫌。 49. 根據申謫専利範II第48項之理算單元,其中比較器包括 a) 第一反及W ·其第一输入連接至由内部暫存器開翮轉 接之第一緩銜装置(CU)端,其第二输入連接至其内部暫 存器開翮與第二埋街裝置(Q2)間之接合點上· b) 第二反及W *其第一蝓入連接至由内部暫存器開翮轉 接之第二媛衝装置(Q2)端|其第二输入連接至其内部暫 存器開闞與第一嬡衝装置(CU)間之接合點上; 此等反及閘之输出係為互連,並與其稱為存取之匯流排 上之外部匯流排線相連接。 50. 根據申請専利範圃第49項之埋算單元,其中每一反及閜 均包括有兩没有串聯耦合之源極/吸極通路之串明耦合 M0S-FET 35晶W ·其閘極為反及閘输人*而其ft上方 M0S-FET Φ晶《之吸極為外部匯流排ί»之_出。 址洚部中央橾準局印製 陣暫 矩礎 器基 存於 暫置 中位 其使 , 而元、, 审' 制 。,: ?控減 埋'動縮 之自構 _ 項之结11 29置施 -第裝實 園制檷 範控目 利由料 専經資 講.之 申置内 撺設器 根之存 甲 4(210Χ 297公廣) > 9S9^: AT BT Cl D7 六、申請專利範® 52_.根據申請専利範_第51項之麵算單元,其中鑰·指令遘 於紈行K使_令nap具有兩靨次结構(·Ρ3. f. (et____e„.)> ,其中,抱令map.寫入至_助暫存器之— 内(F0至F3),此繪功能寫入於第二轜助暫存器内•基 礎暫存器(S〇.〇至S3.3)指令元索ei表Λ於經由來自控制 装置之控制予Μ重寫為((f , ed____(f,e·)·其中使功 能予Μ廣播,而其指令元素予以重祈配置’因此*使基 礎暫存8中之每一行,其功能皆在該行之最下方基礎暫 存器内,而指令元素之一係在該行之次低基礎暫存器中 組洚邻中央抹準局印装 遘 ,素中複信,内之 « 令))元陣器制))陣Μ 令 指 }令矩存控 矩相 抱 換 指該暫之enar» 換 變en及在礎中(存角 交 , 中構:器素基置ι·ϋ對 中 其结1·存元使裝 _·礎之 其wa: ,次n.51 令此制el基陣 ,(51· 元lff(e肋抱因控.(在矩 元構1. 單三:輔為,自:而過 單结 ί 算有:於係號來),,通 算次(e « 具-.)人 j 苻由.1Λ 與««), 之 el.寫和置烴e„空於 之三 項ose係丨位於;變置 項有»< 51sp:e 中之理*·皆而51具.1 第arlllos其陣,.1值換 第ape» ntrl.sp,矩方el器變Hsw.( 範令(eaη内器正((存予 範令 利指,(tr表存素為暫皆。利指). 專使se令單暫元寫助紫上専使 請而PO指濟礎有轚轜元置講而 申行ns之之基含以之訊位申行.1 據紈ra中 j 在包予中資子據紈(el 。 根於U其ei.合號其之»根於(( ..................................:...................装..............................h:·:··「..................π (請先《讀背面之注意事項再琪窵本頁) _ 甲 4(210Χ 297公尨) AT BT ;t999 S_D7 _ 六、申請卑利jia (βη . _____)))其中之指令元紫eij之清單表,i和j係 為基礎暫存器矩陣中指令元素之位置苻號,而使基礎暂 存器複合包含有元素正方,通於嬅由來自控制装置之控 '制信號予Μ重寫為((en____)____ ( e η . 1 ,...)),因此使元 素(e-.ι,...)與元素(ex.i,...)變換位置。 55. 根據申請専利範國第51項之麵蓴單元•其中眺越指令遘 於執行而使指令skip具有之饜次结構(skip m ((βΐ.Ι,. · ·),. . .(βπι···1.1· · . · (β··1· . · · ) . 1» · · · ).(βη . 1____))),其中之指令元索eU之淸單表,i和 j係為基礎暫存器中指令元素位置之苻號,因此使基礎 暫存器裡合包含有元素正方,而通於络由來自控制装置 之控制信號予以重寫為 ^ ((6ι.ι,·.·),.. · · · (βΐΛ + l.l,· · ·),(Gn.i,·· · ))*因此使元素(en____)予以剔除。 56. 根據申請專利範圍第21項之運算單元,其中該單元係為 中央處理單元之一部份。 {請先閱讀背面之注意事項再填窵本页) 3£漭部中央橾準局印製 13 f4(210X 297^'^)
TW080106185A 1990-08-02 1991-08-06 TW199926B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
SE9002558A SE9002558D0 (sv) 1990-08-02 1990-08-02 Processor

Publications (1)

Publication Number Publication Date
TW199926B true TW199926B (zh) 1993-02-11

Family

ID=20380081

Family Applications (5)

Application Number Title Priority Date Filing Date
TW080106185A TW199926B (zh) 1990-08-02 1991-08-06
TW080106169A TW215483B (zh) 1990-08-02 1991-08-06
TW080106184A TW215960B (zh) 1990-08-02 1991-08-06
TW080106172A TW215959B (zh) 1990-08-02 1991-08-06
TW080106170A TW199213B (zh) 1990-08-02 1991-08-06

Family Applications After (4)

Application Number Title Priority Date Filing Date
TW080106169A TW215483B (zh) 1990-08-02 1991-08-06
TW080106184A TW215960B (zh) 1990-08-02 1991-08-06
TW080106172A TW215959B (zh) 1990-08-02 1991-08-06
TW080106170A TW199213B (zh) 1990-08-02 1991-08-06

Country Status (22)

Country Link
US (6) US5239502A (zh)
EP (6) EP0541685B1 (zh)
JP (6) JPH05508730A (zh)
KR (1) KR930701818A (zh)
CN (6) CN1059225A (zh)
AT (5) ATE104084T1 (zh)
AU (6) AU8333191A (zh)
CA (6) CA2086591A1 (zh)
DE (5) DE69102065T2 (zh)
ES (3) ES2056655T3 (zh)
FI (3) FI930434A (zh)
HU (3) HU9204177D0 (zh)
IL (6) IL99055A (zh)
LT (6) LTIP385A (zh)
NO (3) NO930303L (zh)
NZ (3) NZ239239A (zh)
RO (1) RO109487B1 (zh)
SE (1) SE9002558D0 (zh)
SK (2) SK402592A3 (zh)
TW (5) TW199926B (zh)
WO (6) WO1992002933A1 (zh)
ZA (6) ZA916120B (zh)

Families Citing this family (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1993024888A1 (en) * 1992-05-22 1993-12-09 Massachusetts Institute Of Technology Response resolver for associative memories and parallel processors
JP3376604B2 (ja) * 1992-07-20 2003-02-10 カシオ計算機株式会社 情報管理装置
IT1270230B (it) 1994-06-16 1997-04-29 Enichem Sintesi Composizione catalitica e processo per l'alchilazione di composti aromatici
US5619711A (en) * 1994-06-29 1997-04-08 Motorola, Inc. Method and data processing system for arbitrary precision on numbers
GB2293468B (en) * 1994-09-21 1999-09-29 Sony Uk Ltd Data processing systems
JP3037089B2 (ja) * 1994-12-14 2000-04-24 川崎製鉄株式会社 連想メモリ
FR2736737B1 (fr) * 1995-07-12 1997-08-14 Alcatel Nv Dispositif de gestion de relations entre des objets
US5943242A (en) * 1995-11-17 1999-08-24 Pact Gmbh Dynamically reconfigurable data processing system
US7266725B2 (en) 2001-09-03 2007-09-04 Pact Xpp Technologies Ag Method for debugging reconfigurable architectures
US6103579A (en) * 1996-01-31 2000-08-15 Micron Technology, Inc. Method of isolating a SRAM cell
US6750107B1 (en) 1996-01-31 2004-06-15 Micron Technology, Inc. Method and apparatus for isolating a SRAM cell
US5964825A (en) * 1996-02-09 1999-10-12 Texas Instruments Incorporated Manipulation of boolean values and conditional operation in a microprocessor
US5706224A (en) * 1996-10-10 1998-01-06 Quality Semiconductor, Inc. Content addressable memory and random access memory partition circuit
DE19651075A1 (de) 1996-12-09 1998-06-10 Pact Inf Tech Gmbh Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen
DE19654593A1 (de) * 1996-12-20 1998-07-02 Pact Inf Tech Gmbh Umkonfigurierungs-Verfahren für programmierbare Bausteine zur Laufzeit
US6338106B1 (en) 1996-12-20 2002-01-08 Pact Gmbh I/O and memory bus system for DFPS and units with two or multi-dimensional programmable cell architectures
DE19654595A1 (de) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh I0- und Speicherbussystem für DFPs sowie Bausteinen mit zwei- oder mehrdimensionaler programmierbaren Zellstrukturen
DE19654846A1 (de) 1996-12-27 1998-07-09 Pact Inf Tech Gmbh Verfahren zum selbständigen dynamischen Umladen von Datenflußprozessoren (DFPs) sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen (FPGAs, DPGAs, o. dgl.)
ATE243390T1 (de) 1996-12-27 2003-07-15 Pact Inf Tech Gmbh Verfahren zum selbständigen dynamischen umladen von datenflussprozessoren (dfps) sowie bausteinen mit zwei- oder mehrdimensionalen programmierbaren zellstrukturen (fpgas, dpgas, o.dgl.)
US6374346B1 (en) 1997-01-24 2002-04-16 Texas Instruments Incorporated Processor with conditional execution of every instruction
DE19704044A1 (de) * 1997-02-04 1998-08-13 Pact Inf Tech Gmbh Verfahren zur automatischen Adressgenerierung von Bausteinen innerhalb Clustern aus einer Vielzahl dieser Bausteine
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
DE19704728A1 (de) * 1997-02-08 1998-08-13 Pact Inf Tech Gmbh Verfahren zur Selbstsynchronisation von konfigurierbaren Elementen eines programmierbaren Bausteines
DE19704742A1 (de) 1997-02-11 1998-09-24 Pact Inf Tech Gmbh Internes Bussystem für DFPs, sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen, zur Bewältigung großer Datenmengen mit hohem Vernetzungsaufwand
WO1998047077A1 (de) * 1997-04-15 1998-10-22 Gmd - Forschungszentrum Informationstechnik Gmbh Frei programmierbares, universelles parallel-rechnersystem zur durchführung von allgemeinen berechnungen
US8686549B2 (en) 2001-09-03 2014-04-01 Martin Vorbach Reconfigurable elements
US5943492A (en) * 1997-12-05 1999-08-24 Digital Equipment Corporation Apparatus and method for generating external interface signals in a microprocessor
DE19861088A1 (de) 1997-12-22 2000-02-10 Pact Inf Tech Gmbh Verfahren zur Reparatur von integrierten Schaltkreisen
DE19807872A1 (de) 1998-02-25 1999-08-26 Pact Inf Tech Gmbh Verfahren zur Verwaltung von Konfigurationsdaten in Datenflußprozessoren sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstruktur (FPGAs, DPGAs, o. dgl.
US6236585B1 (en) * 1998-05-13 2001-05-22 Texas Instruments Incorporated Dynamic, data-precharged, variable-entry-length, content addressable memory circuit architecture with multiple transistor threshold voltage extensions
US7003660B2 (en) 2000-06-13 2006-02-21 Pact Xpp Technologies Ag Pipeline configuration unit protocols and communication
EP1228440B1 (de) 1999-06-10 2017-04-05 PACT XPP Technologies AG Sequenz-partitionierung auf zellstrukturen
US6097651A (en) * 1999-06-30 2000-08-01 Quicklogic Corporation Precharge circuitry in RAM circuit
SE516171C2 (sv) * 1999-07-21 2001-11-26 Ericsson Telefon Ab L M Processorarkitektur anpassas för programspråk med sekventiellt instruktionsflöde
US6944709B2 (en) * 1999-09-23 2005-09-13 Netlogic Microsystems, Inc. Content addressable memory with block-programmable mask write mode, word width and priority
US6813680B1 (en) 2000-06-14 2004-11-02 Netlogic Microsystems, Inc. Method and apparatus for loading comparand data into a content addressable memory system
US6542391B2 (en) * 2000-06-08 2003-04-01 Netlogic Microsystems, Inc. Content addressable memory with configurable class-based storage partition
US6799243B1 (en) 2000-06-14 2004-09-28 Netlogic Microsystems, Inc. Method and apparatus for detecting a match in an intra-row configurable cam system
US6934795B2 (en) * 1999-09-23 2005-08-23 Netlogic Microsystems, Inc. Content addressable memory with programmable word width and programmable priority
US6751701B1 (en) 2000-06-14 2004-06-15 Netlogic Microsystems, Inc. Method and apparatus for detecting a multiple match in an intra-row configurable CAM system
US6801981B1 (en) 2000-06-14 2004-10-05 Netlogic Microsystems, Inc. Intra-row configurability of content addressable memory
EP1107107A1 (en) * 1999-12-10 2001-06-13 Koninklijke Philips Electronics N.V. Parallel data processing and shuffling
IL144901A0 (en) * 2000-01-13 2002-06-30 Yasukura Yutaka Electronic information inquiry process
US6560670B1 (en) 2000-06-14 2003-05-06 Netlogic Microsystems, Inc. Inter-row configurability of content addressable memory
US6246601B1 (en) * 2000-06-14 2001-06-12 Netlogic Microsystems, Inc. Method and apparatus for using an inter-row configurable content addressable memory
US6963882B1 (en) * 2000-10-02 2005-11-08 International Business Machines Corporation Method and apparatus for processing a list structure
US8058899B2 (en) 2000-10-06 2011-11-15 Martin Vorbach Logic cell array and bus system
KR100413384B1 (ko) * 2000-10-24 2004-01-03 주식회사 삼양제넥스 옥피로부터 수용성 식이섬유의 제조 방법
GB2370380B (en) 2000-12-19 2003-12-31 Picochip Designs Ltd Processor architecture
US6990555B2 (en) * 2001-01-09 2006-01-24 Pact Xpp Technologies Ag Method of hierarchical caching of configuration data having dataflow processors and modules having two- or multidimensional programmable cell structure (FPGAs, DPGAs, etc.)
US7444531B2 (en) 2001-03-05 2008-10-28 Pact Xpp Technologies Ag Methods and devices for treating and processing data
WO2005045692A2 (en) 2003-08-28 2005-05-19 Pact Xpp Technologies Ag Data processing device and method
US7844796B2 (en) 2001-03-05 2010-11-30 Martin Vorbach Data processing device and method
US9037807B2 (en) 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
WO2005029365A2 (en) * 2003-07-07 2005-03-31 Metatomix, Inc. Surveillance, monitoring and real-time events platform
US7058637B2 (en) * 2001-05-15 2006-06-06 Metatomix, Inc. Methods and apparatus for enterprise application integration
US20030208499A1 (en) * 2002-05-03 2003-11-06 David Bigwood Methods and apparatus for visualizing relationships among triples of resource description framework (RDF) data sets
US6856992B2 (en) * 2001-05-15 2005-02-15 Metatomix, Inc. Methods and apparatus for real-time business visibility using persistent schema-less data storage
US7302440B2 (en) * 2001-07-27 2007-11-27 Metatomix, Inc. Methods and apparatus for statistical data analysis and reduction for an enterprise application
US6925457B2 (en) * 2001-07-27 2005-08-02 Metatomix, Inc. Methods and apparatus for querying a relational data store using schema-less queries
US7890517B2 (en) * 2001-05-15 2011-02-15 Metatomix, Inc. Appliance for enterprise information integration and enterprise resource interoperability platform and methods
EP1402382B1 (de) 2001-06-20 2010-08-18 Richter, Thomas Verfahren zur bearbeitung von daten
US7996827B2 (en) 2001-08-16 2011-08-09 Martin Vorbach Method for the translation of programs for reconfigurable architectures
US7434191B2 (en) 2001-09-03 2008-10-07 Pact Xpp Technologies Ag Router
US8686475B2 (en) 2001-09-19 2014-04-01 Pact Xpp Technologies Ag Reconfigurable elements
AU2003208266A1 (en) 2002-01-19 2003-07-30 Pact Xpp Technologies Ag Reconfigurable processor
US8127061B2 (en) 2002-02-18 2012-02-28 Martin Vorbach Bus systems and reconfiguration methods
US8914590B2 (en) 2002-08-07 2014-12-16 Pact Xpp Technologies Ag Data processing method and device
US7657861B2 (en) 2002-08-07 2010-02-02 Pact Xpp Technologies Ag Method and device for processing data
AU2003286131A1 (en) 2002-08-07 2004-03-19 Pact Xpp Technologies Ag Method and device for processing data
JP4388895B2 (ja) 2002-09-06 2009-12-24 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト リコンフィギュアラブルなシーケンサ構造
CA2501847A1 (en) * 2002-10-07 2004-04-22 Metatomix, Inc Methods and apparatus for identifying related nodes in a directed graph having named arcs
US7130229B2 (en) 2002-11-08 2006-10-31 Intel Corporation Interleaved mirrored memory systems
US7017017B2 (en) * 2002-11-08 2006-03-21 Intel Corporation Memory controllers with interleaved mirrored memory modes
DE102004013180A1 (de) * 2004-03-17 2005-10-06 Giesecke & Devrient Gmbh Speicherbereinigung (Garbage Collection) für Smart Cards
US7665063B1 (en) * 2004-05-26 2010-02-16 Pegasystems, Inc. Integration of declarative rule-based processing with procedural programming
US8335704B2 (en) * 2005-01-28 2012-12-18 Pegasystems Inc. Methods and apparatus for work management and routing
US7570503B1 (en) 2005-05-20 2009-08-04 Netlogic Microsystems, Inc. Ternary content addressable memory (TCAM) cells with low signal line numbers
JP2009524134A (ja) 2006-01-18 2009-06-25 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト ハードウェア定義方法
US8924335B1 (en) 2006-03-30 2014-12-30 Pegasystems Inc. Rule-based user interface conformance methods
US20090132232A1 (en) * 2006-03-30 2009-05-21 Pegasystems Inc. Methods and apparatus for implementing multilingual software applications
US7827451B2 (en) * 2006-05-24 2010-11-02 International Business Machines Corporation Method, system and program product for establishing decimal floating point operands for facilitating testing of decimal floating point instructions
US8250525B2 (en) 2007-03-02 2012-08-21 Pegasystems Inc. Proactive performance management for multi-user enterprise software systems
US7697444B2 (en) * 2007-09-07 2010-04-13 Fujitsu Limited Testing a circuit using a shared bandwidth test bus
GB2454865B (en) 2007-11-05 2012-06-13 Picochip Designs Ltd Power control
JP4529098B2 (ja) * 2008-07-29 2010-08-25 ソニー株式会社 演算処理装置および方法、並びにプログラム
US10481878B2 (en) * 2008-10-09 2019-11-19 Objectstore, Inc. User interface apparatus and methods
US8843435B1 (en) 2009-03-12 2014-09-23 Pegasystems Inc. Techniques for dynamic data processing
US8468492B1 (en) 2009-03-30 2013-06-18 Pegasystems, Inc. System and method for creation and modification of software applications
GB2470037B (en) 2009-05-07 2013-07-10 Picochip Designs Ltd Methods and devices for reducing interference in an uplink
GB2470891B (en) 2009-06-05 2013-11-27 Picochip Designs Ltd A method and device in a communication network
GB2470771B (en) 2009-06-05 2012-07-18 Picochip Designs Ltd A method and device in a communication network
US8666720B2 (en) * 2009-08-04 2014-03-04 Henry Chung-herng Chang Software extensions to a high level description language simulator to provide infrastructure for analog, mixed-signal, RF modeling and verification
GB2474071B (en) 2009-10-05 2013-08-07 Picochip Designs Ltd Femtocell base station
GB2482869B (en) 2010-08-16 2013-11-06 Picochip Designs Ltd Femtocell access control
US8880487B1 (en) 2011-02-18 2014-11-04 Pegasystems Inc. Systems and methods for distributed rules processing
GB2489919B (en) 2011-04-05 2018-02-14 Intel Corp Filter
GB2489716B (en) 2011-04-05 2015-06-24 Intel Corp Multimode base system
GB2491098B (en) 2011-05-16 2015-05-20 Intel Corp Accessing a base station
US9195936B1 (en) 2011-12-30 2015-11-24 Pegasystems Inc. System and method for updating or modifying an application without manual coding
JP2013242700A (ja) * 2012-05-21 2013-12-05 Internatl Business Mach Corp <Ibm> コード最適化方法、プログラム及びシステム
US11150721B2 (en) * 2012-11-07 2021-10-19 Nvidia Corporation Providing hints to an execution unit to prepare for predicted subsequent arithmetic operations
US10303881B2 (en) 2013-02-05 2019-05-28 Hackproof Technologies Inc. Soft-wired radio (SWR) web machine
US9519804B2 (en) 2013-02-05 2016-12-13 Hackproof Technologies, Inc. Domain-specific hardwired symbolic machine that validates and maps a symbol
KR102515720B1 (ko) * 2014-07-30 2023-03-31 모비디어스 리미티드 명령어 사전인출을 위한 방법 및 장치
US10469396B2 (en) 2014-10-10 2019-11-05 Pegasystems, Inc. Event processing with enhanced throughput
WO2017066427A1 (en) 2015-10-13 2017-04-20 Hackproof Technologies, Inc. Soft-wired radio (swr) web machine
US10698599B2 (en) 2016-06-03 2020-06-30 Pegasystems, Inc. Connecting graphical shapes using gestures
US10698647B2 (en) 2016-07-11 2020-06-30 Pegasystems Inc. Selective sharing for collaborative application usage
CN108351974A (zh) * 2016-07-17 2018-07-31 Gsi 科技公司 在恒定的处理时间内查找k个极值
KR102467698B1 (ko) * 2016-07-26 2022-11-16 삼성전자주식회사 적층형 메모리 장치, 이를 포함하는 시스템 및 그 동작 방법
US10956572B2 (en) 2016-08-22 2021-03-23 Hackproof Technologies Inc. Domain-specific hardwired web browser machine
GB2562520A (en) * 2017-05-17 2018-11-21 John Hamlin Derrick Digital processing connectivity
US10514914B2 (en) * 2017-08-29 2019-12-24 Gsi Technology Inc. Method for min-max computation in associative memory
US11048488B2 (en) 2018-08-14 2021-06-29 Pegasystems, Inc. Software code optimizer and method
CN110690991B (zh) * 2019-09-10 2021-03-19 无锡江南计算技术研究所 一种基于逻辑树的无阻塞网络归约计算装置、方法
US11567945B1 (en) 2020-08-27 2023-01-31 Pegasystems Inc. Customized digital content generation systems and methods

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL272844A (zh) * 1960-12-22
US3253265A (en) * 1961-12-29 1966-05-24 Ibm Associative memory ordered retrieval
DE1921577B2 (de) * 1969-04-28 1972-04-06 Nixdorf Computer Ag, 4790 Paderborn Trommelartige vorrichtung zn buchungs- und schreibautomaten mit greifeinrichtung zum erfassen und einziehen von kontokarten o dgl
SE374973B (zh) * 1970-02-17 1975-03-24 Western Electric Co
US3610967A (en) * 1970-02-27 1971-10-05 Ibm Integrated memory cell circuit
US3634833A (en) * 1970-03-12 1972-01-11 Texas Instruments Inc Associative memory circuit
US4503511A (en) * 1971-08-31 1985-03-05 Texas Instruments Incorporated Computing system with multifunctional arithmetic logic unit in single integrated circuit
US3878513A (en) * 1972-02-08 1975-04-15 Burroughs Corp Data processing method and apparatus using occupancy indications to reserve storage space for a stack
US3953866A (en) * 1974-05-10 1976-04-27 Signetics Corporation Cross coupled semiconductor memory cell
DE2460150C2 (de) * 1974-12-19 1984-07-12 Ibm Deutschland Gmbh, 7000 Stuttgart Monolitisch integrierbare Speicheranordnung
GB1540299A (en) * 1975-02-15 1979-02-07 Mathematik Datenverarbeitung G Computer employing reduction language
FR2337398A1 (fr) * 1975-12-30 1977-07-29 Ibm France Dispositif d'ecriture rapide pour cellules de memoire
DE3105503A1 (de) * 1981-02-14 1982-09-02 Brown, Boveri & Cie Ag, 6800 Mannheim Assoziativer zugriffsspeicher
EP0069525B1 (en) * 1981-06-30 1986-04-16 Fujitsu Limited Data processing system
US4502118A (en) * 1981-07-07 1985-02-26 Burroughs Corporation Concurrent network of reduction processors for executing programs stored as treelike graphs employing variable-free applicative language codes
US4447875A (en) * 1981-07-07 1984-05-08 Burroughs Corporation Reduction processor for executing programs stored as treelike graphs employing variable-free applicative language codes
JPS58147889A (ja) * 1982-02-26 1983-09-02 Mitsubishi Electric Corp 半導体装置
US4709327A (en) * 1983-05-31 1987-11-24 Hillis W Daniel Parallel processor/memory circuit
DE3335423A1 (de) * 1983-09-29 1985-04-04 Siemens AG, 1000 Berlin und 8000 München Schaltung zur spannungsvervielfachung
US4615003A (en) * 1984-06-05 1986-09-30 Burroughs Corporation Condition concentrator and control store for a reduction processor evaluating programs stored as binary directed graphs employing variable-free applicative language codes
US4644464A (en) * 1984-06-05 1987-02-17 Burroughs Corporation Graph manager for a reduction processor evaluating programs stored as binary directed graphs employing variable-free applicative language codes
US4654780A (en) * 1984-06-05 1987-03-31 Burroughs Corporation Parallel register transfer mechanism for a reduction processor evaluating programs stored as binary directed graphs employing variable-free applicative language codes
US4785393A (en) * 1984-07-09 1988-11-15 Advanced Micro Devices, Inc. 32-Bit extended function arithmetic-logic unit on a single chip
US4734848A (en) * 1984-07-17 1988-03-29 Hitachi, Ltd. Combination reduction processing method and apparatus
JPS61107596A (ja) * 1984-10-31 1986-05-26 Nec Corp 連想記憶装置
US4616315A (en) * 1985-01-11 1986-10-07 Burroughs Corporation System memory for a reduction processor evaluating programs stored as binary directed graphs employing variable-free applicative language codes
US4598361A (en) * 1985-01-11 1986-07-01 Burroughs Corporation Allocator for a reduction processor evaluating programs stored as binary directed graphs employing variable-free applicative language codes
US5173872A (en) * 1985-06-13 1992-12-22 Intel Corporation Content addressable memory for microprocessor system
US4847755A (en) * 1985-10-31 1989-07-11 Mcc Development, Ltd. Parallel processing method and apparatus for increasing processing throughout by parallel processing low level instructions having natural concurrencies
US5021945A (en) * 1985-10-31 1991-06-04 Mcc Development, Ltd. Parallel processor system for processing natural concurrencies and method therefor
US4777622A (en) * 1985-11-26 1988-10-11 Max-Planck-Gesellschaft Zur Foerderung Der Wissenschaften E.V. Associative data storage system
JPS62134890A (ja) * 1985-12-05 1987-06-17 Matsushita Electric Ind Co Ltd 半導体記憶装置
EP0227348A3 (en) * 1985-12-11 1991-09-25 Advanced Micro Devices, Inc. Content addressable memory circuit and method
JPH0810553B2 (ja) * 1986-06-13 1996-01-31 松下電器産業株式会社 記憶回路
GB2211638A (en) * 1987-10-27 1989-07-05 Ibm Simd array processor
US4922413A (en) * 1987-03-24 1990-05-01 Center For Innovative Technology Method for concurrent execution of primitive operations by dynamically assigning operations based upon computational marked graph and availability of data
GB8718056D0 (en) * 1987-07-30 1987-09-03 Int Computers Ltd Data processing system
JPH01223697A (ja) * 1988-03-01 1989-09-06 Mitsubishi Electric Corp 内容番地付け記憶装置
US4890260A (en) * 1988-05-11 1989-12-26 Advanced Micro Devices Content addressable memory array with maskable and resettable bits
US4928260A (en) * 1988-05-11 1990-05-22 Advanced Micro Devices, Inc. Content addressable memory array with priority encoder
US5099450A (en) * 1988-09-22 1992-03-24 Syracuse University Computer for reducing lambda calculus expressions employing variable containing applicative language code
JPH02187993A (ja) * 1989-01-13 1990-07-24 Mitsubishi Electric Corp 連想メモリ装置
GB8901924D0 (en) * 1989-01-28 1989-03-15 Int Computers Ltd Data processing system
KR910009445B1 (ko) * 1989-02-02 1991-11-16 정호선 신경회로망을 이용한 연상메모리(Associative memory)
US5072422A (en) * 1989-05-15 1991-12-10 E-Systems, Inc. Content-addressed memory system with word cells having select and match bits
US5175843A (en) * 1989-10-30 1992-12-29 General Electric Company Computer-aided design method for restructuring computational networks to minimize shimming delays
US5201056A (en) * 1990-05-02 1993-04-06 Motorola, Inc. RISC microprocessor architecture with multi-bit tag extended instructions for selectively attaching tag from either instruction or input data to arithmetic operation output
US5014195A (en) * 1990-05-10 1991-05-07 Digital Equipment Corporation, Inc. Configurable set associative cache with decoded data element enable lines

Also Published As

Publication number Publication date
WO1992002875A1 (en) 1992-02-20
CA2086539A1 (en) 1992-02-03
AU654295B2 (en) 1994-11-03
LTIP382A (en) 1994-11-25
ZA916119B (en) 1992-05-27
ES2056655T3 (es) 1994-10-01
ATE116455T1 (de) 1995-01-15
EP0548094A1 (en) 1993-06-30
CN1059799A (zh) 1992-03-25
US5325501A (en) 1994-06-28
CN1058667A (zh) 1992-02-12
EP0541684B1 (en) 1994-04-06
FI930435A0 (fi) 1993-02-01
JPH05508729A (ja) 1993-12-02
IL99051A0 (en) 1992-07-15
AU8331691A (en) 1992-03-02
CA2088577A1 (en) 1992-02-03
EP0541684A1 (en) 1993-05-19
DE69101640D1 (de) 1994-05-11
IL99052A0 (en) 1992-07-15
DE69107460D1 (de) 1995-03-23
NO930302L (no) 1993-03-31
ATE118640T1 (de) 1995-03-15
CN1059225A (zh) 1992-03-04
JPH05508723A (ja) 1993-12-02
EP0541683B1 (en) 1994-02-23
LTIP385A (en) 1994-11-25
WO1992002877A1 (en) 1992-02-20
JPH05508722A (ja) 1993-12-02
EP0541682B1 (en) 1994-12-28
IL99056A (en) 1994-11-11
LTIP379A (en) 1994-11-25
LTIP384A (en) 1994-11-25
EP0541678A1 (en) 1993-05-19
EP0541685A1 (en) 1993-05-19
NO930301L (no) 1993-03-23
CA2087022A1 (en) 1992-02-03
FI930433A (fi) 1993-02-01
HUT63505A (en) 1993-08-30
ATE101933T1 (de) 1994-03-15
DE69107460T2 (de) 1995-10-05
EP0541678B1 (en) 1994-05-18
NO930303D0 (no) 1993-01-28
TW215483B (zh) 1993-11-01
HU9300263D0 (en) 1993-05-28
LTIP380A (en) 1994-12-27
TW199213B (zh) 1993-02-01
ES2051129T3 (es) 1994-06-01
DE69101242T2 (de) 1994-06-01
CA2087023A1 (en) 1992-02-03
HU9204177D0 (en) 1993-03-29
CN1030018C (zh) 1995-10-11
NZ239239A (en) 1994-08-26
JPH05508725A (ja) 1993-12-02
CA2086592A1 (en) 1992-02-03
LTIP381A (en) 1994-12-27
WO1992002874A1 (en) 1992-02-20
HU9300175D0 (en) 1993-04-28
AU8332991A (en) 1992-03-02
FI930433A0 (fi) 1993-02-01
US5379387A (en) 1995-01-03
KR930701818A (ko) 1993-06-12
RO109487B1 (ro) 1995-02-28
US5241491A (en) 1993-08-31
NO930301D0 (no) 1993-01-28
NO930303L (no) 1993-03-23
WO1992002876A1 (en) 1992-02-20
WO1992002932A1 (en) 1992-02-20
US5437049A (en) 1995-07-25
FI930434A0 (fi) 1993-02-01
AU8331291A (en) 1992-03-02
CN1059413A (zh) 1992-03-11
CN1030019C (zh) 1995-10-11
DE69102065T2 (de) 1994-09-01
SE9002558D0 (sv) 1990-08-02
DE69101640T2 (de) 1994-07-21
ZA916120B (en) 1993-01-27
FI930435A (fi) 1993-04-02
SK391392A3 (en) 1994-06-08
ZA916121B (en) 1992-05-27
AU654149B2 (en) 1994-10-27
IL99054A0 (en) 1992-07-15
IL99052A (en) 1994-12-29
HUT63710A (en) 1993-09-28
CN1062426A (zh) 1992-07-01
DE69102065D1 (de) 1994-06-23
TW215960B (zh) 1993-11-11
DE69106369D1 (de) 1995-02-09
TW215959B (zh) 1993-11-11
CN1027198C (zh) 1994-12-28
AU8390291A (en) 1992-03-02
ZA916123B (en) 1992-04-29
SK402592A3 (en) 1993-09-09
IL99055A0 (en) 1992-07-15
CA2086591A1 (en) 1992-02-03
JPH05508952A (ja) 1993-12-09
AU8333191A (en) 1992-03-02
EP0541682A1 (en) 1993-05-19
ZA916116B (en) 1992-05-27
EP0541683A1 (en) 1993-05-19
EP0541685B1 (en) 1995-02-15
AU8325091A (en) 1992-03-02
CN1061865A (zh) 1992-06-10
US5239502A (en) 1993-08-24
IL99055A (en) 1994-12-29
DE69101242D1 (de) 1994-03-31
FI930434A (fi) 1993-04-02
ES2050545T3 (es) 1994-05-16
WO1992002933A1 (en) 1992-02-20
NZ239240A (en) 1995-03-28
ATE104084T1 (de) 1994-04-15
IL99056A0 (en) 1992-07-15
IL99053A0 (en) 1992-07-15
NZ239242A (en) 1994-12-22
JPH05508730A (ja) 1993-12-02
US5555434A (en) 1996-09-10
ATE105952T1 (de) 1994-06-15
NO930302D0 (no) 1993-01-28
ZA916118B (en) 1992-05-27

Similar Documents

Publication Publication Date Title
TW199926B (zh)
Apt Principles of constraint programming
Milner Communicating and mobile systems: the pi calculus
ADEPOJU Comment on “International Migration to the High-Income Countries: Some Consequences for Economic Development in the Sending Countries,” by Robert EB Lucas
CN108268278A (zh) 具有可配置空间加速器的处理器、方法和系统
CN109791488A (zh) 用于执行用于复数的融合乘-加指令的系统和方法
CN107797991A (zh) 一种基于依存句法树的知识图谱扩充方法及系统
CN107852379A (zh) 用于现场可编程门阵列的定向二维路由器和互连网络、以及所述路由器和网络的其他电路和应用
FR2717923A1 (fr) Procédé de simulation d&#39;un circuit de mémoire à accès multiples.
CN105302578A (zh) 一种拖拽式布局配置的门户综合管理系统
CN107436762A (zh) 一种寄存器代码文件生成方法、装置和电子设备
CN108415882A (zh) 利用操作数基础系统转换和再转换的向量乘法
CN102163248B (zh) 一种集成电路的高级综合方法
CN101110826B (zh) 构建多维地址的方法、装置以及系统
Borning et al. Deltatalk: an empirically and aesthetically motivated simplification of the Smalltalk-80 language
CN109582364A (zh) 基于忆阻器的精简指令集处理器
CN105893036A (zh) 一种嵌入式系统的兼容式加速器扩展方法
Alencar et al. A logical theory of interfaces and objects
Bochmann Specification languages for communication protocols
CN105989197A (zh) 基于sat算法的时钟树布线方法
Hamdaoui Concurrency, references and linear logic
Handelman Iterated multiplication of characters of compact connected Lie groups
Martin The History of the SOC Revolution: The Rise and Transformation of IP Reuse
Rößiger Modal Logic for Coalgebras
Regan On superlinear lower bounds in complexity theory