SG11202107817XA - Lid assembly apparatus and methods for substrate processing chambers - Google Patents

Lid assembly apparatus and methods for substrate processing chambers

Info

Publication number
SG11202107817XA
SG11202107817XA SG11202107817XA SG11202107817XA SG11202107817XA SG 11202107817X A SG11202107817X A SG 11202107817XA SG 11202107817X A SG11202107817X A SG 11202107817XA SG 11202107817X A SG11202107817X A SG 11202107817XA SG 11202107817X A SG11202107817X A SG 11202107817XA
Authority
SG
Singapore
Prior art keywords
methods
substrate processing
processing chambers
lid assembly
assembly apparatus
Prior art date
Application number
SG11202107817XA
Inventor
Hanish Kumar Panavalappil Kumarankutty
Prashant A Desai
Diwakar N Kedlaya
Sumit Agarwal
Vidyadharan Srinivasa Murthy Bangalore
Truong Nguyen
Zubin Huang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of SG11202107817XA publication Critical patent/SG11202107817XA/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
SG11202107817XA 2019-03-11 2020-02-26 Lid assembly apparatus and methods for substrate processing chambers SG11202107817XA (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
IN201941009365 2019-03-11
PCT/US2020/019801 WO2020185401A1 (en) 2019-03-11 2020-02-26 Lid assembly apparatus and methods for substrate processing chambers

Publications (1)

Publication Number Publication Date
SG11202107817XA true SG11202107817XA (en) 2021-09-29

Family

ID=72425081

Family Applications (1)

Application Number Title Priority Date Filing Date
SG11202107817XA SG11202107817XA (en) 2019-03-11 2020-02-26 Lid assembly apparatus and methods for substrate processing chambers

Country Status (7)

Country Link
US (1) US11598004B2 (en)
JP (1) JP2022525108A (en)
KR (1) KR20210127768A (en)
CN (1) CN113396240A (en)
SG (1) SG11202107817XA (en)
TW (1) TW202102716A (en)
WO (1) WO2020185401A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112368802A (en) * 2018-07-31 2021-02-12 应用材料公司 Method and apparatus for ALD process
CN111501024A (en) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 Vapor deposition apparatus
CN113038723B (en) * 2021-03-22 2022-03-25 河南省科学院应用物理研究所有限公司 Even liquid processing apparatus of printed circuit board

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
WO2001007677A1 (en) * 1999-07-26 2001-02-01 Tokyo Electron Limited Method and apparatus for manufacturing semiconductor device
KR20010062209A (en) * 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US8877000B2 (en) * 2001-03-02 2014-11-04 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
JP3924483B2 (en) * 2001-03-19 2007-06-06 アイピーエス リミテッド Chemical vapor deposition equipment
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
CN100439561C (en) * 2002-04-19 2008-12-03 马特森技术公司 System for depositing a film onto a substrate using a low vapor pressure gas precursor
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
KR20050103251A (en) * 2002-10-07 2005-10-27 세키스이가가쿠 고교가부시키가이샤 Plasma surface treatment device
KR100463633B1 (en) * 2002-11-12 2004-12-29 주식회사 아이피에스 Method for depositing thin film on wafer using Hafnium compound
US20040118519A1 (en) 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
CN101068950A (en) * 2003-05-30 2007-11-07 阿维扎技术公司 Gas distribution system
KR100513920B1 (en) * 2003-10-31 2005-09-08 주식회사 시스넥스 Chemical vapor deposition unit
US20060021574A1 (en) * 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
DE102005055468A1 (en) * 2005-11-22 2007-05-24 Aixtron Ag Coating one or more substrates comprises supplying gases to process chamber via chambers with gas outlet openings
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP5280861B2 (en) * 2006-01-19 2013-09-04 エーエスエム アメリカ インコーポレイテッド High temperature ALD inlet manifold
JP5045000B2 (en) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 Film forming apparatus, gas supply apparatus, film forming method, and storage medium
KR100849929B1 (en) * 2006-09-16 2008-08-26 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a showerhead regulating the injection velocity of reactive gases positively and a method thereof
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
JP5347294B2 (en) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
US7972898B2 (en) * 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
JP5192214B2 (en) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 Gas supply apparatus, substrate processing apparatus, and substrate processing method
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
KR20090078538A (en) * 2008-01-15 2009-07-20 삼성전기주식회사 Showerhead and chemical vapor deposition apparatus having the same
JP5131240B2 (en) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
KR101064210B1 (en) * 2009-06-01 2011-09-14 한국생산기술연구원 A showerhead for film depositing vacuum equipments
US20110097487A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8551248B2 (en) * 2010-04-19 2013-10-08 Texas Instruments Incorporated Showerhead for CVD depositions
TWI534291B (en) * 2011-03-18 2016-05-21 應用材料股份有限公司 Showerhead assembly
DE102011056589A1 (en) * 2011-07-12 2013-01-17 Aixtron Se Gas inlet member of a CVD reactor
JP5792563B2 (en) * 2011-08-31 2015-10-14 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US20130210238A1 (en) * 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
US20130192761A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Rotary Substrate Processing System
CN105274498B (en) * 2012-05-11 2017-10-27 中微半导体设备(上海)有限公司 Gas spray, its manufacture method and film growth reactor
US9279185B2 (en) * 2012-06-14 2016-03-08 Asm Technology Singapore Pte Ltd Feed-through apparatus for a chemical vapour deposition device
KR101397162B1 (en) * 2012-08-23 2014-05-19 주성엔지니어링(주) Apparatus and method of processing substrate
JP5862529B2 (en) * 2012-09-25 2016-02-16 東京エレクトロン株式会社 Substrate processing apparatus and gas supply apparatus
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
JP6034655B2 (en) * 2012-10-25 2016-11-30 東京エレクトロン株式会社 Plasma processing equipment
TW201435138A (en) * 2012-12-21 2014-09-16 Applied Materials Inc Apparatus and methods for symmetrical gas distribution with high purge efficiency
TWI624560B (en) * 2013-02-18 2018-05-21 應用材料股份有限公司 Gas distribution plate for atomic layer deposition and atomic layer deposition system
JP6096547B2 (en) * 2013-03-21 2017-03-15 東京エレクトロン株式会社 Plasma processing apparatus and shower plate
JP6134191B2 (en) * 2013-04-07 2017-05-24 村川 惠美 Rotary semi-batch ALD equipment
JP6157942B2 (en) * 2013-06-13 2017-07-05 株式会社ニューフレアテクノロジー Vapor growth apparatus and vapor growth method
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9735034B2 (en) 2013-08-12 2017-08-15 Applied Materials, Inc. Visual feedback for process control in RTP chambers
JP2017502529A (en) 2013-11-11 2017-01-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Low temperature RTP control using an infrared camera
US20150147889A1 (en) * 2013-11-26 2015-05-28 Applied Materials, Inc. Tilted Plate For Batch Processing And Methods Of Use
US9297073B2 (en) * 2014-04-17 2016-03-29 Applied Materials, Inc. Accurate film thickness control in gap-fill technology
JP6346849B2 (en) * 2014-08-20 2018-06-20 東京エレクトロン株式会社 Gas supply system, plasma processing apparatus, and operation method of plasma processing apparatus
JP5764246B1 (en) * 2014-09-24 2015-08-19 株式会社日立国際電気 Substrate processing apparatus, gas introduction shaft and gas supply plate
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
TWI677929B (en) * 2015-05-01 2019-11-21 美商應用材料股份有限公司 Dual-channel showerhead for formation of film stacks
JP6664993B2 (en) * 2016-03-01 2020-03-13 株式会社ニューフレアテクノロジー Film forming equipment
JP6797939B2 (en) * 2016-05-27 2020-12-09 エーエスエム イーペー ホールディング ベー.フェー. Equipment for semiconductor wafer processing
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US20180230597A1 (en) 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102641752B1 (en) * 2018-11-21 2024-03-04 삼성전자주식회사 Gas injection module, substrate processing apparatus and method for manufacturing semiconductor device using the same

Also Published As

Publication number Publication date
CN113396240A (en) 2021-09-14
KR20210127768A (en) 2021-10-22
JP2022525108A (en) 2022-05-11
US20200291522A1 (en) 2020-09-17
WO2020185401A1 (en) 2020-09-17
US11598004B2 (en) 2023-03-07
TW202102716A (en) 2021-01-16

Similar Documents

Publication Publication Date Title
EP3648151C0 (en) Substrate processing apparatus for processing substrates
EP3586353A4 (en) Methods and apparatus for multi-cathode substrate processing
EP4107026A4 (en) Substrate processing apparatus
SG10201911998QA (en) Substrate processing method and substrate processing apparatus
SG11202107817XA (en) Lid assembly apparatus and methods for substrate processing chambers
EP3861570A4 (en) Substrate processing apparatus
EP4079445A4 (en) Substrate processing method and substrate processing apparatus
SG11202006919VA (en) Apparatus for handling various sized substrates
SG11202112769WA (en) Substrate processing chamber
SG10202009275VA (en) Processing apparatus
SG10201913764UA (en) Processing apparatus
KR102039240B9 (en) Substrate processing apparatus
EP4070367A4 (en) Substrate processing apparatus
SG10202011423RA (en) Substrate processing method and plasma processing apparatus
EP3984061A4 (en) Substrate process apparatus
SG10202013005WA (en) Processing apparatus for electronic component
SG10201909553YA (en) Substrate processing apparatus
EP3642386A4 (en) Substrate processing apparatus and method
SG10202009297VA (en) Substrate support and plasma processing apparatus
SG11202109523YA (en) Chucking process and system for substrate processing chambers
SG10201910303SA (en) Plasma processing apparatus and plasma processing method
SG11202009373PA (en) Substrate processing apparatus
KR102377068B1 (en) Substrate processing method and substrate processing apparatus
SG11202112722UA (en) Substrate processing methods and apparatus
SG10202011113WA (en) Processing apparatus