KR20200128192A - Inline chamber metrology - Google Patents

Inline chamber metrology Download PDF

Info

Publication number
KR20200128192A
KR20200128192A KR1020207031569A KR20207031569A KR20200128192A KR 20200128192 A KR20200128192 A KR 20200128192A KR 1020207031569 A KR1020207031569 A KR 1020207031569A KR 20207031569 A KR20207031569 A KR 20207031569A KR 20200128192 A KR20200128192 A KR 20200128192A
Authority
KR
South Korea
Prior art keywords
substrate
operable
processing
processing chamber
pulse
Prior art date
Application number
KR1020207031569A
Other languages
Korean (ko)
Other versions
KR102454199B1 (en
Inventor
아비셰크 고쉬
프레르나 손탈리아 고라디아
로버트 얀 비서
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020227034869A priority Critical patent/KR20220140045A/en
Publication of KR20200128192A publication Critical patent/KR20200128192A/en
Application granted granted Critical
Publication of KR102454199B1 publication Critical patent/KR102454199B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/636Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited using an arrangement of pump beam and probe beam; using the measurement of optical non-linear properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/65Raman scattering
    • G01N21/658Raman scattering enhancement Raman, e.g. surface plasmons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • G01N2021/8845Multiple wavelengths of illumination or detection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Robotics (AREA)
  • Nonlinear Science (AREA)
  • Optics & Photonics (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Glass Compositions (AREA)

Abstract

본 개시내용의 실시예들은, 진공 처리를 겪는 기판들의 검사에 관한 것이다. 일 실시예에서, 처리 챔버는, 전자기 방사선 방출기가 처리 챔버 내의 기판을 조명할 수 있게 하는 제1 뷰 포트, 검출기가 기판으로부터 산란된 전자기 방사선을 검출할 수 있게 하는 제2 뷰 포트, 전자기 방사선 방출기, 및 검출기를 포함한다.Embodiments of the present disclosure relate to inspection of substrates undergoing vacuum treatment. In one embodiment, the processing chamber comprises: a first view port through which an electromagnetic radiation emitter can illuminate a substrate within the processing chamber, a second view port through which a detector can detect the electromagnetic radiation scattered from the substrate, an electromagnetic radiation emitter. , And a detector.

Figure P1020207031569
Figure P1020207031569

Description

인라인 챔버 계측법Inline chamber metrology

본 개시내용의 실시예들은 일반적으로, 감소된 압력의 처리 시스템들 및 처리 기법들에 관한 것이다. 더 상세하게는, 본 개시내용의 실시예들은, 감소된 압력의 처리 시스템들에서의 기판들의 직접 인라인 모니터링을 위한 기법들에 관한 것이다.Embodiments of the present disclosure generally relate to reduced pressure treatment systems and treatment techniques. More specifically, embodiments of the present disclosure relate to techniques for direct in-line monitoring of substrates in reduced pressure processing systems.

반도체 기판들은 통합 디바이스들 및 마이크로디바이스들의 제조를 포함하는 광범위하게 다양한 응용들을 위해 처리된다. 기판들을 처리하기 위한 하나의 기법은, 감소된 압력들에서 기판을 가스들에 노출시키는 것, 및 가스들이 기판의 표면 상에 물질, 이를테면, 유전체 물질 또는 전도성 금속을 증착하게 하는 것을 포함한다. 예컨대, 에피택시는, 기판(예컨대, 실리콘 웨이퍼)의 표면 상에 흔히 규소 또는 게르마늄의 얇은 고순도 층을 성장시키는 데 사용될 수 있는 증착 공정이다. 물질은, 직교류(cross-flow) 챔버에서, 지지부 상에 위치된 기판의 표면에 걸쳐 그와 평행하게 공정 유체(예컨대, 전구체 가스들과 캐리어 가스들의 혼합물)를 유동시키고, (예컨대, 공정 유체를 고온들로 가열함으로써) 공정 유체를 분해하여 기판의 표면 상에 공정 유체로부터의 물질을 증착함으로써 증착될 수 있다.Semiconductor substrates are processed for a wide variety of applications including the manufacture of integrated devices and microdevices. One technique for processing substrates includes exposing the substrate to gases at reduced pressures, and causing the gases to deposit a material, such as a dielectric material or a conductive metal, on the surface of the substrate. For example, epitaxy is a deposition process that can be used to grow a thin, high purity layer of silicon or germanium, often on the surface of a substrate (eg, a silicon wafer). The material flows, in a cross-flow chamber, a process fluid (e.g., a mixture of precursor gases and carrier gases) across the surface of the substrate positioned on the support and parallel thereto, (e.g., process fluid By heating to high temperatures) to decompose the process fluid and deposit material from the process fluid on the surface of the substrate.

기판의 처리 동안의 다양한 시간들에서, 증착된 막의 품질이 검사 및/또는 측정될 수 있다. 기판을 검사 및/또는 측정하기 위한 이전에 알려져 있는 기법들은, 처리 챔버로부터 기판을 제거하고 기판을 검사 및/또는 측정하기 위한 계기 내에 기판을 위치시키는 것을 수반한다. 처리 챔버로부터의 기판의 제거는, 가스들이 처리 챔버에 들어가는 것을 초래하여, 아마도 챔버에서의 (그 기판 또는 다른 기판의) 처리가 계속될 수 있기 전에 처리 챔버가 진공 펌프에 의해 진공배기될 것을 요구할 수 있다.At various times during the processing of the substrate, the quality of the deposited film can be inspected and/or measured. Previously known techniques for inspecting and/or measuring a substrate involve removing the substrate from the processing chamber and placing the substrate in an instrument for inspecting and/or measuring the substrate. Removal of the substrate from the processing chamber will result in gases entering the processing chamber, possibly requiring the processing chamber to be evacuated by a vacuum pump before processing (of that substrate or other substrate) in the chamber can continue. I can.

처리 챔버들의 처리량 및 생산된 기판들의 품질을 개선하기 위해, 처리 시스템의 고-진공 환경으로부터 기판을 제거함이 없이 처리 시스템에서 처리를 겪고 있는 기판을 검사 및/또는 측정하기 위한 수단에 대한 필요성이 존재한다.In order to improve the throughput of the processing chambers and the quality of the substrates produced, there is a need for a means for inspecting and/or measuring a substrate undergoing processing in a processing system without removing the substrate from the high-vacuum environment of the processing system. do.

기판을 처리하기 위한 장치가 제공된다. 장치는 일반적으로, 제1 뷰 포트 및 제2 뷰 포트를 갖는 처리 챔버 몸체, 처리 챔버 몸체와 연결되는, 공정 유체를 제공하기 위한 공급부, 처리 챔버 몸체와 연결되는 진공 펌프, 처리 챔버 몸체 내의 기판 지지부, 제1 뷰 포트를 통해 기판 지지부 상의 기판을 조명하도록 동작가능한 전자기 방사선 방출기, 및 제2 뷰 포트를 통해 기판으로부터 산란된 전자기 방사선을 검출하도록 동작가능한 검출기를 포함한다.An apparatus for processing a substrate is provided. The apparatus generally comprises a processing chamber body having a first view port and a second view port, a supply for providing a process fluid in connection with the processing chamber body, a vacuum pump in connection with the processing chamber body, a substrate support in the processing chamber body. , An electromagnetic radiation emitter operable to illuminate the substrate on the substrate support through the first view port, and a detector operable to detect electromagnetic radiation scattered from the substrate through the second view port.

기판을 처리하기 위한 시스템이 제공된다. 시스템은 일반적으로, 자신을 통한 기판의 통과를 허용하도록 구성되는 제1 슬릿 밸브 개구 및 자신을 통한 기판의 통과를 허용하도록 구성되는 제2 슬릿 밸브 개구를 갖는 처리 챔버; 처리 챔버의 제1 슬릿 밸브 개구를 개방 및 폐쇄하도록 동작가능한 제1 슬릿 밸브 ― 제1 슬릿 밸브는 폐쇄될 때 기밀 밀봉을 만들도록 동작가능함 ―; 처리 챔버의 제2 슬릿 밸브 개구를 개방 및 폐쇄하도록 동작가능한 제2 슬릿 밸브 ― 제2 슬릿 밸브는 폐쇄될 때 기밀 밀봉을 만들도록 동작가능함 ―; 처리 챔버의 제2 슬릿 밸브 개구와 정렬되는 이송 슬릿 밸브 개구, 로드-록 포트, 및 기판 지지부를 갖는 로드-록; 및 매입형(encased) 탐침을 갖는 기계적 암을 포함하며, 기계적 암은 로드-록 포트를 통해 로드-록의 내부에 접근하도록 동작가능하고, 기계적 암은 매입형 탐침 내의 계기를 기판 지지부 상의 기판과 근접하게 이동시키도록 동작가능하고, 매입형 탐침은 기판을 조명하기 위한 전자기 방사선을 방출하도록 동작가능한 방출기를 갖고, 매입형 탐침은 기판으로부터 산란된 전자기 방사선을 검출하도록 동작가능한 검출기를 갖는다.A system for processing a substrate is provided. The system generally includes a processing chamber having a first slit valve opening configured to allow passage of a substrate therethrough and a second slit valve opening configured to allow passage of a substrate therethrough; A first slit valve operable to open and close a first slit valve opening of the processing chamber, the first slit valve operable to create a hermetic seal when closed; A second slit valve operable to open and close a second slit valve opening of the processing chamber, the second slit valve operable to create a hermetic seal when closed; A load-lock having a transfer slit valve opening, a load-lock port, and a substrate support aligned with the second slit valve opening of the processing chamber; And a mechanical arm having an encased probe, wherein the mechanical arm is operable to access the interior of the load-lock through the load-lock port, wherein the mechanical arm connects the instrument in the embedded probe to the substrate on the substrate support. Operable to move in close proximity, the embedded probe has an emitter operable to emit electromagnetic radiation to illuminate the substrate, and the embedded probe has a detector operable to detect the electromagnetic radiation scattered from the substrate.

본 개시내용의 양상들의 상기 언급된 특징들이 상세하게 이해될 수 있는 방식으로, 위에서 간략하게 요약된 양상들의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이러한 실시예들 중 일부가 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 유의되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1a 및 도 1b는 본 개시내용의 양상들에 따른 감소된 압력의 처리 챔버의 단면도들을 예시한다.
도 2는 본 개시내용의 특정 양상들에 따른 예시적인 처리 시스템을 예시한다.
도 3은 본 개시내용의 양상들에 따른 예시적인 로드-록의 개략적인 등각도를 예시한다.
도 4는 본 개시내용의 양상들에 따른 처리 챔버의 개략적인 등각도를 예시한다.
도 5는 본 개시내용의 양상들에 따른, 원자 층 증착의 모니터링을 예시하는 그래프들(500)의 세트이다.
도 6은 본 개시내용의 양상들에 따른, 처리 동안 기판을 측정하도록 구성되는 예시적인 합 주파수 생성(SFG; sum frequency generation) 분광법 모니터링 시스템의 개략도이다.
도 7은 본 개시내용의 양상들에 따른 예시적인 기판 처리 블레이드의 개략도이다.
이해를 용이하게 하기 위해서, 도면들에 공통된 동일한 요소들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예에 개시된 요소들은, 특정 언급 없이 다른 실시예들에서 유익하게 활용될 수 있는 것으로 고려된다.
In such a way that the above-mentioned features of aspects of the present disclosure can be understood in detail, a more detailed description of the aspects briefly summarized above may be made with reference to embodiments, some of which are attached drawings. It is illustrated in the field. However, it should be noted that the appended drawings illustrate only typical embodiments of the present disclosure and should not be regarded as limiting the scope of the disclosure, as this disclosure will allow other equally effective embodiments. Because it can.
1A and 1B illustrate cross-sectional views of a reduced pressure processing chamber in accordance with aspects of the present disclosure.
2 illustrates an exemplary processing system in accordance with certain aspects of the present disclosure.
3 illustrates a schematic isometric view of an exemplary load-lock in accordance with aspects of the present disclosure.
4 illustrates a schematic isometric view of a processing chamber in accordance with aspects of the present disclosure.
5 is a set of graphs 500 illustrating monitoring of atomic layer deposition, in accordance with aspects of the present disclosure.
6 is a schematic diagram of an exemplary sum frequency generation (SFG) spectroscopy monitoring system configured to measure a substrate during processing, in accordance with aspects of the present disclosure.
7 is a schematic diagram of an exemplary substrate processing blade in accordance with aspects of the present disclosure.
In order to facilitate understanding, the same reference numerals have been used where possible to designate the same elements common to the drawings. It is contemplated that elements disclosed in one embodiment may be advantageously utilized in other embodiments without specific mention.

처리 시스템의 고-진공 환경으로부터 기판을 제거함이 없이, 처리 시스템에서 처리를 겪고 있는 기판의 층 두께 및 층 균일성을 측정하기 위한, 그리고/또는 기판의 층들 및 층들 사이의 계면들의 결함들을 검출하고/거나 화학적 특성화를 수행하기 위해 기판을 검사하기 위한 방법들 및 장치들이 제공된다. 방법들 및 장치들은, 처리 챔버 내에서 또는 처리 챔버와 연결된 로드-록 챔버 내에서 기판을 측정 및/또는 검사함으로써 처리 챔버의 진공을 깨뜨림이 없이 기판의 측정 및/또는 검사를 가능하게 한다.To measure the layer thickness and layer uniformity of the substrate undergoing processing in the processing system, and/or to detect defects in the layers of the substrate and interfaces between the layers, without removing the substrate from the high-vacuum environment of the processing system. Methods and apparatuses are provided for inspecting a substrate to/or perform chemical characterization. Methods and apparatuses enable measurement and/or inspection of a substrate without breaking the vacuum of the processing chamber by measuring and/or inspecting the substrate in the processing chamber or in a load-lock chamber connected to the processing chamber.

본원에 개시된 일 실시예는 처리 시스템에 연결된 로드-록 챔버이다. 로드-록 챔버는, 기판 상의 입자들의 속성들 또는 존재를 검사 및/또는 측정하는 데 사용될 수 있는 하나 이상의 계기를 갖는 매입형 탐침을 가진 기계적 암을 갖는다. 기판은 처리 챔버로부터 제거되어 로드-록 내로 이동될 수 있으며, 로드-록에서, 하나 이상의 계기가 기판을 검사 및/또는 측정한다. 로드-록 내의 압력이 처리 시스템 또는 처리 챔버의 압력과 유사한 수준으로 유지되어, 처리 챔버의 진공을 깨뜨림이 없이 기판의 측정 및 검사가 가능하게 된다.One embodiment disclosed herein is a load-lock chamber connected to a processing system. The load-lock chamber has a mechanical arm with a recessed probe with one or more instruments that can be used to inspect and/or measure the presence or properties of particles on the substrate. The substrate can be removed from the processing chamber and moved into the load-lock, in which one or more instruments inspect and/or measure the substrate. The pressure in the load-lock is maintained at a level similar to that of the processing system or processing chamber, allowing measurement and inspection of the substrate without breaking the vacuum in the processing chamber.

다른 실시예에서, 복수의 뷰 포트들이 처리 챔버 상에 배열된다. 레이저들, x-선 방출기들, 및/또는 다른 전자기 방사선 방출기들이 처리 챔버에서 제1 뷰 포트를 통해 기판을 조명할 수 있고, 기판으로부터 산란된 방사선은 제2 뷰 포트를 통해 처리 챔버를 빠져나가 처리 챔버 밖의 계기들에 의해 검출, 수집, 및/또는 측정될 수 있다. 처리 챔버의 진공을 깨뜨림이 없이 기판이 처리 챔버 내에 있는 동안 기판이 검사 및/또는 측정될 수 있다.In another embodiment, a plurality of view ports are arranged on the processing chamber. Lasers, x-ray emitters, and/or other electromagnetic radiation emitters can illuminate the substrate through a first view port in the processing chamber, and radiation scattered from the substrate exits the processing chamber through a second view port. It can be detected, collected, and/or measured by instruments outside the processing chamber. The substrate can be inspected and/or measured while the substrate is in the processing chamber without breaking the vacuum in the processing chamber.

본원에서 사용되는 바와 같이, 기판으로부터 "산란된" 방사선은, 기판으로부터 반사되고, 기판으로부터 굴절되고, 조명의 결과로서 기판으로부터 방출되고/거나 기판을 통해 투과되는 방사선을 지칭한다.As used herein, radiation "scattered" from a substrate refers to radiation that is reflected from, refracted from, and emitted from and/or transmitted through the substrate as a result of illumination.

반도체 기판들은 통합 디바이스들 및 마이크로디바이스들의 제조를 포함하는 광범위하게 다양한 응용들을 위해 처리된다. 위에 언급된 바와 같이, 기판들을 처리하기 위한 하나의 기법은, 감소된 압력들에서 기판을 가스들에 노출시키는 것, 및 가스들이 기판의 표면 상에 물질, 이를테면, 유전체 물질 또는 전도성 금속을 증착하게 하는 것을 포함한다. 예컨대, 에피택시는, 기판(예컨대, 실리콘 웨이퍼)의 표면 상에 흔히 규소 또는 이산화규소의 얇은 고순도 층을 성장시키는 데 사용될 수 있는 증착 공정이다. 물질은, 직교류 챔버에서, 지지부 상에 위치된 기판의 표면에 걸쳐 그와 평행하게 공정 유체(예컨대, 전구체 가스들과 캐리어 가스들의 혼합물)를 유동시키고, (예컨대, 공정 유체를 고온들로 가열함으로써) 공정 유체를 분해하여 기판의 표면 상에 공정 유체로부터의 물질을 증착함으로써 증착될 수 있다. 위의 에피택시 기법들에 따라 처리된 기판들은, 아래에서 더 상세히 설명되는 바와 같이, 처리 챔버 내에서 또는 로드-록에서 측정 및/또는 검사될 수 있다.Semiconductor substrates are processed for a wide variety of applications including the manufacture of integrated devices and microdevices. As mentioned above, one technique for processing substrates is to expose the substrate to gases at reduced pressures, and to allow the gases to deposit a material, such as a dielectric material or a conductive metal, on the surface of the substrate. Includes doing. For example, epitaxy is a deposition process that can be used to grow a thin, high purity layer of silicon or silicon dioxide, often on the surface of a substrate (eg, a silicon wafer). The material flows, in a cross-flow chamber, a process fluid (e.g., a mixture of precursor gases and carrier gases) parallel thereto across the surface of a substrate positioned on the support (e.g., heating the process fluid to high temperatures). By) decomposing the process fluid and depositing a material from the process fluid on the surface of the substrate. Substrates processed according to the epitaxy techniques above may be measured and/or inspected in a processing chamber or in a load-lock, as described in more detail below.

개시된 실시예들은, 원자 층 증착(ALD), 화학 기상 증착(CVD), 식각, 플라즈마 강화 화학 기상 증착(PECVD), 물리 기상 증착(PVD), 유전체 증착, 중합체 층 증착, 및 선택적 제거 공정(SRP)들을 포함하지만 이에 제한되지 않는, 기판들을 처리하기 위한 기법들과 함께 사용될 수 있다.Disclosed embodiments include atomic layer deposition (ALD), chemical vapor deposition (CVD), etching, plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), dielectric deposition, polymer layer deposition, and selective removal process (SRP). ) Can be used with techniques for processing substrates, including but not limited to.

도 1a는 본 개시내용의 양상들에 따른, 처리를 위한 위치에 있는 구성요소들을 갖는 예시적인 처리 챔버(100)의 개략적인 단면도를 예시한다. 도시된 처리 챔버는 에피택셜 챔버이다. 공정 챔버(100)는, 기판(108)의 상부 표면 상의 물질의 증착을 비롯하여, 하나 이상의 기판을 처리(예컨대, 하나 이상의 기판 상에 에피택셜 증착을 수행)하는 데 사용된다. 처리 챔버(100)는, 다른 구성요소들 중에서도, 처리 챔버(100) 내에 배치되는 기판 지지부(106)(예컨대, 서셉터)의 후면측(104)을 가열하기 위한 방사 가열 램프들(102)의 어레이를 포함한다. 일부 실시예들에서, 방사 가열 램프들의 어레이는 하부 돔 아래에 도시된 어레이에 부가하여 상부 돔(128) 위에 배치된다. 기판 지지부(106)는, 도시된 바와 같이 어떠한 중앙 개구도 없는 디스크형 기판 지지부(106)일 수 있거나 링형 기판 지지부일 수 있다.1A illustrates a schematic cross-sectional view of an exemplary processing chamber 100 with components in position for processing, in accordance with aspects of the present disclosure. The illustrated processing chamber is an epitaxial chamber. The process chamber 100 is used to process (eg, perform epitaxial deposition on one or more substrates) one or more substrates, including deposition of a material on an upper surface of the substrate 108. The processing chamber 100 is, among other components, of radiation heating lamps 102 for heating the rear side 104 of the substrate support 106 (e.g., susceptor) disposed within the processing chamber 100. Includes an array. In some embodiments, an array of radiant heating lamps is disposed above the upper dome 128 in addition to the array shown below the lower dome. The substrate support 106 may be a disk-shaped substrate support 106 without any central opening as shown or may be a ring-shaped substrate support.

도 1b는, 도 1a의 선(1B-1B)을 따라 취해진 처리 챔버(100)의 개략적인 측면도를 예시한다. 라이너 조립체(163) 및 원형 차폐부(167)는 명확화를 위해 생략되었다. 기판 지지부는 도 1a에 도시된 바와 같은 디스크형 기판 지지부(106)일 수 있거나, 도 1b에 도시된 바와 같이, 램프들(102)의 열 방사선에 대한 기판의 노출을 용이하게 하기 위해 기판의 가장자리로부터 기판을 지지하는 링형 기판 지지부(107)일 수 있다.FIG. 1B illustrates a schematic side view of the processing chamber 100 taken along line 1B-1B in FIG. 1A. Liner assembly 163 and circular shield 167 have been omitted for clarity. The substrate support may be a disk-shaped substrate support 106 as shown in FIG. 1A, or the edge of the substrate to facilitate exposure of the substrate to thermal radiation of the lamps 102, as shown in FIG. 1B. It may be a ring-shaped substrate support portion 107 supporting the substrate from the.

도 1a 및 도 1b를 참조하면, 기판 지지부(106 또는 107)는 처리 챔버(100) 내에서 상부 돔(128)과 하부 돔(114) 사이에 위치된다. 상부 돔(128), 하부 돔(114), 및 상부 돔(128)과 하부 돔(114) 사이에 배치되는 베이스 링(136)이 처리 챔버(100)의 내부 구역을 정의한다. 일반적으로, 상부 돔(128) 및 하부 돔(114)의 중앙 부분들은 석영과 같은 광학적으로 투명한 물질로 형성된다. 처리 챔버(100)의 내부 구역은 일반적으로 공정 구역(156) 및 퍼지 구역(158)으로 나눠진다.1A and 1B, the substrate support 106 or 107 is positioned between the upper dome 128 and the lower dome 114 within the processing chamber 100. An upper dome 128, a lower dome 114, and a base ring 136 disposed between the upper dome 128 and the lower dome 114 define an interior region of the processing chamber 100. In general, the central portions of the upper dome 128 and the lower dome 114 are formed of an optically transparent material such as quartz. The interior zone of the processing chamber 100 is generally divided into a process zone 156 and a purge zone 158.

기판(108)(실척이 아님)은 적재 포트(103)를 통해 처리 챔버(100) 내로 이동되어 기판 지지부(106) 상에 위치될 수 있다. 적재 포트(103)는 도 1a에서 기판 지지부(106)에 의해 가려지지만, 도 1b에서는 보일 수 있다.The substrate 108 (not full) may be moved into the processing chamber 100 through the loading port 103 and placed on the substrate support 106. The loading port 103 is obscured by the substrate support 106 in Fig. 1A, but can be seen in Fig. 1B.

일 실시예에 따르면, 기판 지지부(106)는 중앙 샤프트(132)에 의해 지지되며, 이는, 도 1a에 도시된 바와 같이 기판 지지부(106)를 직접 지지할 수 있다. 다른 실시예에 따르면, 중앙 샤프트(132)는, 도 1b에 도시된 바와 같이, 암들(134)로 디스크형 기판 지지부(107)를 지지한다.According to an embodiment, the substrate support 106 is supported by the central shaft 132, which may directly support the substrate support 106 as shown in FIG. 1A. According to another embodiment, the central shaft 132 supports the disk-shaped substrate support 107 with arms 134, as shown in FIG. 1B.

일 실시예에 따르면, 처리 챔버(100)는 또한, 램프들(102)의 어레이를 지지하고 처리 동안 그리고/또는 처리 후에 램프들(102)을 냉각시키는 램프헤드(145)를 포함한다. 각각의 램프(102)는, 각각의 램프(102)에 전기를 공급하는 전기 분배 보드(도시되지 않음)에 결합된다.According to one embodiment, the processing chamber 100 also includes a lamphead 145 that supports the array of lamps 102 and cools the lamps 102 during and/or after processing. Each lamp 102 is coupled to an electrical distribution board (not shown) that supplies electricity to each lamp 102.

일 실시예에 따르면, 처리 챔버(100)는 또한, 처리 챔버(100) 내의 그리고 기판(108)의 표면 상의 온도들을 측정하는 하나 이상의 광학 고온계(118)를 포함한다. 제어기(도시되지 않음)는 전기 분배 보드로부터 램프(102)로의 전기 분배를 제어한다. 제어기는 또한, 처리 챔버(100) 내에서의 냉각 유체들의 유동들을 제어한다. 제어기는, 전기 분배 보드로부터 램프들(102)로의 전압을 변화시키고 냉각 유체들의 유동들을 변화시킴으로써 처리 챔버 내의 온도들을 제어한다.According to one embodiment, the processing chamber 100 also includes one or more optical pyrometers 118 that measure temperatures within the processing chamber 100 and on the surface of the substrate 108. A controller (not shown) controls the distribution of electricity from the electrical distribution board to the lamp 102. The controller also controls the flows of cooling fluids within the processing chamber 100. The controller controls the temperatures in the processing chamber by changing the voltage from the electrical distribution board to the lamps 102 and changing the flows of cooling fluids.

반사기(122)가 상부 돔(128) 위에 배치되어 기판(108) 및 상부 돔(128)으로부터 방사되는 적외선 광을 다시 처리 챔버(100)로 반사한다. 반사기(122)는 클램프 링(130)을 사용하여 상부 돔(128)에 고정된다. 반사기(122)는, 냉각 유체 소스(도시되지 않음)에 연결되는 하나 이상의 연결 포트(126)를 갖는다. 연결 포트들(126)은, 냉각 유체(예컨대, 물)가 반사기(122) 내에서 순환할 수 있도록 반사기 내의 하나 이상의 통로(도시되지 않음)에 연결된다.A reflector 122 is disposed over the upper dome 128 to reflect the infrared light emitted from the substrate 108 and the upper dome 128 back to the processing chamber 100. The reflector 122 is fixed to the upper dome 128 using a clamp ring 130. The reflector 122 has one or more connection ports 126 that are connected to a cooling fluid source (not shown). The connection ports 126 are connected to one or more passages (not shown) in the reflector such that a cooling fluid (eg, water) can circulate within the reflector 122.

일 실시예에 따르면, 처리 챔버(100)는, 공정 유체 공급부(172)에 연결되는 공정 유체 유입구(174)를 포함한다. 공정 유체 유입구(174)는, 일반적으로 기판(108)의 표면에 걸쳐 공정 유체(예컨대, 트리메틸 알루미늄(TMA) 또는 실란(SiH4))를 지향시키도록 구성된다. 처리 챔버는 또한, 공정 유체 유입구(174)에 대향하는 처리 챔버(100)의 측 상에 위치되는 공정 유체 배출구(178)를 포함한다. 공정 유체 배출구(178)는 진공 펌프(180)에 결합된다.According to an embodiment, the processing chamber 100 includes a process fluid inlet 174 connected to the process fluid supply unit 172. The process fluid inlet 174 is generally configured to direct a process fluid (eg, trimethyl aluminum (TMA) or silane (SiH 4 )) across the surface of the substrate 108. The processing chamber also includes a process fluid outlet 178 located on the side of the processing chamber 100 opposite the process fluid inlet 174. The process fluid outlet 178 is coupled to the vacuum pump 180.

일 실시예에 따르면, 처리 챔버(100)는, 베이스 링(136)의 측벽에 형성되는 퍼지 가스 유입구(164)를 포함한다. 퍼지 가스 소스(162)가 퍼지 가스를 퍼지 가스 유입구(164)에 공급한다. 처리 챔버(100)가 원형 차폐부(167)를 포함하는 경우, 원형 차폐부(167)는 공정 유체 유입구(174)와 퍼지 가스 유입구(164) 사이에 배치된다. 공정 유체 유입구(174), 퍼지 가스 유입구(164), 및 공정 유체 배출구(178)는 예시의 목적들을 위해 도시되며, 유체 유입구들 및 배출구들 등의 위치, 크기, 및 개수는 기판(108) 상의 물질의 균일한 증착을 용이하게 하도록 조정될 수 있다.According to one embodiment, the processing chamber 100 includes a purge gas inlet 164 formed on a sidewall of the base ring 136. The purge gas source 162 supplies purge gas to the purge gas inlet 164. When the processing chamber 100 includes a circular shield 167, the circular shield 167 is disposed between the process fluid inlet 174 and the purge gas inlet 164. Process fluid inlet 174, purge gas inlet 164, and process fluid outlet 178 are shown for illustrative purposes, and the location, size, and number of fluid inlets and outlets, etc., are on the substrate 108. It can be adjusted to facilitate uniform deposition of the material.

기판 지지부는 처리 챔버(100) 내에서의 기판의 처리를 허용하는 위치에 도시된다. 중앙 샤프트(132), 기판 지지부(106 또는 107), 및 암들(134)은 액추에이터(도시되지 않음)에 의해 하강될 수 있다. 복수의 리프트 핀들(105)이 기판 지지부(106 또는 107)를 통과한다. 기판 지지부를 처리 위치 아래의 적재 위치로 낮추는 것은, 리프트 핀들(105)이 하부 돔(114)과 접촉하고, 기판 지지부(106)에 있는 홀들을 통과하고, 기판 지지부(106)로부터 기판(108)을 상승시킬 수 있게 한다. 이어서, 로봇(도 1에 도시되지 않지만, 도 2의 로봇(208)을 참조함)이 적재 포트(103)를 통해 처리 챔버(100)에 들어가 기판(108)에 맞물리고 그 기판을 제거한다. 기판(108)을 제거한 로봇 또는 다른 로봇이 적재 포트(103)를 통해 처리 챔버에 들어가 처리되지 않은 기판을 기판 지지부(106) 상에 배치한다. 이어서, 기판 지지부(106)는 액추에이터에 의해 처리 위치로 상승되어 처리되지 않은 기판을 처리를 위한 위치에 배치한다.The substrate support is shown in a position that allows processing of the substrate within the processing chamber 100. The central shaft 132, the substrate support 106 or 107, and the arms 134 can be lowered by an actuator (not shown). A plurality of lift pins 105 pass through the substrate support 106 or 107. Lowering the substrate support to the loading position below the processing position means that the lift pins 105 contact the lower dome 114, pass through the holes in the substrate support 106, and the substrate 108 from the substrate support 106 To increase Next, a robot (not shown in FIG. 1, but see robot 208 in FIG. 2) enters the processing chamber 100 through the loading port 103, engages the substrate 108 and removes the substrate. A robot or other robot from which the substrate 108 has been removed enters the processing chamber through the loading port 103 and places the untreated substrate on the substrate support 106. Subsequently, the substrate support 106 is raised to the processing position by the actuator to place the untreated substrate in the position for processing.

일 실시예에 따르면, 처리 챔버(100)에서의 기판(108)의 처리는, 적재 포트(103)를 통해 기판을 삽입하는 것, 기판 지지부(106 또는 107) 상에 기판(108)을 배치하는 것, 기판 지지부(106 및 107) 및 기판(108)을 처리 위치로 상승시키는 것, 램프들(102)을 사용하여 기판(108)을 가열하는 것, 기판(108)에 걸쳐 공정 유체(173)를 유동시키는 것, 및 기판(108)을 회전시키는 것을 포함한다. 일부 경우들에서, 기판은 또한 처리 동안 상승 또는 하강될 수 있다.According to one embodiment, the processing of the substrate 108 in the processing chamber 100 includes inserting the substrate through the loading port 103, placing the substrate 108 on the substrate support 106 or 107. , Raising the substrate supports 106 and 107 and the substrate 108 to the processing position, heating the substrate 108 using lamps 102, the process fluid 173 across the substrate 108 And rotating the substrate 108. In some cases, the substrate may also be raised or lowered during processing.

본 개시내용의 일부 양상들에 따르면, 처리 챔버(100)에서의 에피택셜 처리는, 처리 챔버(100) 내의 압력을 대기압보다 낮도록 제어하는 것을 포함한다. 일 실시예에 따르면, 처리 챔버(100) 내의 압력은 대략적으로 10 torr 내지 80 torr이도록 감소된다. 다른 실시예에 따르면, 처리 챔버(100) 내의 압력은 대략적으로 80 torr 내지 300 torr이도록 감소된다. 일 실시예에 따르면, 처리 전에 그리고/또는 그 동안에 처리 챔버(100)의 압력을 감소시키기 위해 진공 펌프(180)가 활성화된다.In accordance with some aspects of the present disclosure, epitaxial processing in the processing chamber 100 includes controlling the pressure in the processing chamber 100 to be below atmospheric pressure. According to one embodiment, the pressure in the processing chamber 100 is reduced to be approximately 10 torr to 80 torr. According to another embodiment, the pressure in the processing chamber 100 is reduced to be approximately 80 torr to 300 torr. According to one embodiment, the vacuum pump 180 is activated to reduce the pressure in the processing chamber 100 prior to and/or during processing.

공정 유체(173)는 하나 이상의 공정 유체 유입구(174)로부터 처리 챔버(100) 내로 도입되고, 하나 이상의 공정 유체 배출구(178)를 통해 처리 챔버(100)를 빠져나간다. 공정 유체(173)는, 예컨대 열 분해, 또는 다른 반응들을 통해 기판(108) 상에 하나 이상의 물질을 증착한다. 기판(108) 상에 물질들을 증착한 후에, 반응들로부터 배출물(즉, 폐기 가스들)(166, 175)이 형성된다. 배출물(166, 175)은 공정 유체 배출구들(178)을 통해 처리 챔버(100)를 빠져나간다.Process fluid 173 enters the processing chamber 100 from one or more process fluid inlets 174 and exits the processing chamber 100 through one or more process fluid outlets 178. The process fluid 173 deposits one or more materials on the substrate 108 through, for example, thermal decomposition, or other reactions. After depositing the materials on the substrate 108, emissions from the reactions (ie waste gases) 166, 175 are formed. Exhausts 166 and 175 exit the processing chamber 100 through process fluid outlets 178.

기판(108)의 처리가 완료될 때, 퍼지 가스 유입구들(164)을 통해 퍼지 가스(165)(예컨대, 수소 또는 질소)를 도입함으로써 처리 챔버(100)는 공정 유체(173) 및 배출물(166, 175)이 퍼지된다. 퍼지 가스(165)는, 퍼지 가스 유입구들(164) 대신 또는 그에 부가하여 공정 유체 유입구들(174)을 통해 도입될 수 있다. 퍼지 가스(165)는 공정 유체 배출구들(178)을 통해 처리 챔버를 빠져나간다.When the processing of the substrate 108 is complete, the processing chamber 100 by introducing a purge gas 165 (e.g., hydrogen or nitrogen) through the purge gas inlets 164 is a process fluid 173 and an exhaust 166 , 175) is purged. The purge gas 165 may be introduced through the process fluid inlets 174 instead of or in addition to the purge gas inlets 164. The purge gas 165 exits the processing chamber through process fluid outlets 178.

예시적인 인라인 챔버 계측법Exemplary Inline Chamber Metrology

본 개시내용의 실시예들에서, 기판은 처리 챔버에서 처리되고 처리 챔버의 진공을 깨뜨림이 없이 검사 및/또는 측정될 수 있다. 일 실시예에서, 로드-록 챔버가 밸브를 통해 처리 챔버와 연결된다. 로드-록은, 기판을 검사 및/또는 측정하는 데 사용될 수 있는 하나 이상의 계기를 갖는 매입형 탐침을 가진 기계적 암을 갖는다. 기판은 처리 챔버로부터 제거되어 밸브를 통해 로드-록 내로 통과될 수 있으며, 로드-록에서, 하나 이상의 계기가 기판을 검사 및/또는 측정한다. 로드-록 내의 압력이 처리 챔버의 압력과 유사한 수준으로 유지되거나 그러한 수준으로 낮춰져서, 처리 챔버의 진공을 깨뜨림이 없이 기판의 측정 및 검사가 가능하게 된다. 이어서, 기판은 부가적인 처리를 위해 처리 챔버로 복귀될 수 있으며, 로드-록에서 발생한 측정 및 검사에 기반하여 부가적인 처리의 파라미터들(예컨대, 온도 또는 가스 유량)이 결정된다.In embodiments of the present disclosure, the substrate may be processed in a processing chamber and inspected and/or measured without breaking the vacuum in the processing chamber. In one embodiment, the load-lock chamber is connected with the processing chamber through a valve. The load-lock has a mechanical arm with a recessed probe with one or more instruments that can be used to inspect and/or measure the substrate. The substrate can be removed from the processing chamber and passed through a valve into the load-lock, in which one or more instruments inspect and/or measure the substrate. The pressure in the load-lock is maintained at or lowered to a level similar to that of the processing chamber, so that measurement and inspection of the substrate is possible without breaking the vacuum in the processing chamber. The substrate can then be returned to the processing chamber for further processing, and additional processing parameters (eg, temperature or gas flow rate) are determined based on measurements and inspections occurring in the load-lock.

본 개시내용의 양상들에 따른 로드-록과 함께 사용될 수 있는 측정 및 검사 기법들은, 공초점 형광 현미경 및 이미징; 타원편광법을 포함하는, 적외선, 자외선, 및 가시 방사선의 반사; 라만 산란; 팁 증강(tip-enhanced) 라만 산란; 표면 플라즈몬 폴라리톤 증강 라만 산란; 제2 고조파; 합 주파수 분광법; 원자간력 현미경(AFM); 주사 터널링 현미경(STM); 테라헤르츠 또는 밀리미터-파 주사; 및 x-선 형광(XRF)을 포함한다.Measurement and inspection techniques that can be used with a load-lock according to aspects of the present disclosure include confocal fluorescence microscopy and imaging; Reflection of infrared, ultraviolet, and visible radiation, including elliptical polarization; Raman scattering; Tip-enhanced Raman scattering; Surface plasmon polaritone enhanced Raman scattering; Second harmonic; Sum frequency spectroscopy; Atomic force microscope (AFM); Scanning tunneling microscope (STM); Terahertz or millimeter-wave scanning; And x-ray fluorescence (XRF).

다른 실시예에서, 복수의 뷰 포트들이 공정 챔버 상에 배열된다. 레이저들, x-선 방출기들, 및/또는 다른 전자기 방사선 방출기들이 처리 챔버에서 제1 뷰 포트를 통해 기판 상을 비출 수 있고, 기판으로부터 산란된(예컨대, 반사된 또는 굴절된) 방사선은 제2 뷰 포트를 통해 처리 챔버를 빠져나가 처리 챔버 밖의 계기들에 의해 검출, 수집, 및/또는 측정될 수 있다. 처리 챔버의 진공을 깨뜨림이 없이 기판이 처리 챔버 내에 있는 동안 기판이 검사 및/또는 측정될 수 있다.In another embodiment, a plurality of view ports are arranged on the process chamber. Lasers, x-ray emitters, and/or other electromagnetic radiation emitters can illuminate the substrate through a first view port in the processing chamber, and radiation scattered from the substrate (e.g., reflected or refracted) is a second It exits the processing chamber through the view port and can be detected, collected, and/or measured by instruments outside the processing chamber. The substrate can be inspected and/or measured while the substrate is in the processing chamber without breaking the vacuum in the processing chamber.

본 개시내용의 양상들에 따른 처리 챔버 상에 배열되는 뷰 포트들과 함께 사용될 수 있는 측정 및 검사 기법들은, 공초점 형광 현미경 및 이미징; 타원편광법을 포함하는, 적외선, 자외선, 및 가시 방사선의 반사; 라만 산란; 제2 고조파; 합 주파수 분광법; 테라헤르츠 또는 밀리미터-파 주사; 및 x-선 형광(XRF)을 포함한다.Measurement and inspection techniques that can be used with view ports arranged on a processing chamber according to aspects of the present disclosure include confocal fluorescence microscopy and imaging; Reflection of infrared, ultraviolet, and visible radiation, including elliptical polarization; Raman scattering; Second harmonic; Sum frequency spectroscopy; Terahertz or millimeter-wave scanning; And x-ray fluorescence (XRF).

도 2는 본 개시내용의 일 실시예에 따른 예시적인 처리 시스템(200)을 도시하는 평면도이다. 처리 시스템(200)은, 로드-록 챔버(204), 이송 챔버(206), 이송 챔버(206) 내의 처리(예컨대, 툴 및 물질 처리 또는 기판 처리) 로봇(208), 제1 CVD 처리 챔버(210), 제2 CVD 처리 챔버(212), 제어 스테이션(214), ALD 처리 챔버(216), 및 마스크 챔버(218)를 포함한다. 제1 CVD 처리 챔버(210), 제2 CVD 처리 챔버(212), ALD 처리 챔버(216), 및 각각의 챔버의 연관된 하드웨어는 바람직하게는, 예컨대, 하나 이상의 공정-호환가능 물질, 이를테면, 예컨대 알루미늄, 양극산화된 알루미늄, 니켈 도금 알루미늄, 스테인리스 강, 석영, 및 이들의 조합들 및 합금들로 형성된다. 제1 CVD 처리 챔버(210), 제2 CVD 처리 챔버(212), 및 ALD 처리 챔버(216)는, 코팅될 기판의 형상 및 다른 처리 요건들에 의해 요구되는 바에 따라, 둥글거나, 직사각형이거나, 또는 다른 형상일 수 있다.2 is a plan view illustrating an exemplary processing system 200 according to an embodiment of the present disclosure. The processing system 200 includes a load-lock chamber 204, a transfer chamber 206, a process in the transfer chamber 206 (e.g., tool and material processing or substrate processing) robot 208, a first CVD processing chamber ( 210), a second CVD processing chamber 212, a control station 214, an ALD processing chamber 216, and a mask chamber 218. The first CVD processing chamber 210, the second CVD processing chamber 212, the ALD processing chamber 216, and the associated hardware of each chamber are preferably, for example, one or more process-compatible materials, such as, for example, It is formed of aluminum, anodized aluminum, nickel plated aluminum, stainless steel, quartz, and combinations and alloys thereof. The first CVD processing chamber 210, the second CVD processing chamber 212, and the ALD processing chamber 216 are round, rectangular, or, as required by the shape of the substrate to be coated and other processing requirements. Or other shapes.

이송 챔버(206)는, 로드-록 챔버(204), 제1 CVD 처리 챔버(210), 제2 CVD 처리 챔버(212), ALD 처리 챔버(216), 및 마스크 챔버(218)에 인접한 측벽들에서 슬릿 밸브 개구들(221, 223, 225, 227, 229)을 포함한다. 처리 로봇(208)은, 슬릿 밸브 개구들(221, 223, 225, 227, 229) 각각을 통해 인접한 챔버 내로 기판 처리 블레이드(209) 및/또는 하나 이상의 다른 툴을 삽입할 수 있도록 위치 및 구성된다. 즉, 처리 로봇은, 다른 챔버들 각각에 인접한 이송 챔버(206)의 벽들에 있는 슬릿 밸브 개구들(221, 223, 225, 227, 229)을 통해 로드-록 챔버(204), 제1 CVD 처리 챔버(210), 제2 CVD 처리 챔버(212), ALD 처리 챔버(216), 및 마스크 챔버(218) 내로 툴들을 삽입할 수 있다. 본 개시내용의 양상들에 따르면, 본원에서 "블레이드"로 또한 지칭되는 기판 처리 블레이드에는 기판 모니터링 장비가 구비될 수 있다. 그러한 블레이드의 예는 도 7을 참조하여 아래에서 설명된다. 슬릿 밸브 개구들(221, 223, 225, 227, 229)은, 기판, 툴, 또는 다른 물품이 인접한 챔버들 중 하나에 삽입되거나 그로부터 제거되어야 할 때 인접한 챔버들의 내부들로의 접근을 허용하도록 슬릿 밸브들(220, 222, 224, 226, 228)을 이용하여 선택적으로 개방 및 폐쇄된다.The transfer chamber 206 includes sidewalls adjacent to the load-lock chamber 204, the first CVD processing chamber 210, the second CVD processing chamber 212, the ALD processing chamber 216, and the mask chamber 218. The slit valve openings 221, 223, 225, 227, 229 are included. The processing robot 208 is positioned and configured to insert the substrate processing blade 209 and/or one or more other tools into an adjacent chamber through each of the slit valve openings 221, 223, 225, 227, 229. . That is, the processing robot is the load-lock chamber 204, the first CVD process through the slit valve openings 221, 223, 225, 227, 229 in the walls of the transfer chamber 206 adjacent to each of the other chambers. Tools may be inserted into the chamber 210, the second CVD processing chamber 212, the ALD processing chamber 216, and the mask chamber 218. In accordance with aspects of the present disclosure, a substrate processing blade, also referred to herein as a “blade,” may be equipped with substrate monitoring equipment. An example of such a blade is described below with reference to FIG. 7. Slit valve openings 221, 223, 225, 227, 229 are slit to allow access to the interiors of adjacent chambers when a substrate, tool, or other article is to be inserted into or removed from one of the adjacent chambers. It is selectively opened and closed using valves 220, 222, 224, 226, 228.

이송 챔버(206), 로드-록 챔버(204), 제1 CVD 처리 챔버(210), 제2 CVD 처리 챔버(212), ALD 처리 챔버(216), 및 마스크 챔버(218)는, 진공 시스템(예컨대, 진공 펌프)과 유체 연통하는 하나 이상의 애퍼쳐(도시되지 않음)를 포함한다. 애퍼쳐들은, 다양한 챔버들 내의 가스들에 대한 출구를 제공한다. 일부 실시예들에서, 챔버들은 각각 별개의 독립적인 진공 시스템에 연결된다. 또 다른 실시예들에서, 챔버들 중 일부는 진공 시스템들을 공유하는 한편, 다른 챔버들은 별개의 독립적인 진공 시스템들을 갖는다. 진공 시스템들은, 다양한 챔버들을 통한 가스들의 유동들을 조절하기 위해 진공 펌프들(도시되지 않음) 및 스로틀 밸브들(도시되지 않음)을 포함할 수 있다.The transfer chamber 206, the load-lock chamber 204, the first CVD processing chamber 210, the second CVD processing chamber 212, the ALD processing chamber 216, and the mask chamber 218 are provided with a vacuum system ( For example, one or more apertures (not shown) in fluid communication with a vacuum pump. The apertures provide an outlet for gases in the various chambers. In some embodiments, the chambers are each connected to a separate and independent vacuum system. In still other embodiments, some of the chambers share vacuum systems, while others have separate and independent vacuum systems. Vacuum systems may include vacuum pumps (not shown) and throttle valves (not shown) to regulate the flows of gases through the various chambers.

본 개시내용의 양상들에 따르면, 제1 CVD 처리 챔버(210)는 밸브(215)를 통해 로드-록(211)과 연결될 수 있다. 로드-록(211)은, 기판을 검사 및/또는 측정하는 데 사용될 수 있는 하나 이상의 계기를 갖는 매입형 탐침을 가진 기계적 암을 가질 수 있다(도 3 참조). 기판은 제1 CVD 처리 챔버(210)로부터 제거되어 밸브(215)를 통해 로드-록(211) 내로 통과될 수 있으며, 그 로드-록에서, 하나 이상의 계기가 기판을 검사 및/또는 측정한다. 계기들은, 공초점 형광 현미경 및 이미징 시스템; 하나 이상의 적외선, 자외선, 및/또는 가시 광 레이저; 하나 이상의 전하 결합 디바이스(CCD) 검출기; 하나 이상의 수은 카드뮴 텔루라이드(MCT) 검출기; 하나 이상의 인듐 갈륨 비소화물(InGaAs) 검출기; 팁-증강 라만 산란을 위한 팁을 갖는 기계적 탐침; 원자간력 현미경 탐침; 주사 터널링 현미경 탐침; 테라헤르츠 또는 밀리미터-파 송수신기 안테나; 및 x-선 방출기 및 검출기 중 하나 이상을 포함할 수 있다. 기계적 암, 매입형 탐침, 및 계기들은 아래에서 도 3을 참조하여 더 상세히 설명된다. 로드-록(211) 내의 압력이 제1 CVD 처리 챔버(210)의 압력과 유사한 수준으로 낮춰지거나 그러한 수준으로 유지되어, 제1 CVD 처리 챔버(210)의 진공을 깨뜨림이 없이 기판의 측정 및 검사가 가능하게 될 수 있다.According to aspects of the present disclosure, the first CVD processing chamber 210 can be connected to the load-lock 211 through a valve 215. The load-lock 211 may have a mechanical arm with a recessed probe with one or more instruments that may be used to inspect and/or measure the substrate (see FIG. 3). The substrate can be removed from the first CVD processing chamber 210 and passed through the valve 215 into the load-lock 211, in which one or more instruments inspect and/or measure the substrate. Instruments include confocal fluorescence microscopy and imaging systems; One or more infrared, ultraviolet, and/or visible lasers; One or more charge coupled device (CCD) detectors; One or more mercury cadmium telluride (MCT) detectors; One or more indium gallium arsenide (InGaAs) detectors; Mechanical probe with tip for tip-enhanced Raman scattering; Atomic force microscope probe; Scanning tunneling microscope probe; Terahertz or millimeter-wave transceiver antenna; And at least one of an x-ray emitter and a detector. The mechanical arm, embedded probe, and instruments are described in more detail below with reference to FIG. 3. The pressure in the load-lock 211 is lowered to or maintained at a level similar to that of the first CVD processing chamber 210, so that the measurement and inspection of the substrate without breaking the vacuum in the first CVD processing chamber 210 Can be made possible.

유사하게, 제2 CVD 처리 챔버(212)는 밸브(218)를 통해 로드-록(213)과 연결될 수 있고, ALD 처리 챔버(216)는 밸브(219)를 통해 로드-록(217)과 연결될 수 있다. 로드-록들(213 및 217) 각각은, 기판을 검사 및/또는 측정하는 데 사용될 수 있는 하나 이상의 계기를 갖는 매입형 탐침을 가진 기계적 암을 가질 수 있다(도 3 참조). 상기된 바와 같이, 기판은, 제2 CVD 처리 챔버(212)의 진공을 깨뜨림이 없이 제2 CVD 처리 챔버(212)로부터 제거되어 밸브(218)를 통해 로드-록(213) 내로 통과될 수 있다. 또한 상기된 바와 같이, 기판은, ALD 처리 챔버(216)의 진공을 깨뜨림이 없이 ALD 처리 챔버(216)로부터 제거되어 밸브(219)를 통해 로드-록(217) 내로 통과될 수 있다. 일단 로드-록(213 또는 217) 내에 있으면, 제2 CVD 처리 챔버(212) 또는 ALD 처리 챔버(216)의 진공을 깨뜨림이 없이 탐침의 계기들이 기판을 측정 및/또는 검사할 수 있다.Similarly, the second CVD processing chamber 212 may be connected with the load-lock 213 through the valve 218, and the ALD processing chamber 216 may be connected with the load-lock 217 through the valve 219. I can. Each of the load-locks 213 and 217 may have a mechanical arm with a recessed probe with one or more instruments that may be used to inspect and/or measure the substrate (see FIG. 3). As described above, the substrate can be removed from the second CVD processing chamber 212 without breaking the vacuum of the second CVD processing chamber 212 and passed through the valve 218 into the load-lock 213. . Also, as described above, the substrate may be removed from the ALD processing chamber 216 without breaking the vacuum of the ALD processing chamber 216 and passed through the valve 219 into the load-lock 217. Once within the load-lock 213 or 217, the probe's instruments can measure and/or inspect the substrate without breaking the vacuum in the second CVD processing chamber 212 or ALD processing chamber 216.

도 3은 본 개시내용의 양상들에 따른 예시적인 로드-록(300)의 개략적인 등각도를 예시한다. 로드-록(300)은, 도 2에 도시된 로드-록들(211, 213, 및 217)의 예일 수 있다. 매입형 탐침(304)을 갖는 기계적 암(302)이 로드-록 포트(308)를 통해 기판(306)에 접근할 수 있다. 기판(306)은 로드-록 내의 기판 지지부(310)(예컨대, 기판 지지 블레이드 또는 페디스털) 상에 놓일 수 있다. 탐침은, 레이저 소스들 또는 다른 방출기들로부터 기판으로 전자기 방사선(예컨대, 적외선, 자외선, 가시 레이저 광, 밀리미터-파, 또는 x-선들)을 전달하기 위한 광섬유 또는 금속성 케이블들을 포함할 수 있다. 부가적으로 또는 대안적으로, 탐침은 하나 이상의 레이저 소스, 테라헤르츠 또는 밀리미터-파 송수신기 안테나, 및 x-선 방출기를 포함할 수 있다. 탐침은 또한, 하나 이상의 전하 결합 디바이스(CCD) 검출기, 수은 카드뮴 텔루라이드(MCT) 검출기, 인듐 갈륨 비소화물(InGaAs) 검출기, 팁-증강 라만 산란을 위한 팁을 갖는 기계적 탐침, 원자간력 현미경 탐침, 주사 터널링 현미경 탐침, x-선 검출기, 및/또는 기판을 측정 및/또는 검사하기 위한 다른 유형들의 계기를 포함할 수 있다. 로드-록(300)은 또한, 로드-록(300)으로부터 가스들(예컨대, 처리 챔버로부터 로드-록에 들어갈 수 있는 공정 유체들)을 진공배기하기 위한 하나 이상의 터보 진공 포트를 포함할 수 있다.3 illustrates a schematic isometric view of an exemplary load-lock 300 in accordance with aspects of the present disclosure. The load-lock 300 may be an example of the load-locks 211, 213, and 217 shown in FIG. 2. A mechanical arm 302 with a recessed probe 304 can access the substrate 306 through a load-lock port 308. The substrate 306 may rest on a substrate support 310 (eg, a substrate support blade or pedestal) in a load-lock. The probe may comprise fiber optics or metallic cables to deliver electromagnetic radiation (eg, infrared, ultraviolet, visible laser light, millimeter-wave, or x-rays) from laser sources or other emitters to the substrate. Additionally or alternatively, the probe may include one or more laser sources, a terahertz or millimeter-wave transceiver antenna, and an x-ray emitter. The probe may also include one or more charge-coupled device (CCD) detectors, mercury cadmium telluride (MCT) detectors, indium gallium arsenide (InGaAs) detectors, mechanical probes with tips for tip-enhanced Raman scattering, atomic force microscopy probes. , Scanning tunneling microscope probes, x-ray detectors, and/or other types of instruments for measuring and/or inspecting the substrate. The load-lock 300 may also include one or more turbo vacuum ports for evacuating gases from the load-lock 300 (e.g., process fluids that may enter the load-lock from the processing chamber). .

기계적 암(302)이 탐침(304)을 기판과 매우 근접하게 이동시킬 수 있기 때문에, 근접장 및 원거리장 검사 기법들 둘 모두가 로드-록(300) 내에서 수행되기에 적합하다.Because the mechanical arm 302 can move the probe 304 very close to the substrate, both near-field and far-field inspection techniques are suitable to be performed within the load-lock 300.

본 개시내용의 양상들에 따르면, 탐침(304)은, 탐침(예컨대, 광섬유 가닥들)의 물질들로부터의 가스방출로부터 기판이 오염되는 것을 방지하기 위해, 진공에 노출될 때 제한된 가스방출을 겪는 물질(예컨대, 석영)에 매입될 수 있다. 기판과 매우 근접하게 있거나 접촉할 것을 요구하는 계기들(예컨대, 팁-증강 라만 산란을 위한 기계적 탐침 팁, 원자간력 현미경, 또는 주사 터널링 현미경)은 진공에 노출될 때 제한된 가스방출을 겪는 물질에 매입되지 않을 수 있다. 대신, 기판과 매우 근접하게 있거나 접촉할 것을 요구하는 계기들은, 진공에 노출될 때 제한된 가스방출을 겪는 물질들(예컨대, 강철)로 구성될 수 있다.According to aspects of the present disclosure, the probe 304 undergoes limited outgassing when exposed to a vacuum to prevent contamination of the substrate from outgassing from the materials of the probe (e.g., fiber optic strands). It may be embedded in a material (eg, quartz). Instruments that require very close or contact with the substrate (e.g., mechanical probe tips for tip-enhanced Raman scattering, atomic force microscopy, or scanning tunneling microscopy) are used in materials that experience limited outgassing when exposed to vacuum. May not be purchased. Instead, instruments that require close proximity or contact with the substrate may be made of materials (eg, steel) that undergo limited outgassing when exposed to a vacuum.

도 4는 본 개시내용의 양상들에 따른, 복수의 뷰 포트들(402 및 404)을 갖는 처리 챔버(400)(예컨대, ALD 챔버)의 개략적인 등각도를 예시한다. 뷰 포트들은 석영 또는 전자기 방사선(424 및 426)(예컨대, 적외선 광, 자외선 광, 가시 광, x-선들, 및/또는 밀리미터-파 방사선)에 반투명한 다른 물질들로 만들어질 수 있다. 제1 뷰 포트(402)는, 전자기 방사선에 의한 기판(406)의 조명이 큰 스침각(즉, 기판의 상부 표면에 대한 수직으로부터 측정된 각도)으로 발생할 수 있게 하도록 위치될 수 있다. 제2 뷰 포트(404)는, 검출기들(430)이 큰 스침각과 유사한 각도로 기판으로부터 산란된 전자기 방사선(432)을 수신 및/또는 검출할 수 있게 하도록 위치될 수 있다. 처리 챔버(400)는, 도 1a 및 도 1b에 도시된 처리 챔버(100)를 나타낼 수 있다. 처리 챔버는 하나 이상의 공정 유체 유입구(474)를 통해 공정 유체 공급부(472)와 연결될 수 있고, 진공 펌프(480)에 연결되는 공정 유체 배출구(478)를 포함할 수 있다. 기판(406)은 로드-록 내의 기판 지지부(410)(예컨대, 기판 지지 블레이드 또는 페디스털) 상에 놓일 수 있다. 기판 지지부(410)는, 처리 챔버의 성능을 위해 바람직한 경우 가열될 수 있다.4 illustrates a schematic isometric view of a processing chamber 400 (eg, an ALD chamber) having a plurality of view ports 402 and 404, in accordance with aspects of the present disclosure. The view ports may be made of quartz or other materials that are translucent to electromagnetic radiation 424 and 426 (eg, infrared light, ultraviolet light, visible light, x-rays, and/or millimeter-wave radiation). The first view port 402 may be positioned to allow illumination of the substrate 406 by electromagnetic radiation to occur at a large grazing angle (ie, an angle measured from perpendicular to the upper surface of the substrate). The second view port 404 may be positioned to enable the detectors 430 to receive and/or detect the electromagnetic radiation 432 scattered from the substrate at an angle similar to a large grazing angle. The processing chamber 400 may represent the processing chamber 100 shown in FIGS. 1A and 1B. The processing chamber may be connected to the process fluid supply unit 472 through one or more process fluid inlets 474, and may include a process fluid outlet 478 connected to the vacuum pump 480. The substrate 406 may rest on a substrate support 410 (eg, a substrate support blade or pedestal) within a load-lock. The substrate support 410 may be heated if desired for the performance of the processing chamber.

하나 이상의 레이저(예컨대, 적외선, 자외선, 가시 스펙트럼, 또는 x-선 레이저들)(420, 422) 또는 다른 전자기 방사선 빔(424, 426) 방출기들이 뷰 포트(402)를 통해 기판(406)을 조명할 수 있다. 예시된 바와 같이, 레이저들은, 800 나노미터(nm)의 파장을 갖는 펨토초-피코초(fs-ps) 펄스형 가시 레이저, 및 1-4 마이크로미터(㎛) 범위의 파장을 갖는 fs-ps 펄스형 중간-적외선(중간-IR) 레이저를 포함할 수 있지만, 본 개시내용은 그렇게 제한되지 않으며, 다른 파장들의 방출기들이 사용될 수 있다. 레이저들 및 다른 방출기들은, 방출기들에 의해 방출되는 전자기 방사선이 일관된 각도로 기판을 조명하도록 로드-록에 장착될 수 있다. 레이저들 및 다른 방출기들의 장착부들은, 기판의 측정 및 검사 동안 제어된 재현가능한 방식으로 방사선이 기판의 표면에 걸쳐 래스터링하게 하도록 하나 이상의 액추에이터(도시되지 않음)를 이용하여 이동될 수 있다. 하나 이상의 거울(442A 및 442B), 반파장 판(444A 및 444B), 편광기(446A 및 446B), 및 렌즈(예컨대, 집속 렌즈)(448A 및 448B)가 액추에이터들(도시되지 않음)에 의해 이동되어 방사선이 기판의 표면에 걸쳐 래스터링하게 할 수 있다. 부가적으로 또는 대안적으로, 방출기들로부터의 전자기 방사선은 광섬유 케이블들 또는 다른 도관들에 의해 지향될 수 있으며, 케이블들 및/또는 도관들은 방사선이 기판의 표면에 걸쳐 래스터링하게 하도록 액추에이터(들)에 의해 이동된다.One or more lasers (e.g., infrared, ultraviolet, visible spectrum, or x-ray lasers) 420, 422 or other electromagnetic radiation beam 424, 426 emitters illuminate the substrate 406 through the view port 402 can do. As illustrated, the lasers are femtosecond-picosecond (fs-ps) pulsed visible lasers with a wavelength of 800 nanometers (nm), and fs-ps pulses with a wavelength in the range of 1-4 micrometers (μm). Type mid-infrared (mid-IR) lasers may be included, but the present disclosure is not so limited, and emitters of other wavelengths may be used. Lasers and other emitters can be mounted on the load-lock so that the electromagnetic radiation emitted by the emitters illuminates the substrate at a consistent angle. Mounts of lasers and other emitters can be moved using one or more actuators (not shown) to cause the radiation to raster across the surface of the substrate in a controlled and reproducible manner during measurement and inspection of the substrate. One or more mirrors 442A and 442B, half-wave plates 444A and 444B, polarizers 446A and 446B, and lenses (e.g., focusing lenses) 448A and 448B are moved by actuators (not shown). It can cause radiation to raster across the surface of the substrate. Additionally or alternatively, electromagnetic radiation from the emitters can be directed by fiber optic cables or other conduits, and the cables and/or conduits are actuator(s) to cause the radiation to raster across the surface of the substrate. ).

기판의 조명의 결과로서 기판으로부터 산란된(예컨대, 반사된 또는 굴절된) 전자기 방사선(432)은 뷰 포트(404)를 통해 처리 챔버(400)를 빠져나갈 수 있다. 하나 이상의 애퍼쳐(450), 시준기(452), 편광기(454), 거울(456), 필터(458), 및 렌즈(460)는 전자기 방사선(432)을 하나 이상의 전하 결합 디바이스(CCD) 검출기(430), 수은 카드뮴 텔루라이드(MCT) 검출기, 인듐 갈륨 비소화물(InGaAs) 검출기, 분광계, 및 기판을 측정 및/또는 검사하기 위한 다른 유형들의 계기로 지향시킬 수 있다. CCD 검출기들, MCT 검출기들, InGaAs 검출기들, 분광계들, 및 다른 계기들은 뷰 포트(404)를 빠져나가는 전자기 방사선(432)을 검출 및/또는 측정하여 기판에 관한 측정들 및 다른 데이터를 결정할 수 있다. 검출기들 또는 다른 계기들은, 기판으로부터 산란된 전자기 방사선이 일관된 각도로 측정 또는 검출되도록 로드-록에 장착될 수 있다. 검출기들 및 다른 계기들의 장착부들은, 기판의 측정 및 검사 동안 방출기들이 기판에 걸쳐 래스터링되는 것에 대한 응답으로 기판으로부터 산란된 방사선을 검출기들 및 다른 계기들이 수신하게 하도록 하나 이상의 액추에이터(도시되지 않음)를 이용하여 이동될 수 있다. 부가적으로 또는 대안적으로, 애퍼쳐들(450), 시준기들(452), 편광기들(454), 거울들(456), 필터들(458), 및 렌즈들(460)은 전자기 방사선(432)을 검출기들 및/또는 계기들로 지향시키도록 액추에이터들을 통해 이동될 수 있다.Electromagnetic radiation 432 scattered (eg, reflected or refracted) from the substrate as a result of illumination of the substrate may exit the processing chamber 400 through the view port 404. One or more apertures 450, collimators 452, polarizers 454, mirrors 456, filters 458, and lenses 460 transmit electromagnetic radiation 432 to one or more charge coupled device (CCD) detectors ( 430), mercury cadmium telluride (MCT) detectors, indium gallium arsenide (InGaAs) detectors, spectrometers, and other types of instruments for measuring and/or inspecting substrates. CCD detectors, MCT detectors, InGaAs detectors, spectrometers, and other instruments may detect and/or measure electromagnetic radiation 432 exiting view port 404 to determine measurements and other data relating to the substrate. have. Detectors or other instruments may be mounted on the load-lock so that the electromagnetic radiation scattered from the substrate is measured or detected at a consistent angle. Mounts of detectors and other instruments may include one or more actuators (not shown) to allow the detectors and other instruments to receive radiation scattered from the substrate in response to the emitters being rastered across the substrate during measurement and inspection of the substrate. It can be moved using. Additionally or alternatively, the apertures 450, collimators 452, polarizers 454, mirrors 456, filters 458, and lenses 460 are electromagnetic radiation 432 Can be moved through the actuators to direct the detectors and/or instruments.

기판 지지부(410)는, 기판의 측정 및 검사의 일부로서 처리 챔버 내에서 이동할 수 있다. 예컨대, 기판 지지부(410)는, 뷰 포트(402)를 통해 들어가는 하나 이상의 빔(424, 426)이 기판의 표면에 걸쳐 주사(예컨대, 래스터링)되도록 처리 챔버(400) 내에서 기판을 이동시킬 수 있다. 부가적으로 또는 대안적으로, 방출기들로부터의 빔들을 기판의 표면에 걸쳐 주사하기 위해 주사 갈바노 거울이 사용될 수 있다. 갈바노 거울은 처리 챔버(400) 내에 배치되거나 처리 챔버(400) 밖에 위치될 수 있다.The substrate support 410 may move within the processing chamber as part of the measurement and inspection of the substrate. For example, the substrate support 410 may move the substrate within the processing chamber 400 such that one or more beams 424, 426 entering through the view port 402 are scanned (e.g., rastered) across the surface of the substrate. I can. Additionally or alternatively, a scanning galvano mirror can be used to scan beams from the emitters across the surface of the substrate. The galvano mirror may be disposed within the processing chamber 400 or may be located outside the processing chamber 400.

도 4에 도시된 실시예가 기판(406)의 상부 표면을 주사하는 빔들을 도시하지만, 본 개시내용은 그렇게 제한되지 않는다. 본 개시내용의 양상들에 따르면, 기판 지지부(410)는 절단 부분을 갖거나 빔들에 반투명(예컨대, 프리즘)할 수 있으며, 뷰 포트들(402 및 404)은 빔들이 기판의 하부 표면을 주사하게 할 수 있도록 배열될 수 있다.Although the embodiment shown in FIG. 4 shows beams scanning the upper surface of the substrate 406, the present disclosure is not so limited. In accordance with aspects of the present disclosure, substrate support 410 may have a cut portion or be translucent (e.g., prism) to the beams, and view ports 402 and 404 allow the beams to scan the lower surface of the substrate. Can be arranged to do so.

본 개시내용의 양상들에 따르면, 제2 고조파 생성(SHG) 및 합 주파수 생성(SFG) 분광법이 사용되어, 처리된 표면들, 이를테면, ALD, CVD, PECVD, PVD, 유전체 증착, 중합체 층 증착, 및 SRP를 통해 증착된 표면들을 모니터링할 수 있다. SFG 분광법은 물질의 2차 분자 초편극율(hyperpolarizability)을 탐침하며, 이는, 비-중심대칭 매질에서 어느 모드들이 활성인지를 표시한다. SFG 및 SHG는 2차 비선형 광학 프로세스들이며, 여기서, 2개의 착신 광자가 매질 표면 또는 계면에서 공간적 및 시간적으로 중첩될 때 서로 그리고 표면과 상호작용하여 2개의 착신 광자의 주파수들의 합으로의 주파수를 갖는 1개의 광자를 생성한다. 두 착신 광자가 동일한 소스(그리고 그에 따라, 동일한 주파수)에 기인할 때, 결과적인 프로세스는 제2 고조파 생성(SHG)으로 지칭된다. 두 착신 광자가 상이한 주파수들을 가질 때, 결과적인 광학 프로세스는 합 주파수 생성(SFG)으로 지칭된다. 이러한 2차 광학 프로세스들은 광자 에너지들 및 운동량의 보존을 따른다. 광자 운동량의 보존은 프로세스들을 고도로 지향성이게 만들고, 그에 따라, SFG 또는 SHG 광자들은 착신 광자들로부터 또는 다른 비-선형 광학 프로세스들로부터의 다른 광자들로부터 공간적으로 분리될 수 있다. SFG 및 SHG는 또한, 2차 초편극율들이 비-중심대칭 매질에서만, 예를 들면, 계면, 표면, 또는 심지어 대칭 중심을 소유하지 않은 분자들에 대해서만 활성이기 때문에, 고도의 표면 민감성 프로세스들이다(예컨대, 네이처지(Nature) 337(6207): pp. 519-525, 1989 참조). 예컨대, SFG 분광법은, 아래에서 도 5를 참조하여 예시된 바와 같이, 백금-수소 결합과 연관된 특정 파수의 강도를 측정함으로써 백금 상의 수소(H2)의 원자 층 증착을 모니터링하는 데 사용될 수 있다. SFG 분광법은 또한, AlOx 결합과 연관된 특정 파수의 강도를 측정함으로써 실리콘 기판 상의 산화알루미늄/산화규소(AlOx/SiOx)의 원자 층 증착을 모니터링하는 데 사용될 수 있다(예컨대, https://doi.org/10.1116.4993597에서 이용가능한 E. 케셀스(E. Kessels) 등의 진공과학기술지(Journal of Vacuum Science & Technology) A 35, 05C313 (2017) 참조).In accordance with aspects of the present disclosure, second harmonic generation (SHG) and sum frequency generation (SFG) spectroscopy are used to treat treated surfaces such as ALD, CVD, PECVD, PVD, dielectric deposition, polymer layer deposition, And it is possible to monitor the deposited surfaces through the SRP. SFG spectroscopy probes the secondary molecular hyperpolarizability of a material, which indicates which modes are active in a non-centrosymmetric medium. SFG and SHG are secondary nonlinear optical processes, wherein when two incoming photons are spatially and temporally superimposed at a medium surface or interface, they interact with each other and with the surface to have a frequency as the sum of the frequencies of the two incoming photons. Generates 1 photon. When the two incoming photons are due to the same source (and hence the same frequency), the resulting process is referred to as second harmonic generation (SHG). When two incoming photons have different frequencies, the resulting optical process is referred to as sum frequency generation (SFG). These secondary optical processes follow the conservation of photon energies and momentum. The conservation of photon momentum makes the processes highly directional, so that SFG or SHG photons can be spatially separated from incoming photons or from other photons from other non-linear optical processes. SFG and SHG are also highly surface sensitive processes, as the second order superpolarizations are only active in non-centrosymmetric media, e.g., interfaces, surfaces, or even molecules that do not possess a center of symmetry ( See, for example, Nature 337 (6207): pp. 519-525, 1989). For example, SFG spectroscopy can be used to monitor atomic layer deposition of hydrogen (H 2 ) on platinum by measuring the intensity of a specific wavenumber associated with platinum-hydrogen bonds, as illustrated below with reference to FIG. 5. SFG spectroscopy can also be used to monitor atomic layer deposition of aluminum oxide/silicon oxide (AlO x /SiO x ) on a silicon substrate by measuring the strength of a specific wavenumber associated with AlO x bonds (e.g. https:// See E. Kessels et al. Journal of Vacuum Science & Technology A 35, 05C313 (2017) available at doi.org/10.1116.4993597).

도 5는, 백금을 상이한 수소 유량들에 노출시키고 백금의 표면의 합 주파수 생성 측정을 취한, ALD 공정에서의 백금 상의 수소의 원자 층 증착의 모니터링을 도시하는 곡선들을 예시하는 그래프(500)이다. 곡선(510)은, 가장 높은 유량의 수소에 대한 백금의 노출 후의 (cm-1 단위로 측정된) 파수들의 세트에 대한 (s-1 단위로 측정된) SFG의 강도들의 세트를 도시한다. 가장 높은 유량의 수소에 대한 백금의 노출 후에, SFG 분광법은, 지점(512)에서 도시된 바와 같이, 2020 cm-1 파수의 비교적 높은 강도(즉, 1.1 초과)를 나타낸다. 더 낮은 유량의 수소에 대한 백금의 노출 후에, SFG 분광법은, 지점(514)에서 도시된 바와 같이, 2020 cm-1 파수의 더 낮은 강도(즉, 대략적으로 0.95)를 나타낸다. 연속적으로 더 낮은 유량의 수소에 대한 백금의 제3, 제4, 제5, 및 제6 노출들 각각 후에, SFG 분광법은, 지점들(516, 518, 및 520)에서 도시된 바와 같이, 2020 cm-1 파수의 한층 더 낮은 강도들(즉, 0.90 미만)을 나타낸다. 가장 낮은 유량의 수소에 대한 백금의 노출 후에, SFG 분광법은, 지점(522)에서 도시된 바와 같이, 2020 cm-1 파수의 가장 낮은 강도(즉, 0.38)를 나타낸다.5 is a graph 500 illustrating curves illustrating monitoring of atomic layer deposition of hydrogen on platinum in an ALD process, exposing platinum to different hydrogen flow rates and taking a measurement of the sum frequency generation of the surface of the platinum. Curve 510 shows the set of intensities of the SFG (measured in s -1 units) for the set of wave numbers (measured in cm -1 units) after exposure of platinum to the highest flow rate of hydrogen. After exposure of platinum to the highest flow rate of hydrogen, SFG spectroscopy shows a relatively high intensity (i.e., greater than 1.1) of the 2020 cm -1 wavenumber, as shown at point 512. After exposure of platinum to a lower flow rate of hydrogen, SFG spectroscopy shows a lower intensity of the 2020 cm −1 wavenumber (ie, approximately 0.95), as shown at point 514. After each of the third, fourth, fifth, and sixth exposures of platinum to successively lower flow rates of hydrogen, the SFG spectroscopy, as shown at points 516, 518, and 520, is 2020 cm Represent even lower intensities of -1 wavenumber (ie less than 0.90). After exposure of platinum to the lowest flow rate of hydrogen, SFG spectroscopy shows the lowest intensity (i.e., 0.38) of the 2020 cm −1 wavenumber, as shown at point 522.

본 개시내용의 양상들에 따르면, SFG 분광법의 기법은 표면들 및 계면들에 매우 특정적이고, 따라서, SFG 분광법으로부터의 데이터의 분석은 전형적으로, 측정된 신호로부터의 배경 신호들의 제거(subtraction)를 요구하지 않는다.According to aspects of the present disclosure, the technique of SFG spectroscopy is very specific to surfaces and interfaces, and therefore, analysis of data from SFG spectroscopy typically involves the subtraction of background signals from the measured signal. Do not require

도 6은 본 개시내용의 양상들에 따른, ALD 처리 동안 기판(670)(예컨대, 백금)을 모니터링하도록 구성(예컨대, ACS 카탈리시스(ACS Catalysis), 2014, 4 (6), pp. 1964-1971 참조)되는 예시적인 SFG 분광법 시스템(600)의 개략도이다. 예시적인 ALD 처리 챔버(680)에서, 수소가 위치(682)에서 챔버 내로 그리고 기판 위로 유동하며, 이는, 수소를 촉매하여 해리시키고 기판 상에 층을 형성한다. 질량 분광계(MS)는, 기판 상에 증착되는 수소의 양에 대한 데이터를 수집하기 위해 챔버를 벗어나는 가스들을 모니터링한다. 가열 막대들(684) 및 피스톤(686)은 ALD 챔버 내의 온도 및 압력을 제어한다. 예시적인 SFG 분광법 시스템에서, 조정가능한 레이저 시스템(즉, 하나 이상의 전자기 방사선 방출기(들))(602)은, 적외선 범위(즉, 1 내지 9 마이크로미터, 이를테면, 4 내지 7 마이크로미터 또는 5 내지 6 마이크로미터)의 파장을 갖는 제1 펄스의 레이저 광(604), 및 가시 범위(즉, 520 내지 900 나노미터, 이를테면, 600 내지 900 나노미터, 750 내지 850 나노미터, 또는 800 나노미터)의 파장을 갖는 제2 펄스의 레이저 광(606)을 생성한다. 제1 펄스의 레이저 광은 이어서, 제1 펄스의 주파수를 원하는 주파수로 정밀 조정하는 다양한 필터들(608)을 통과한다. 제1 펄스는 이어서, 처리 챔버 내로 제1 뷰 포트(652)에 들어가도록 렌즈(610)에 의해 조준된다. 제2 펄스는, 제2 펄스의 주파수를 정밀 조정하기 위해 필터들(616)을 통과한다. 렌즈(620)는, 처리 챔버 내로 제1 뷰 포트(652)를 통과하도록 제2 펄스를 조준한다. 제1 펄스 및 제2 펄스는 또한 기판(670)을 조명하기 위한 프리즘(612)을 통해 조준될 수 있다. 제1 펄스 및 제2 펄스는 이들이 기판을 조명할 때 상호작용하여 제2 고조파 펄스(630)를 생성한다. 제2 고조파 펄스는, 제2 뷰 포트(654)를 통해 처리 챔버를 빠져나가도록 프리즘(612)을 통해 조준될 수 있다. 렌즈(640) 및 필터(642)는 제2 고조파 펄스를 조준하고 제1 펄스 및 제2 펄스의 반사들을 필터링하여 제거할 수 있으며, 이에 따라, 광전자 증배관 튜브(PMT)(632)가 제2 고조파 펄스를 수집할 수 있다. PMT는 제2 고조파 펄스에 관한 정보를 박스카 적분기(634)에 공급한다. 마지막으로, 박스카 적분기는 해석을 위해 컴퓨터(636)에 신호를 공급한다.6 is configured to monitor a substrate 670 (eg, platinum) during ALD processing (eg, ACS Catalysis, 2014, 4 (6), pp. 1964), in accordance with aspects of the present disclosure. -1971) is a schematic diagram of an exemplary SFG spectroscopy system 600. In the exemplary ALD processing chamber 680, hydrogen flows into the chamber and over the substrate at location 682, which catalyzes the hydrogen to dissociate and forms a layer on the substrate. A mass spectrometer (MS) monitors the gases leaving the chamber to collect data on the amount of hydrogen deposited on the substrate. Heating rods 684 and piston 686 control the temperature and pressure in the ALD chamber. In the exemplary SFG spectroscopy system, the adjustable laser system (i.e., one or more electromagnetic radiation emitter(s)) 602 has an infrared range (i.e., 1 to 9 micrometers, such as 4 to 7 micrometers or 5 to 6 micrometers). A first pulse of laser light 604 having a wavelength of micrometers), and a wavelength in the visible range (i.e., 520 to 900 nanometers, such as 600 to 900 nanometers, 750 to 850 nanometers, or 800 nanometers) It generates a second pulse of laser light 606 with. The first pulse of laser light then passes through various filters 608 that fine tune the frequency of the first pulse to a desired frequency. The first pulse is then aimed by the lens 610 to enter the first view port 652 into the processing chamber. The second pulse passes through filters 616 to fine tune the frequency of the second pulse. Lens 620 aims the second pulse to pass through first view port 652 into the processing chamber. The first pulse and the second pulse may also be aimed through the prism 612 to illuminate the substrate 670. The first pulse and the second pulse interact as they illuminate the substrate to generate a second harmonic pulse 630. The second harmonic pulse can be aimed through prism 612 to exit the processing chamber through second view port 654. The lens 640 and the filter 642 may aim at the second harmonic pulse and filter the reflections of the first pulse and the second pulse to remove it. Accordingly, the photomultiplier tube (PMT) 632 Harmonic pulses can be collected. The PMT supplies information about the second harmonic pulse to the boxcar integrator 634. Finally, the boxcar integrator supplies a signal to the computer 636 for analysis.

본 개시내용의 양상들에 따르면, 제1 뷰 포트(652) 및 제2 뷰 포트(654)는 마그네슘 플루오라이드(MgF2) 또는 칼슘 플루오라이드(CaF2)로 형성될 수 있는데, 그 이유는, 그 물질들이 적외선 범위의 파장을 갖는 제1 펄스 및 가시 범위의 파장을 갖는 제2 펄스 둘 모두의 통과를 허용하기 때문이다.According to aspects of the present disclosure, the first view port 652 and the second view port 654 may be formed of magnesium fluoride (MgF 2 ) or calcium fluoride (CaF 2 ), because: This is because the materials allow passage of both a first pulse having a wavelength in the infrared range and a second pulse having a wavelength in the visible range.

도 7은 본 개시내용의 양상들에 따른 예시적인 기판 처리 블레이드(700)의 개략도이다. 예시적인 기판 처리 블레이드는, 기판 지지 블레이드(702) 및 계기 지지 암(704)을 포함할 수 있다. 계기 지지 암은 레이저 소스(706)(예컨대, 하나 이상의 전자기 방사선 방출기, 레이저, 또는 다른 레이저 광 소스, 이를테면, 원격 레이저로부터 레이저 광을 전달하는 광섬유 케이블) 및 분광계(708)를 지지할 수 있다. 도 6에 예시된 바와 같이, 레이저 소스는 상이한 파장들을 갖는 2개의 펄스의 레이저 광(710, 712)을 전달할 수 있다. 도 6에 도시된 바와 같이, 레이저 소스는, 레이저 광 펄스들을 기판 처리 블레이드 상의 기판에 조준하기 위해 하나 이상의 거울, 필터, 에탈론, 및 렌즈를 포함할 수 있다. 분광계는 또한, 제1 펄스 및 제2 펄스의 반사들(720 및 722)을 차단하고 또한 제2 고조파 펄스(724)를 분광계 내의 검출기에 조준하기 위해 하나 이상의 조리개, 필터, 렌즈, 및 편광기를 포함할 수 있다.7 is a schematic diagram of an exemplary substrate processing blade 700 in accordance with aspects of the present disclosure. An exemplary substrate processing blade may include a substrate support blade 702 and an instrument support arm 704. The instrument support arm may support a laser source 706 (eg, one or more electromagnetic radiation emitters, lasers, or other laser light sources, such as a fiber optic cable that delivers laser light from a remote laser) and a spectrometer 708. As illustrated in FIG. 6, the laser source can deliver two pulses of laser light 710 and 712 having different wavelengths. As shown in FIG. 6, the laser source may include one or more mirrors, filters, etalons, and lenses to aim laser light pulses onto a substrate on a substrate processing blade. The spectrometer also includes one or more apertures, filters, lenses, and polarizers to block reflections 720 and 722 of the first and second pulses and also aim the second harmonic pulse 724 at the detector in the spectrometer. can do.

본 개시내용의 양상들에 따르면, 계기 지지 암(704) 및 기판 처리 블레이드(702)는 처리 챔버(예컨대, 도 1에 도시된 처리 챔버(100)) 내로 함께 이동할 수 있다. 부가적으로 또는 대안적으로, 계기 지지 암은, 기판 처리 블레이드가 처리 챔버에 들어갈 때 기판 처리 블레이드와 독립적으로 이동할 수 있다(예컨대, 떨어져서 회전될 수 있음).In accordance with aspects of the present disclosure, instrument support arm 704 and substrate processing blade 702 may move together into a processing chamber (eg, processing chamber 100 shown in FIG. 1 ). Additionally or alternatively, the instrument support arm can move independently of the substrate processing blade as it enters the processing chamber (eg, can rotate away).

본 개시내용의 양상들에서, 계기 지지 암(704) 상의 계기들, 이를테면, 레이저 소스(706) 및/또는 분광계(708)는, 기판 처리 블레이드가 이송 챔버(예컨대, 도 2에 도시된 이송 챔버(206)) 내에 있는 동안 기판 처리 블레이드에 의해 지지되는 기판의 모니터링을 수행할 수 있어서, 처리 시스템에서 진공이 깨지는 것을 요구함이 없이 기판의 모니터링 및/또는 검사가 허용된다.In aspects of the present disclosure, the instruments on the instrument support arm 704, such as the laser source 706 and/or the spectrometer 708, are configured such that the substrate processing blade is a transfer chamber (e.g., the transfer chamber shown in FIG. (206)), monitoring of the substrate supported by the substrate processing blade can be performed, allowing monitoring and/or inspection of the substrate without requiring a vacuum break in the processing system.

본 개시내용의 양상들에 따르면, 분광계는 상보형 금속 산화물 반도체(CMOS) 분광계 또는 광자 결정 섬유(PCF; photonic crystal fiber) 기반 분광계일 수 있다.According to aspects of the present disclosure, the spectrometer may be a complementary metal oxide semiconductor (CMOS) spectrometer or a photonic crystal fiber (PCF) based spectrometer.

전술한 논의의 더 양호한 이해를 제공하기 위해, 위의 비-제한적인 예들이 제공되었다. 예들은 특정 실시예들에 관한 것일 수 있지만, 예들은 임의의 특정 관점으로 본 개시내용을 제한하는 것으로 해석되어서는 안 된다.In order to provide a better understanding of the above discussion, the above non-limiting examples have been provided. Examples may relate to specific embodiments, but the examples should not be construed as limiting the disclosure to any particular aspect.

전술한 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.While the foregoing is directed to embodiments of the present disclosure, other and additional embodiments of the present disclosure may be devised without departing from the basic scope of the present disclosure, and the scope of the present disclosure is limited to the following claims. Is determined by

Claims (15)

기판을 처리하기 위한 장치로서,
제1 뷰 포트 및 제2 뷰 포트를 갖는 처리 챔버 몸체;
상기 처리 챔버 몸체 내의 기판 지지부;
상기 제1 뷰 포트를 통해 상기 기판 지지부 상의 기판을 조명하도록 동작가능한 전자기 방사선 방출기; 및
상기 제2 뷰 포트를 통해 상기 기판으로부터 산란된 전자기 방사선을 검출하도록 동작가능한 검출기를 포함하는, 기판을 처리하기 위한 장치.
An apparatus for processing a substrate,
A processing chamber body having a first view port and a second view port;
A substrate support in the processing chamber body;
An electromagnetic radiation emitter operable to illuminate a substrate on the substrate support through the first view port; And
And a detector operable to detect electromagnetic radiation scattered from the substrate through the second view port.
제1항에 있어서,
상기 기판 지지부는, 상기 전자기 방사선 방출기로부터의 빔이 상기 기판의 표면에 걸쳐 주사되게 하도록 상기 기판을 이동시키게 동작가능한, 기판을 처리하기 위한 장치.
The method of claim 1,
The substrate support is operable to move the substrate such that a beam from the electromagnetic radiation emitter is scanned across the surface of the substrate.
제1항에 있어서,
상기 전자기 방사선 방출기로부터의 빔을 상기 기판의 표면 상으로 지향시키도록 동작가능한 갈바노 거울을 더 포함하는, 기판을 처리하기 위한 장치.
The method of claim 1,
An apparatus for processing a substrate, further comprising a galvano mirror operable to direct a beam from the electromagnetic radiation emitter onto the surface of the substrate.
제1항에 있어서,
상기 전자기 방사선 방출기는, 제1 파장을 갖는 제1 펄스의 레이저 광을 생성하도록 동작가능한 제1 레이저 소스, 및 제2 파장을 갖는 제2 펄스의 레이저 광을 생성하도록 동작가능한 제2 레이저 소스를 포함하는, 기판을 처리하기 위한 장치.
The method of claim 1,
The electromagnetic radiation emitter comprises a first laser source operable to generate a first pulse of laser light having a first wavelength, and a second laser source operable to generate a second pulse of laser light having a second wavelength. An apparatus for processing a substrate.
제4항에 있어서,
상기 제1 파장은 1 마이크로미터 내지 4 마이크로미터이고,
상기 제2 파장은 750 나노미터 내지 850 나노미터인, 기판을 처리하기 위한 장치.
The method of claim 4,
The first wavelength is 1 micrometer to 4 micrometer,
The second wavelength is 750 nanometers to 850 nanometers, an apparatus for processing a substrate.
제4항에 있어서,
상기 검출기는, 상기 제1 펄스, 상기 제2 펄스, 및 상기 기판 사이의 상호작용에 의해 야기되는 합 주파수 생성(SFG; sum frequency generation) 펄스의 강도를 측정하도록 동작가능한, 기판을 처리하기 위한 장치.
The method of claim 4,
The detector is operable to measure the intensity of a sum frequency generation (SFG) pulse caused by an interaction between the first pulse, the second pulse, and the substrate. .
기판을 처리하기 위한 시스템으로서,
제1 슬릿 밸브 개구 및 제2 슬릿 밸브 개구를 갖는 처리 챔버 ― 상기 제1 슬릿 밸브 개구는 상기 제1 슬릿 밸브 개구를 통한 기판의 통과를 허용하도록 구성되고, 상기 제2 슬릿 밸브 개구는 상기 제2 슬릿 밸브 개구를 통한 상기 기판의 통과를 허용하도록 구성됨 ―;
상기 처리 챔버의 상기 제1 슬릿 밸브 개구를 개방 및 폐쇄하도록 동작가능한 제1 슬릿 밸브 ― 상기 제1 슬릿 밸브는 폐쇄될 때 제1 기밀 밀봉을 만들도록 동작가능함 ―;
상기 처리 챔버의 상기 제2 슬릿 밸브 개구를 개방 및 폐쇄하도록 동작가능한 제2 슬릿 밸브 ― 상기 제2 슬릿 밸브는 폐쇄될 때 제2 기밀 밀봉을 만들도록 동작가능함 ―;
상기 처리 챔버의 상기 제2 슬릿 밸브 개구와 정렬되는 이송 슬릿 밸브 개구, 로드-록 포트, 및 기판 지지부를 갖는 로드-록; 및
매입형(encased) 탐침을 갖는 기계적 암을 포함하며,
상기 기계적 암은 상기 로드-록 포트를 통해 상기 로드-록의 내부에 접근하도록 동작가능하고,
상기 기계적 암은 상기 매입형 탐침 내의 계기를 상기 기판 지지부 상의 상기 기판과 근접하게 이동시키도록 동작가능하고,
상기 매입형 탐침은 상기 기판을 조명하기 위한 전자기 방사선을 방출하도록 동작가능한 방출기를 갖고,
상기 매입형 탐침은 상기 기판으로부터 산란된 전자기 방사선을 검출하도록 동작가능한 검출기를 갖는, 기판을 처리하기 위한 시스템.
As a system for processing a substrate,
A processing chamber having a first slit valve opening and a second slit valve opening, wherein the first slit valve opening is configured to allow passage of the substrate through the first slit valve opening, and the second slit valve opening is the second Configured to allow passage of the substrate through a slit valve opening;
A first slit valve operable to open and close the first slit valve opening of the processing chamber, the first slit valve operable to create a first hermetic seal when closed;
A second slit valve operable to open and close the second slit valve opening of the processing chamber, the second slit valve operable to create a second hermetic seal when closed;
A load-lock having a transfer slit valve opening aligned with the second slit valve opening of the processing chamber, a load-lock port, and a substrate support; And
Comprising a mechanical arm with an encased probe,
The mechanical arm is operable to access the interior of the load-lock through the load-lock port,
The mechanical arm is operable to move the instrument in the embedded probe in proximity to the substrate on the substrate support,
The embedded probe has an emitter operable to emit electromagnetic radiation to illuminate the substrate,
The system for processing a substrate, wherein the embedded probe has a detector operable to detect electromagnetic radiation scattered from the substrate.
제7항에 있어서,
기판 처리 블레이드를 갖는 기판 처리 로봇을 더 포함하며,
상기 기계적 암은 상기 기판 처리 로봇과 연결되고,
상기 기계적 암은 상기 매입형 탐침 내의 계기를 상기 기판 처리 블레이드 상의 상기 기판과 근접하게 이동시키도록 동작가능한, 기판을 처리하기 위한 시스템.
The method of claim 7,
Further comprising a substrate processing robot having a substrate processing blade,
The mechanical arm is connected to the substrate processing robot,
Wherein the mechanical arm is operable to move an instrument in the recessed probe proximate the substrate on the substrate processing blade.
제7항에 있어서,
상기 방출기는, 제1 파장을 갖는 제1 펄스의 레이저 광을 생성하도록 동작가능한 제1 레이저 소스, 및 제2 파장을 갖는 제2 펄스의 레이저 광을 생성하도록 동작가능한 제2 레이저 소스를 포함하는, 기판을 처리하기 위한 시스템.
The method of claim 7,
The emitter comprises a first laser source operable to generate a first pulse of laser light having a first wavelength, and a second laser source operable to generate a second pulse of laser light having a second wavelength, A system for processing a substrate.
제9항에 있어서,
상기 제1 파장은 1 마이크로미터 내지 4 마이크로미터이고,
상기 제2 파장은 750 나노미터 내지 850 나노미터인, 기판을 처리하기 위한 시스템.
The method of claim 9,
The first wavelength is 1 micrometer to 4 micrometer,
The second wavelength is 750 nanometers to 850 nanometers, the system for processing a substrate.
제9항에 있어서,
상기 검출기는, 상기 제1 펄스, 상기 제2 펄스, 및 상기 기판 지지부 상의 상기 기판 사이의 상호작용에 의해 야기되는 합 주파수 생성(SFG) 펄스의 강도를 측정하도록 동작가능한, 기판을 처리하기 위한 시스템.
The method of claim 9,
The detector is operable to measure the intensity of a sum frequency generation (SFG) pulse caused by an interaction between the first pulse, the second pulse, and the substrate on the substrate support. .
처리 시스템에서 기판을 측정하기 위한 장치로서,
상기 처리 시스템의 로드-록의 내부에 접근하도록 동작가능한 기계적 암;
상기 기계적 암 상의 매입형 탐침;
상기 매입형 탐침 내에 있고 상기 기판을 조명하기 위한 전자기 방사선을 방출하도록 동작가능한 방출기; 및
상기 매입형 탐침 내에 있고 상기 기판으로부터 산란된 전자기 방사선을 검출하도록 동작가능한 검출기를 포함하며, 상기 기계적 암은 상기 방출기 또는 상기 검출기 중 적어도 하나를 상기 기판과 근접하게 이동시키도록 동작가능한, 처리 시스템에서 기판을 측정하기 위한 장치.
An apparatus for measuring a substrate in a processing system, comprising:
A mechanical arm operable to access the interior of the load-lock of the processing system;
A buried probe on the mechanical arm;
An emitter in the recessed probe and operable to emit electromagnetic radiation to illuminate the substrate; And
And a detector operable to detect electromagnetic radiation scattered from the substrate and within the embedded probe, the mechanical arm operable to move at least one of the emitter or the detector proximate the substrate. An apparatus for measuring a substrate.
제12항에 있어서,
상기 방출기는, 제1 파장을 갖는 제1 펄스의 레이저 광을 생성하도록 동작가능한 제1 레이저 소스, 및 제2 파장을 갖는 제2 펄스의 레이저 광을 생성하도록 동작가능한 제2 레이저 소스를 포함하는, 처리 시스템에서 기판을 측정하기 위한 장치.
The method of claim 12,
The emitter comprises a first laser source operable to generate a first pulse of laser light having a first wavelength, and a second laser source operable to generate a second pulse of laser light having a second wavelength, An apparatus for measuring a substrate in a processing system.
제13항에 있어서,
상기 제1 파장은 1 마이크로미터 내지 4 마이크로미터이고,
상기 제2 파장은 750 나노미터 내지 850 나노미터인, 처리 시스템에서 기판을 측정하기 위한 장치.
The method of claim 13,
The first wavelength is 1 micrometer to 4 micrometer,
The second wavelength is 750 nanometers to 850 nanometers, an apparatus for measuring a substrate in a processing system.
제13항에 있어서,
상기 검출기는, 상기 제1 펄스, 상기 제2 펄스, 및 상기 기판 사이의 상호작용에 의해 야기되는 합 주파수 생성(SFG) 펄스의 강도를 측정하도록 동작가능한, 처리 시스템에서 기판을 측정하기 위한 장치.
The method of claim 13,
Wherein the detector is operable to measure an intensity of a sum frequency generation (SFG) pulse caused by an interaction between the first pulse, the second pulse, and the substrate.
KR1020207031569A 2018-04-02 2019-03-29 Inline Chamber Metrology KR102454199B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227034869A KR20220140045A (en) 2018-04-02 2019-03-29 Inline chamber metrology

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
IN201841012373 2018-04-02
IN201841012373 2018-04-02
US201962811202P 2019-02-27 2019-02-27
US62/811,202 2019-02-27
PCT/US2019/024823 WO2019195100A1 (en) 2018-04-02 2019-03-29 Inline chamber metrology

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227034869A Division KR20220140045A (en) 2018-04-02 2019-03-29 Inline chamber metrology

Publications (2)

Publication Number Publication Date
KR20200128192A true KR20200128192A (en) 2020-11-11
KR102454199B1 KR102454199B1 (en) 2022-10-14

Family

ID=68100175

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207031569A KR102454199B1 (en) 2018-04-02 2019-03-29 Inline Chamber Metrology
KR1020227034869A KR20220140045A (en) 2018-04-02 2019-03-29 Inline chamber metrology

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227034869A KR20220140045A (en) 2018-04-02 2019-03-29 Inline chamber metrology

Country Status (7)

Country Link
JP (2) JP7097458B2 (en)
KR (2) KR102454199B1 (en)
CN (1) CN112041977A (en)
DE (1) DE112019001752T5 (en)
GB (1) GB2587940B (en)
TW (2) TWI775689B (en)
WO (1) WO2019195100A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115602565B (en) * 2022-11-03 2023-06-23 江苏中芯沃达半导体科技有限公司 Semiconductor in-situ high-resolution visual on-line monitoring device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04340404A (en) * 1990-10-30 1992-11-26 Internatl Business Mach Corp <Ibm> Material processing method and material surface monitoring apparatus
JP2002340672A (en) * 2001-05-22 2002-11-27 Kanagawa Acad Of Sci & Technol Sum frequency generating spectroscopic device and method therefor
JP2004521323A (en) * 2001-03-27 2004-07-15 サラフスカイ,ジョシュア,エス. Method and apparatus for detecting probe-target interactions using surface selective nonlinear optical techniques
JP2007033743A (en) * 2005-07-26 2007-02-08 Seiko Epson Corp Substrate for electronic device, liquid crystal panel, and electronic apparatus
KR20140100527A (en) * 2011-12-07 2014-08-14 어플라이드 머티어리얼스, 인코포레이티드 Laser reflectometry for substrate processing
KR20170005015A (en) * 2014-04-17 2017-01-11 펨토매트릭스, 인코포레이티드. Wafer metrology technologies

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4652757A (en) * 1985-08-02 1987-03-24 At&T Technologies, Inc. Method and apparatus for optically determining defects in a semiconductor material
EP0706209A3 (en) * 1994-10-06 1996-12-27 Applied Materials Inc Sheet resistance measurement
KR970053234A (en) * 1995-12-20 1997-07-31 양승택 How to detect doping characteristics of compound semiconductor in real time
JPH09306849A (en) * 1996-05-17 1997-11-28 Furukawa Electric Co Ltd:The Vapor-phase growing apparatus
JPH1019790A (en) * 1996-07-02 1998-01-23 Hitachi Ltd Equipment for inspecting board in vacuum
KR100206940B1 (en) * 1996-09-21 1999-07-01 구본준 Sampling gas leakage checking system of semiconductor wafer component test equipment
US5922179A (en) * 1996-12-20 1999-07-13 Gatan, Inc. Apparatus for etching and coating sample specimens for microscopic analysis
US20040035529A1 (en) * 1999-08-24 2004-02-26 Michael N. Grimbergen Monitoring a process and compensating for radiation source fluctuations
EP1204139A4 (en) * 2000-04-27 2010-04-28 Ebara Corp Rotation holding device and semiconductor substrate processing device
WO2003081216A2 (en) * 2002-03-20 2003-10-02 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
JP2004087342A (en) * 2002-08-28 2004-03-18 Jeol Ltd Observation device using charged particle beam
KR20060035071A (en) * 2004-10-21 2006-04-26 삼성전자주식회사 Robot for transferring semiconductor substrate
DE102006009460A1 (en) * 2006-03-01 2007-09-06 Infineon Technologies Ag Process device used in production of integrated circuits comprises process chamber, holder within chamber for holding substrate, radiation source, radiation detector and control and evaluation unit
CN101911253B (en) * 2008-01-31 2012-08-22 应用材料公司 Closed loop MOCVD deposition control
US10731246B2 (en) * 2014-07-28 2020-08-04 Gatan, Inc. Ion beam sample preparation and coating apparatus and methods
WO2016148855A1 (en) * 2015-03-19 2016-09-22 Applied Materials, Inc. Method and apparatus for reducing radiation induced change in semiconductor structures

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04340404A (en) * 1990-10-30 1992-11-26 Internatl Business Mach Corp <Ibm> Material processing method and material surface monitoring apparatus
US5294289A (en) * 1990-10-30 1994-03-15 International Business Machines Corporation Detection of interfaces with atomic resolution during material processing by optical second harmonic generation
JP2004521323A (en) * 2001-03-27 2004-07-15 サラフスカイ,ジョシュア,エス. Method and apparatus for detecting probe-target interactions using surface selective nonlinear optical techniques
JP2002340672A (en) * 2001-05-22 2002-11-27 Kanagawa Acad Of Sci & Technol Sum frequency generating spectroscopic device and method therefor
JP2007033743A (en) * 2005-07-26 2007-02-08 Seiko Epson Corp Substrate for electronic device, liquid crystal panel, and electronic apparatus
KR20140100527A (en) * 2011-12-07 2014-08-14 어플라이드 머티어리얼스, 인코포레이티드 Laser reflectometry for substrate processing
KR20170005015A (en) * 2014-04-17 2017-01-11 펨토매트릭스, 인코포레이티드. Wafer metrology technologies

Also Published As

Publication number Publication date
TWI775689B (en) 2022-08-21
GB2587940B (en) 2023-06-14
KR102454199B1 (en) 2022-10-14
TW202212815A (en) 2022-04-01
JP2021519522A (en) 2021-08-10
DE112019001752T5 (en) 2020-12-24
TWI751412B (en) 2022-01-01
GB2587940A8 (en) 2023-04-26
JP2022160395A (en) 2022-10-19
JP7097458B2 (en) 2022-07-07
GB2587940A (en) 2021-04-14
TW201945724A (en) 2019-12-01
CN112041977A (en) 2020-12-04
GB202017339D0 (en) 2020-12-16
KR20220140045A (en) 2022-10-17
WO2019195100A1 (en) 2019-10-10

Similar Documents

Publication Publication Date Title
US6506253B2 (en) Photo-excited gas processing apparatus for semiconductor process
DK2890828T3 (en) Device and method of making diamond
US10077508B2 (en) Multizone control of lamps in a conical lamphead using pyrometers
US10976242B2 (en) System and method for monitoring atomic absorption during a surface modification process
KR20160003847A (en) Apparatus and methods for low temperature measurement in a wafer processing system
US9459159B2 (en) Heat-flux measuring method, substrate processing system, and heat-flux measuring member
KR20220156072A (en) Temperature calibration by band gap absorption method
JP2022160395A (en) In-line chamber metrology
US6989281B2 (en) Cleaning method for a semiconductor device manufacturing apparatus
US9478384B2 (en) Electrode for producing a plasma, plasma chamber having said electrode, and method for analyzing or processing a layer or the plasma in situ
KR0162934B1 (en) Apparatus and method for manufacturing semiconductor device
WO2022239683A1 (en) Substrate processing device and method for measuring process gas temperature and concentration
WO2023132268A1 (en) Determination method and substrate processing apparatus
US20220154339A1 (en) Thin film deposition apparatus mountable with analysis system
CA3159158A1 (en) Film forming apparatus and film forming apparatus usage
JP2023100573A (en) Determination method and substrate processing device
Tan et al. SiOx, SiNx, SiNxOy Deposited By ICP-CVD System With Optimized Uniformity For Optical Coatings

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent