CN112041977A - Inline chamber metrology - Google Patents

Inline chamber metrology Download PDF

Info

Publication number
CN112041977A
CN112041977A CN201980028939.XA CN201980028939A CN112041977A CN 112041977 A CN112041977 A CN 112041977A CN 201980028939 A CN201980028939 A CN 201980028939A CN 112041977 A CN112041977 A CN 112041977A
Authority
CN
China
Prior art keywords
substrate
operable
slit valve
wavelength
electromagnetic radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980028939.XA
Other languages
Chinese (zh)
Inventor
阿维舍克·古什
普莉娜·松特海利亚·古拉迪雅
罗伯特·简·维瑟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112041977A publication Critical patent/CN112041977A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/636Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited using an arrangement of pump beam and probe beam; using the measurement of optical non-linear properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/65Raman scattering
    • G01N21/658Raman scattering enhancement Raman, e.g. surface plasmons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • G01N2021/8845Multiple wavelengths of illumination or detection

Abstract

Embodiments of the present disclosure relate to inspection of substrates subjected to vacuum processing. In one embodiment, a process chamber comprises: a first view port enabling the emitter of electromagnetic radiation to illuminate a substrate in the processing chamber, a second view port enabling the detector to detect electromagnetic radiation scattered from the substrate, an emitter of electromagnetic radiation, and a detector.

Description

Inline chamber metrology
Technical Field
Embodiments of the present disclosure generally relate to reduced pressure treatment systems and treatment techniques. More particularly, embodiments of the present disclosure relate to techniques for direct inline substrate monitoring in a reduced pressure processing system.
Background
Semiconductor substrates are processed for a wide variety of applications, including the fabrication of integrated devices and micro devices. One technique for processing a substrate includes exposing the substrate to a gas under reduced pressure and allowing the gas to deposit a material, such as a dielectric material or a conductive metal, on the surface of the substrate. For example, epitaxy (epitaxiy) is a deposition process that can be used to grow thin, high-purity layers (typically silicon or germanium) on the surface of a substrate, such as a silicon wafer. The material can be deposited in a cross-flow chamber (cross-flow chamber) by: a process fluid, such as a mixture of a precursor gas and a carrier gas, is flowed parallel to and across a surface of a substrate positioned on a support, and the process fluid is decomposed (e.g., by heating the process fluid to an elevated temperature) to deposit material from the process fluid on the surface of the substrate.
The quality of the deposited film may be inspected and/or measured at various times during processing of the substrate. Previously known techniques for inspecting and/or measuring substrates include removing the substrate from the processing chamber and placing the substrate in an instrument for inspecting and/or measuring the substrate. Removing a substrate from a processing chamber may result in gas entering the processing chamber, and it may be desirable to evacuate the processing chamber by a vacuum pump before processing (of the substrate or another substrate) may continue in the chamber.
In order to increase the throughput of the processing chamber and the quality of the substrates produced, a means (means) to detect and/or measure the substrates being processed in the processing system is required without removing the substrates from the high vacuum environment of the processing system.
Disclosure of Invention
An apparatus for processing a substrate is provided. The apparatus generally includes a process chamber body having a first view port and a second view port, a supply for providing a process fluid coupled to the process chamber body, a vacuum pump coupled to the process chamber body, a substrate support within the process chamber body, an electromagnetic radiation emitter operable to illuminate a substrate on the substrate support through the first view port, and a detector operable to detect electromagnetic radiation scattered from the substrate through the second view port.
A system for processing a substrate is provided. The system generally includes a processing chamber having a first slit valve opening configured to allow passage of a substrate and a second slit valve opening configured to allow passage of a substrate, a load lock device operable to open and close the first slit valve opening of the processing chamber, wherein the first slit valve is operable to form a hermetic seal when the first slit valve is closed, and a robot arm operable to open and close the second slit valve opening of the processing chamber, wherein the second slit valve is operable to form a hermetic seal when the second slit valve is closed, a transfer slit valve opening aligned with the second slit valve opening of the processing chamber, a load lock port, and a substrate support, the robot arm has an enclosed (encapsulated) probe, wherein the robot arm is operable to access the interior of the load lock via the load lock port, the robot arm is operable to move an instrument within the enclosed probe into proximity with a substrate on the substrate support, the enclosed probe has an emitter operable to emit electromagnetic radiation to illuminate the substrate, and the enclosed probe has a detector operable to detect electromagnetic radiation scattered from the substrate.
Drawings
So that the manner in which the above recited features of the various aspects of the present disclosure can be understood in detail, a detailed description of the various aspects, which has been briefly summarized above, may be had by reference to the embodiments, which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.
Fig. 1A and 1B depict cross-sectional views of a reduced-pressure processing chamber according to aspects of the present disclosure.
Fig. 2 depicts an example processing system in accordance with certain aspects of the present disclosure.
Fig. 3 depicts a schematic isometric view of an example load lock, according to aspects of the present disclosure.
Fig. 4 depicts a schematic isometric view of a processing chamber according to aspects of the present disclosure.
Fig. 5 is a set of graphs 500 of monitoring of atomic layer deposition in accordance with aspects of the present disclosure.
Fig. 6 is a schematic diagram of an exemplary Sum Frequency Generation (SFG) spectroscopy monitoring system configured to measure a substrate during processing, in accordance with aspects of the present disclosure.
Fig. 7 is a schematic view of an exemplary substrate handling blade in accordance with aspects of the present disclosure.
To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements disclosed in one embodiment may be beneficially utilized on other embodiments without further recitation.
Detailed Description
Methods and apparatus are provided herein for measuring layer thickness and layer uniformity of substrates processed in a processing system, and/or inspecting substrates to detect defects and/or perform chemical characterization of layers of substrates and interfaces between the layers without removing the substrates from a high vacuum environment of the processing system. The method and apparatus enable substrates to be measured and/or inspected by measuring and/or inspecting the substrates within the processing chamber or within a load lock chamber connected to the processing chamber without breaking the vacuum of the processing chamber.
One embodiment disclosed herein is a load lock chamber coupled to a processing system. The load lock chamber has a robotic arm with an enclosed probe having one or more instruments that can be used to inspect and/or measure the properties or presence of particles on the substrate. The substrate may be removed from the processing chamber and moved into a load lock where one or more instruments inspect and/or measure the substrate. The pressure within the load lock is maintained at a similar level to the pressure of the processing system or processing chamber so that the substrate can be measured and inspected without breaking the vacuum of the processing chamber.
In another embodiment, a plurality of viewing ports are disposed on the process chamber. A laser, X-ray emitter, and/or other electromagnetic radiation emitter may illuminate the substrate through a first viewing port in the processing chamber, and radiation scattered from the substrate may exit the processing chamber through a second viewing port and be detected, collected, and/or measured by an instrument outside the processing chamber. The substrate may be inspected and/or measured while the substrate is within the processing chamber without breaking the vacuum of the processing chamber.
As used herein, radiation "scattered" from a substrate refers to radiation that is reflected from the substrate, refracted from the substrate, emitted from the substrate, and/or transmitted through the substrate as a result of illumination.
Semiconductor substrates are processed for a wide variety of applications, including the fabrication of devices and microdevices for short. As described above, one technique for processing a substrate includes exposing the substrate to a gas under reduced pressure and allowing the gas to deposit a material, such as a dielectric material or a conductive metal, on the surface of the substrate. For example, epitaxy is a deposition process that can be used to grow a thin, high-purity layer (typically silicon or silicon dioxide) on the surface of a substrate (e.g., a silicon wafer). The material may be deposited in the cross-flow chamber by: a process fluid (e.g., a mixture of a precursor gas and a carrier gas) is flowed parallel to and across a surface of a substrate positioned on a support, and the process fluid is decomposed (e.g., by heating the process fluid to an elevated temperature) to deposit material from the process fluid on the surface of the substrate. Substrates processed according to the above-described epitaxial techniques may be measured and/or inspected within the processing chamber or in a load lock, as described in more detail below.
The disclosed embodiments may be used with techniques for processing substrates including, but not limited to, Atomic Layer Deposition (ALD), Chemical Vapor Deposition (CVD), etching, Plasma Enhanced Chemical Vapor Deposition (PECVD), Physical Vapor Deposition (PVD), dielectric deposition, polymer layer deposition, and Selective Removal Process (SRP).
Fig. 1A depicts a schematic cross-sectional view of an exemplary processing chamber 100 with components in position for processing according to aspects of the present disclosure. The process chamber shown is an epitaxial chamber. The processing chamber 100 is used to process one or more substrates (e.g., perform epitaxial deposition on the one or more substrates), which includes depositing material on an upper surface of the substrate 108. The process chamber 100 includes an array of radiant heating lamps 102 for heating a backside 104 of a substrate support 106 (e.g., a susceptor) and other components disposed within the process chamber 100. In some embodiments, an array of radiant heat lamps is disposed above the upper dome 128 in addition to the array shown below the lower dome. The substrate support 106 may be a disk-shaped substrate support 106 (without a central opening as shown), or may be an annular substrate support.
FIG. 1B depicts a schematic side view of the processing chamber 100 taken along line 1B-1B in FIG. 1A. The liner assembly 163 and the circular shield 167 are omitted for clarity. The substrate support may be a disk-shaped substrate support 106 as shown in FIG. 1A, or may be a ring-shaped substrate support 107 that supports the substrate from its edge to facilitate exposure of the substrate to the thermal radiation of the lamps 102 as shown in FIG. 1B.
Referring to fig. 1A and 1B, a substrate support 106 or 107 is positioned within the processing chamber 100 between the upper dome 128 and the lower dome 114. Upper dome 128, lower dome 114, and base ring 136 disposed between upper dome 128 and lower dome 114 define an interior region of processing chamber 100. Generally, the central portions of upper dome 128 and lower dome 114 are formed of an optically transparent material, such as quartz. The interior region of the processing chamber 100 is generally divided into a processing region 156 and a purge region 158.
A substrate 108 (not to scale) may be brought into the processing chamber 100 through the load port 103 and positioned on the substrate support 106. The loading port 103 is obscured by the substrate support 106 in fig. 1A, but can be seen in fig. 1B.
According to one embodiment, the substrate support 106 is supported by a center shaft 132, and the center shaft 132 may directly support the substrate support 106, as shown in FIG. 1A. According to another embodiment, the central shaft 132 supports the disk-shaped substrate support 107 via an arm 134, as shown in FIG. 1B.
According to one embodiment, the process chamber 100 also includes a lamp head 145 that supports the array of lamps 102 and cools the lamps 102 during and/or after processing. Each lamp 102 is coupled to a power board (not shown) that provides power to each lamp 102.
According to one embodiment, the process chamber 100 also includes one or more optical pyrometers 118, the optical pyrometers 118 measuring temperatures within the process chamber 100 and on the surface of the substrate 108. A controller (not shown) controls the distribution of power from the power distribution panel to the lamps 102. The controller also controls the flow of cooling fluid within the processing chamber 100. The controller controls the temperature within the processing chamber by varying the voltage from the power board to the lamps 102 and by varying the flow rate of the cooling fluid.
The reflector 122 is positioned above the upper dome 128 to reflect infrared light radiated from the substrate 108 and the upper dome 128 back into the process chamber 100. The reflector 122 is secured to the upper dome 128 using a clip 130. The reflector 122 has one or more connection ports 126 that connect to a source of cooling fluid (not shown). The connection port 126 connects to one or more channels (not shown) within the reflector to allow a cooling fluid (e.g., water) to circulate within the reflector 122.
According to one embodiment, the process chamber 100 includes a process fluid inlet 174 connected to a process fluid supply 172. The process fluid inlet 174 is configured to direct a process fluid, such as Trimethylaluminum (TMA) or silane (SiH4), generally across the surface of the substrate 108. The process chamber also includes a process fluid outlet 178, the process fluid outlet 178 being located on a side of the process chamber 100 opposite the process fluid inlet 174. The treatment fluid outlet 178 is coupled to a vacuum pump 180.
According to one embodiment, the processing chamber 100 includes a purge gas inlet 164 formed in a sidewall of the base ring 136. The purge gas source 162 supplies a purge gas to the purge gas inlet 164. If the processing chamber 100 includes a circular shield 167, the circular shield 167 is disposed between the process fluid inlet 174 and the purge gas inlet 164. The process fluid inlet 174, purge gas inlet 164, and process fluid outlet 178 are shown for illustrative purposes, and the position, size, number, etc. of the fluid inlets and outlets may be adjusted to promote uniform deposition of material on the substrate 108.
The substrate support is shown in a position that allows processing of a substrate in the processing chamber 100. The center shaft 132, substrate support 106 or 107, and arm 134 may be lowered by an actuator (not shown). A plurality of lift pins 105 pass through the substrate support 106 or 107. Lowering the substrate support to the loading position below the processing position allows the lift pins 105 to contact the lower dome 114, pass through holes in the substrate support 106, and lift the substrate 108 from the substrate support 106. Subsequently, a robot (not shown in fig. 1, but see robot 208 in fig. 2) enters the processing chamber 100 through the load port 103 to engage and remove the substrate 108. A robot or another robot that has removed the substrate 108 enters the processing chamber through the load port 103 and places an unprocessed substrate on the substrate support 106. The substrate support 106 is then raised by the actuator to a processing position to place the unprocessed substrate in position for processing.
According to one embodiment, the processing of the substrate 108 in the processing chamber 100 includes: inserting a substrate through the load port 103, placing the substrate 108 on the substrate support 106 or 107, raising the substrate support 106 or 107 and the substrate 108 to a processing position, heating the substrate 108 using the lamps 102, flowing a processing fluid 173 through the substrate 108, and rotating the substrate 108. In some cases, the substrate may also be raised or lowered during processing.
According to some aspects of the present disclosure, epitaxial processing in the process chamber 100 includes controlling the pressure within the process chamber 100 to be below atmospheric pressure. According to one embodiment, the pressure within the processing chamber 100 is reduced to between about 10Torr and 80 Torr. According to another embodiment, the pressure within the processing chamber 100 is reduced to between about 80Torr and 300 Torr. According to one embodiment, the vacuum pump 180 is activated to reduce the pressure of the process chamber 100 prior to and/or during processing.
The processing fluid 173 is introduced into the processing chamber 100 from one or more processing fluid inlets 174 and exits the processing chamber 100 through one or more processing fluid outlets 178. The processing fluid 173 deposits one or more materials on the substrate 108 by, for example, thermal decomposition or other reaction. After the material is deposited on the substrate 108, the reaction forms effluents (i.e., exhaust gases) 166, 175. The effluents 166, 175 exit the processing chamber 100 through the processing fluid outlet 178.
When processing of the substrate 108 is complete, the processing chamber 100 is purged of the processing fluid 173 and effluents 166, 175 by introducing a purge gas 165 (e.g., hydrogen or nitrogen) through the purge gas inlet 164. Instead of or in addition to introducing the purge gas 165 through the purge gas inlet 164, the purge gas 165 may be introduced through the process fluid inlet 174. The purge gas 165 exits the process chamber through a process fluid outlet 178.
Exemplary inline Chamber metrology
In embodiments of the present disclosure, substrates may be processed and inspected and/or measured in a processing chamber without breaking the vacuum of the processing chamber. In one embodiment, the load lock chamber is connected to the process chamber via a valve. The load lock has a robotic arm with a closed probe having one or more instruments that can be used to inspect and/or measure the substrate. The substrate may be removed from the processing chamber and moved through a valve into a load lock where one or more instruments inspect and/or measure the substrate. The pressure within the load lock is maintained or reduced to a similar level as the pressure of the process chamber so that the substrate can be measured and inspected without breaking the vacuum of the process chamber. The substrate may then be returned to the processing chamber for additional processing, wherein parameters of the additional processing (e.g., temperature or gas flow rate) are determined based on measurements and checks occurring in the load lock.
Measurement and inspection techniques that may be used with the load lock according to aspects of the present disclosure include confocal fluorescence microscopy and imaging; reflection of infrared, ultraviolet, and visible radiation, including ellipsometry techniques; raman scattering; tip Enhanced Raman Scattering (TERS); surface plasmon enhanced raman scattering; second harmonic waves; sum frequency spectrum; atomic Force Microscopy (AFM); scanning Tunneling Microscope (STM); megasonic or millimeter wave scanning; and X-ray fluorescence (XRF).
In another embodiment, a plurality of viewing ports are disposed on the process chamber. A laser, X-ray emitter, and/or other electromagnetic radiation emitter may illuminate a substrate in the processing chamber through a first viewing port, and radiation scattered (e.g., reflected or refracted) from the substrate may exit the processing chamber through a second viewing port and be detected, collected, and/or measured by an instrument outside the processing chamber. The substrate may be inspected and/or measured while the substrate is within the processing chamber without breaking the vacuum of the processing chamber.
Measurement and inspection techniques usable with a viewport disposed on a process chamber according to aspects of the present disclosure include: confocal fluorescence microscopy and imaging; reflection of infrared, ultraviolet, and visible radiation, including ellipsometry techniques; raman scattering; second harmonic waves; sum frequency spectrum; megasonic or millimeter wave scanning; and X-ray fluorescence (XRF).
Fig. 2 is a top view illustrating an illustrative processing system 200 according to one embodiment of the present disclosure. Processing system 200 includes a load lock chamber 204, a transfer chamber 206, a transfer (e.g., tool and material or substrate) robot 208 within transfer chamber 206, a first CVD process chamber 210, a second CVD process chamber 212, a control station 214, an ALD process chamber 216, and a mask chamber 218. First CVD process chamber 210, second CVD process chamber 212, ALD process chamber 216, and associated hardware for each chamber are preferably formed from one or more process compatible materials such as aluminum, anodized aluminum oxide, nickel-plated aluminum, stainless steel, combinations thereof, and alloys thereof. The first CVD process chamber 210, the second CVD process chamber 212, and the ALD process chamber 216 may be circular, rectangular, or other shapes depending on the shape of the substrate to be coated and other processing requirements.
The transfer chamber 206 includes slit valve openings 221, 223, 225, 227, 229, the slit valve openings 221, 223, 225, 227, 229 being located in the sidewalls adjacent to the load lock chamber 204, the first CVD process chamber 210, the second CVD process chamber 212, the ALD process chamber 216, and the mask chamber 218. The transfer robot 208 is positioned and configured to be able to insert the substrate transfer blade 209 and/or one or more other tools through each slit valve opening 221, 223, 225, 227, 229 and into an adjacent chamber. That is, the transfer robot may insert tools into the load lock chamber 204, the first CVD process chamber 210, the second CVD process chamber 212, the ALD process chamber 216, and the mask chamber 218 via the slit valve openings 221, 223, 225, 227, 229 in the walls of the transfer chamber 206 adjacent to each other chamber. According to aspects of the present disclosure, a substrate handling blade (also referred to as a "blade" in this specification) may be equipped with a substrate monitoring apparatus. An example of such a blade is described below with reference to fig. 7. Slit valve openings 221, 223, 225, 227, 229 are selectively opened and closed using slit valves 220, 222, 224, 226, 228 to allow access to the interior of adjacent chambers when a substrate, tool, or other item is to be inserted into or removed from one of the adjacent chambers.
The transfer chamber 206, load lock chamber 204, first CVD process chamber 210, second CVD process chamber 212, ALD process chamber 216, and mask chamber 218 include one or more apertures (not shown) in fluid communication with a vacuum system, such as a vacuum pump. These holes provide exhaust ports for the gases within each chamber. In some embodiments, the chambers are each connected to a separate and independent vacuum system. In other embodiments, some of the chambers share a single vacuum system, while other chambers have separate and independent vacuum systems. The vacuum system may include a vacuum pump (not shown) and throttle valves (not shown) to regulate the gas flow through the various chambers.
According to aspects of the present disclosure, the first CVD process chamber 210 may be connected with the load lock 211 via a valve 215. The load lock 211 may have a robotic arm with a closed probe having one or more instruments that may be used to inspect and/or measure substrates (see fig. 3). The substrate may be removed from the first CVD processing chamber and passed through the valve 215 into the load lock 211 where one or more instruments inspect and/or measure the substrate at the load lock 211. The apparatus may comprise one or more of the following: confocal fluorescence microscopy or imaging systems; one or more infrared, ultraviolet and/or visible lasers; one or more Charge Coupled Device (CCD) detectors; one or more cadmium mercury telluride (MCT) detectors; one or more indium gallium arsenide (InGaAs) detectors; a mechanical probe having a tip for tip-enhanced raman scattering; an atomic force microscope probe; scanning a tunnel microscope probe; megasonic or millimeter wave transceiver antennas; and X-ray emitters and detectors. The robotic arm, enclosed probe and instrument are described in more detail with reference to figure 3 below. The pressure within the load lock 211 may be reduced to or maintained at a similar level as the pressure of the first CVD process chamber 210, enabling the substrate to be measured and inspected without breaking the vacuum of the first CVD process chamber 210.
Similarly, the second CVD process chamber 212 may be connected with load lock 213 via valve 218, and ALD process chamber 216 may be connected with load lock 217 via valve 219. Each of the load locks 213 and 217 may have a robotic arm with a closed probe having one or more instruments that may be used to inspect and/or measure substrates (see fig. 3). As described above, the substrate may be removed from the second CVD processing chamber 212 and passed through the valve 218 into the load lock 213 without breaking the vacuum of the second CVD processing chamber 212. As also described above, the substrate may be removed from ALD processing chamber 216 and passed through valve 219 into load lock 217 without breaking the vacuum of ALD processing chamber 216. Once the substrate is in the load lock 213 or 217, the probe's instrument can measure and/or inspect the substrate without breaking the vacuum of the second CVD process chamber 212 or ALD process chamber 216.
Fig. 3 depicts a schematic isometric view of an example load lock device 300, according to aspects of the present disclosure. Load lock 300 may be an example of load locks 211, 213, and 217 as shown in fig. 2. The robot 302 with the enclosed probe 304 may access the substrate 306 via a load lock port 308. The substrate 306 may rest on a substrate support 310 (e.g., a substrate support blade or pedestal) within the load lock. The probe may include an optical fiber or metal cable for transmitting electromagnetic radiation (e.g., infrared, ultraviolet, visible laser, millimeter wave, or X-ray) from a laser source or other emitter to the substrate. Additionally or alternatively, the probe may include one or more laser sources, megasonic or millimeter wave transceiver antennas, and X-ray emitters. The probe may also include one or more Charge Coupled Device (CCD) detectors, Mercury Cadmium Telluride (MCT) detectors, indium gallium arsenide (InGaAs) detectors, mechanical probes with tips for tip-enhanced raman scattering, atomic force microscope probes, scanning tunneling microscope probes, X-ray detectors, and/or other types of instruments for measuring and/or inspecting substrates. The load lock 300 may also include one or more turbo vacuum ports for exhausting gases (e.g., process fluids that may enter the load lock from a process chamber) from the load lock 300.
Because the robotic arm 302 is able to bring the probe 304 into close proximity with the substrate, both near field and far field inspection techniques are suitable for implementation within the load lock 300.
According to aspects of the present disclosure, the probe 304 may be encapsulated in a material (e.g., quartz) that experiences limited outgassing when exposed to a vacuum to prevent outgassing from the material of the probe (e.g., fiber optic bundle) from contaminating the substrate. Instruments that need to be in intimate contact or contact with the substrate (such as mechanical probe tips for tip-enhanced raman scattering, atomic force microscopy, or scanning tunneling microscopy) may not be encapsulated in materials that experience limited outgassing when exposed to vacuum. Conversely, instruments that need to be in intimate contact or contact with the substrate may be constructed of materials that experience limited outgassing (e.g., steel) when exposed to a vacuum.
Fig. 4 depicts a schematic isometric view of a processing chamber 400 (such as an ALD chamber) having multiple view ports 402 and 404, according to aspects of the present disclosure. The viewing port may be made of quartz or other material that is translucent to electromagnetic radiation 424 and 426 (e.g., infrared, ultraviolet, visible, X-ray, and/or millimeter wave radiation). The first viewing port 402 can be positioned to allow electromagnetic radiation to illuminate the substrate 406 at a large glancing angle (i.e., an angle measured from perpendicular to the upper surface of the substrate). Second viewing port 404 can be positioned to allow detector 430 to receive and/or detect electromagnetic radiation 432 scattered from the substrate at angles similar to the large glancing angle. The process chamber 400 may represent the process chamber 100 shown in fig. 1A and 1B. The process chamber may be connected with a process fluid supply 472 via one or more process fluid inlets 474, and the process chamber may include a process fluid outlet 478 connected to a vacuum pump 480. The substrate 406 may rest on a substrate support 410 (e.g., a substrate support blade or pedestal) within the load lock. The substrate support 410 may be heated if desired for performance of the process chamber.
One or more lasers (e.g., infrared, ultraviolet, visible spectrum, or X-ray lasers) 420, 422 or other emitters of beams of electromagnetic radiation 424, 426 may illuminate the substrate 406 through the viewing port 402. As shown, the laser may include a femtosecond-picosecond (fs-ps) pulsed visible laser having a wavelength of 800 nanometers (nm) and an fs-ps pulsed mid-infrared (mid-IR) laser having a wavelength in the range of 1-4 micrometers (μm), although the disclosure is not so limited and emitters of other wavelengths may be used. A laser or other emitter may be mounted to the load lock such that the electromagnetic radiation emitted by the emitter illuminates the substrate at a consistent angle. The mounts of the laser and other emitters may be moved with one or more actuators (not shown) to raster scan the radiation across the surface of the substrate in a controlled, reproducible manner during measurement and inspection of the substrate. One or more mirrors 442A and 442B, half- wave plates 444A and 444B, polarizers 446A and 446B, and lenses (e.g., focusing lenses) 448A and 448B may be moved by actuators (not shown) to raster scan the radiation across the surface of the substrate. Additionally or alternatively, the electromagnetic radiation from the emitter may be guided by a fiber optic cable or other conduit, wherein the cable and/or conduit is moved by an actuator to raster scan the radiation across the surface of the substrate.
Electromagnetic radiation 432 scattered (e.g., reflected or refracted) from the substrate as a result of its illumination may exit the processing chamber 400 via the view port 404. The one or more apertures 450, the collimator 452, the polarizer 454, the mirror 456, the filter 458, and the lens 460 may direct the electromagnetic radiation 432 to one or more Charge Coupled Device (CCD) detectors 430, Mercury Cadmium Telluride (MCT) detectors, indium gallium arsenide (InGaAs) detectors, spectrometers, and other types of instruments for measuring and/or inspecting substrates. The CCD detector, MCT detector, InGaAs detector, spectrometer, and other instruments may detect and/or measure the electromagnetic radiation 432 exiting the viewing port 404 to determine measurements and other data about the substrate. A detector or other instrument may be mounted to the load lock so that electromagnetic radiation scattered from the substrate is measured or detected at a consistent angle. The mounts of the detectors and other instruments are movable with one or more actuators (not shown) to cause the detectors and other instruments to receive radiation scattered from the substrate in response to the emitters being raster scanned across the substrate during measurement and inspection of the substrate. Additionally or alternatively, aperture 450, collimator 452, polarizer 454, mirror 456, filter 458, and lens 460 may be moved via an actuator to direct electromagnetic radiation 432 to a detector and/or instrument.
The substrate support 410 may be moved within the processing chamber as part of measuring and inspecting the substrate. For example, the substrate support 410 may move the substrate within the processing chamber 400 such that one or more beams 424, 426 entering through the view port 402 are scanned (e.g., raster scanned) across the surface of the substrate. Additionally or alternatively, a scanning galvano mirror (galvano mirror) may be used to scan the beam from the emitter across the surface of the substrate. The current mirror may be placed within the process chamber 400 or outside the process chamber 400.
Although the embodiment shown in fig. 4 shows a beam scanning the upper surface of the substrate 406, the present disclosure is not limited thereto. According to aspects of the present disclosure, the substrate support 410 may have a cutout portion or be translucent to the light beam (e.g., a prism), and the view ports 402 and 404 may be arranged to allow the light beam to scan the lower surface of the substrate.
According to aspects of the present disclosure, Second Harmonic Generation (SHG) and Sum Frequency Generation (SFG) spectroscopy may be used to monitor treated surfaces, such as surfaces via ALD, CVD, PECVD, PVD, dielectric deposition, polymer deposition, and SRP. SFG spectroscopy probes the second order molecular hyperpolarizability of a material, which indicates which modes in a non-centrosymmetric medium are active (active). SFG and SHG are second-order nonlinear optical processes in which 2 incident photons interact with each other and with the surface to produce 1 photon when they overlap in space and time at the surface or interface of the medium, the frequency of the 1 photon produced being the sum of the frequencies of the 2 incident photons. When the two incident photons come from the same source (and therefore the same frequency), the resulting process is referred to as Second Harmonic Generation (SHG). When the two incident photons have different frequencies, the resulting optical flow is referred to as Sum Frequency Generation (SFG). These second order optical processes follow conservation of photon energy and momentum. Conservation of photon momentum makes these processes highly directional, and so SFG or SHG photons can be spatially separated from incident photons or other photons from other nonlinear optical processes. SFG and SHG are also highly surface sensitive processes because the second order hyperpolarizability is active only in non-centrosymmetric media, e.g. at interfaces, surfaces or even in molecules without a center of symmetry (see e.g. Nature 337(6207): pp.519-525,1989). For example, SFG spectroscopy can be used to monitor hydrogen (H) on platinum by measuring the intensity of a particular wavenumber associated with platinum-hydrogen bonds2) Atomic layer deposition, as described below with reference to fig. 5. By measurement with AlOxThe intensity of a particular wavenumber associated with a bond, the SFG spectrum can also be used to monitor alumina/silica (AlO) on a silicon substratex/SiOx) Atomic layer deposition (see, e.g., atJournal of Vacuum Science, published by E.Kessels et al, https:// doi.org/10.1116.4993597&Technology A 35,05C313(2017))。
Fig. 5 is a graph 500 depicting monitoring of atomic layer deposition of hydrogen on platinum in an ALD process, wherein platinum is exposed to different flow rates of hydrogen and sum frequency generation measurements are made on the surface of the platinum. Curve 510 represents the plot against a set of wavenumbers (in cm) after exposure of the platinum to the highest flow rate of hydrogen-1Measured in units) of a set of SFG intensities (in s)-1Measured in units). The SFG spectrum shows 2020cm after exposure of the platinum to the highest flow rate of hydrogen-1The relatively high intensity of the wavenumber (i.e., greater than 1.1), as shown by point 512. The SFG spectrum shows 2020cm after exposure of the platinum to a lower flow rate of hydrogen-1Lower intensity of wavenumber (i.e., about 0.95), as shown by point 514. The SFG spectrum showed 2020cm after the third, fourth, fifth and sixth exposures of platinum with successively lower flow rates of hydrogen-1Even lower intensities (i.e., less than 0.90) for the wavenumbers, as shown by points 516, 518, and 520. The SFG spectrum shows 2020cm after exposure of the platinum to the lowest flow rate of hydrogen-1The lowest intensity of the wavenumber (i.e., 0.38), as shown by point 522.
According to aspects of the present disclosure, SFG spectroscopy techniques are very specific to surfaces and interfaces, so data analysis from SFG spectroscopy typically does not require subtraction of background signals from the measured signals.
Fig. 6 is a schematic diagram of an exemplary SFG spectroscopy system 600, the exemplary SFG spectroscopy system 600 configured (see, e.g., ACS Catalysis,2014,4(6), pp.1964-1971) to monitor a substrate 670 (e.g., platinum) during ALD processing, in accordance with aspects of the present disclosure. In the exemplary ALD processing chamber 680, hydrogen flows into the chamber at location 682 and over the substrate, which catalyzes the dissociation of the hydrogen and the formation of a layer on the substrate. A Mass Spectrometer (MS) monitors the gas exiting the chamber to collect data on the amount of hydrogen deposited on the substrate. A heater rod 684 and a piston 686 control the temperature and pressure in the ALD chamber. In an exemplary SFG spectroscopy system, a tunable laser system (i.e., one or more electromagnetic radiation emitters) 602 produces a first laser pulse 604 having a wavelength in the infrared light range (i.e., 1 to 9 microns, such as 4 to 7 microns, or 5 to 6 microns) and a second laser pulse 606 having a wavelength in the visible light range (i.e., 520 to 900 nanometers, such as 600 to 900 nanometers, 750 to 850 nanometers, or 800 nanometers). The first laser pulse then passes through various filters 608, and these filters 608 fine-tune the frequency of the first pulse to a desired frequency. The first pulse is then aimed by the lens 610 to enter the first viewport 652 into the process chamber. The second pulse is passed through a filter 616 to fine tune the frequency of the second pulse. Lens 620 aims the second pulse into the process chamber through first viewing port 652. The first and second pulses may also be aimed via the prism 612 to illuminate the substrate 670. When the first and second pulses illuminate the substrate, the first and second pulses interact to produce second harmonic pulses 630. The second harmonic pulse may be collimated via prism 612 to exit the process chamber via second viewport 654. The lens 640 and filter 642 may target the second harmonic pulse and filter out reflections of the first and second pulses so that the second harmonic pulse can be collected by the photomultiplier tube (PMT) 632. The PMT provides information about the second harmonic pulse to a boxcar integrator (boxcar integrator) 634. Finally, the boxcar integrator provides a signal to the computer 636 for interpretation (interpretation).
According to aspects of the present disclosure, the first viewing port 652 and the second viewing port 654 may be made of magnesium fluoride (MgF)2) Or calcium fluoride (CaF)2) Formed because these materials allow both a first pulse having a wavelength in the infrared range and a second pulse having a wavelength in the visible range to pass through.
Fig. 7 is a schematic view of an exemplary substrate handling blade 700, according to aspects of the present disclosure. An exemplary substrate handling blade may include a substrate support blade 702 and an instrument support arm 704. The instrument support arm may support a laser source 706 (e.g., one or more electromagnetic radiation emitters, a laser, or other laser source such as a fiber optic cable that transmits laser light from a remote laser) and a spectrometer 708. As shown in fig. 6, the laser source may deliver two laser pulses 710, 712 having different wavelengths. As shown in fig. 6, the laser source may include one or more mirrors, filters, etalons, and lenses to aim the laser pulses at the substrate on the substrate handling blade. The spectrometer may also include one or more apertures, filters, lenses, and polarizers to block reflections 720 and 722 of the first and second pulses and to aim the second harmonic pulse 724 at a detector within the spectrometer.
According to aspects of the present disclosure, the instrument support arm 704 and the substrate handling blade 702 may be moved together into a process chamber (e.g., the process chamber 100 shown in fig. 1). Additionally or alternatively, the instrument support arm may be moved (e.g., rotated away) independently of the substrate handling blade as the substrate handling blade enters the processing chamber.
In aspects of the present disclosure, an instrument (e.g., laser source 706 and/or spectrometer 708) on instrument support arm 704 may perform monitoring of a substrate supported by a substrate handling blade while the substrate handling blade is in a transfer chamber (e.g., transfer chamber 206 shown in fig. 2) to allow monitoring and/or inspection of the substrate without breaking vacuum in the processing system.
According to aspects of the present disclosure, the spectrometer may be a Complementary Metal Oxide Semiconductor (CMOS) spectrometer or a Photonic Crystal Fiber (PCF) based spectrometer.
The foregoing non-limiting examples are provided for a better understanding of the foregoing discussion. Although these examples may be directed to particular embodiments, these examples should not be construed as limiting the disclosure in any particular respect.
While the foregoing is directed to the manner of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (15)

1. An apparatus for processing a substrate, comprising:
a process chamber body having a first viewport and a second viewport;
a substrate support within the processing chamber body;
an electromagnetic radiation emitter operable to illuminate the substrate on the substrate support through the first viewing port; and
a detector operable to detect electromagnetic radiation scattered from the substrate through the second viewing port.
2. The apparatus of claim 1, wherein the substrate support is operable to move the substrate such that the beam from the electromagnetic radiation emitter is scanned over the surface of the substrate.
3. The apparatus of claim 8, further comprising:
a galvano mirror (galvano mirror) operable to direct the beam from the electromagnetic radiation emitter onto the surface of the substrate.
4. The apparatus of claim 1, wherein the electromagnetic radiation emitter comprises a first laser source operable to generate first laser pulses having a first wavelength and a second laser source operable to generate second laser pulses having a second wavelength.
5. The apparatus of claim 4, wherein:
the first wavelength is between 1 micron and 4 microns inclusive; and is
The second wavelength is between 750 nm and 850 nm, inclusive.
6. The apparatus of claim 4, wherein the detector is operable to measure an intensity of a Sum Frequency Generation (SFG) pulse caused by an interaction between the first pulse, the second pulse, and the substrate.
7. A system for processing a substrate, comprising:
a processing chamber having a first slit valve opening configured to allow the substrate to pass through the first slit valve and a second slit valve opening configured to allow the substrate to pass through the second slit valve opening;
a first slit valve operable to open and close the first slit valve opening of the processing chamber, wherein the first slit valve is operable to form a first hermetic seal when the first slit valve is closed;
a second slit valve operable to open and close the second slit valve opening of the processing chamber, wherein the second slit valve is operable to form a second hermetic seal when the second slit valve is closed;
a load lock having a transfer slit valve opening aligned with the second slit valve opening of the processing chamber, a load lock port, and a substrate support; and
a robotic arm having an enclosed (encaged) probe, wherein:
the robot arm is operable to access the interior of the load lock apparatus via the load lock port;
the robotic arm operable to move an instrument within the enclosed probe to a vicinity of the substrate on the substrate support;
the enclosed probe has an emitter operable to emit electromagnetic radiation to illuminate the substrate; and is
The enclosed probe head has a detector operable to detect electromagnetic radiation scattered from the substrate.
8. The system of claim 7, further comprising a substrate handling robot having a substrate handling blade, wherein:
the mechanical arm is connected with the substrate carrying robot; and is
The robotic arm is operable to move the instrument within the enclosed probe to the vicinity of the substrate on the substrate handling blade.
9. The system of claim 7, wherein the transmitter comprises a first laser source operable to generate a first laser pulse having a first wavelength and a second laser source operable to generate a second laser pulse having a second wavelength.
10. The system of claim 9, wherein:
the first wavelength is between 1 micron and 4 microns inclusive; and is
The second wavelength is between 750 nm and 850 nm, inclusive.
11. The system of claim 9, wherein the detector is operable to measure an intensity of a Sum Frequency Generation (SFG) pulse caused by an interaction between the first pulse, the second pulse, and the substrate on the substrate support.
12. An apparatus for measuring a substrate in a processing system, comprising:
a robot operable to access an interior of a load lock of the processing system;
the closed probe is arranged on the mechanical arm;
an emitter within the enclosed probe, the emitter operable to emit electromagnetic radiation to illuminate the substrate; and
a detector within the enclosed probe head, the detector operable to detect electromagnetic radiation scattered from the substrate, wherein the robotic arm is operable to move at least one of the emitter or the detector proximate to the substrate.
13. The apparatus of claim 16, wherein the emitter comprises a first laser source operable to generate first laser pulses having a first wavelength and a second laser source operable to generate second laser pulses having a second wavelength.
14. The apparatus of claim 13, wherein:
the first wavelength is between 1 micron and 4 microns inclusive; and
the second wavelength is between 750 nm and 850 nm, inclusive.
15. The apparatus of claim 13, wherein the detector is operable to measure an intensity of a Sum Frequency Generation (SFG) pulse caused by an interaction between the first pulse, the second pulse, and the substrate.
CN201980028939.XA 2018-04-02 2019-03-29 Inline chamber metrology Pending CN112041977A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
IN201841012373 2018-04-02
IN201841012373 2018-04-02
US201962811202P 2019-02-27 2019-02-27
US62/811,202 2019-02-27
PCT/US2019/024823 WO2019195100A1 (en) 2018-04-02 2019-03-29 Inline chamber metrology

Publications (1)

Publication Number Publication Date
CN112041977A true CN112041977A (en) 2020-12-04

Family

ID=68100175

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980028939.XA Pending CN112041977A (en) 2018-04-02 2019-03-29 Inline chamber metrology

Country Status (7)

Country Link
JP (2) JP7097458B2 (en)
KR (2) KR102454199B1 (en)
CN (1) CN112041977A (en)
DE (1) DE112019001752T5 (en)
GB (1) GB2587940B (en)
TW (2) TWI775689B (en)
WO (1) WO2019195100A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115602565A (en) * 2022-11-03 2023-01-13 江苏中芯沃达半导体科技有限公司(Cn) Semiconductor in-situ high-resolution visual online monitoring device

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294289A (en) * 1990-10-30 1994-03-15 International Business Machines Corporation Detection of interfaces with atomic resolution during material processing by optical second harmonic generation
JPH09306849A (en) * 1996-05-17 1997-11-28 Furukawa Electric Co Ltd:The Vapor-phase growing apparatus
US5698989A (en) * 1994-10-06 1997-12-16 Applied Materilas, Inc. Film sheet resistance measurement
US5705403A (en) * 1995-12-20 1998-01-06 Electronics And Telecommunications Research Institute Method of measuring doping characteristic of compound semiconductor in real time
JPH1019790A (en) * 1996-07-02 1998-01-23 Hitachi Ltd Equipment for inspecting board in vacuum
KR19980022304A (en) * 1996-09-21 1998-07-06 문정환 Sample gas leak inspection device of semiconductor wafer component inspection equipment
US20040035529A1 (en) * 1999-08-24 2004-02-26 Michael N. Grimbergen Monitoring a process and compensating for radiation source fluctuations
US7102132B2 (en) * 2002-03-20 2006-09-05 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
DE102006009460A1 (en) * 2006-03-01 2007-09-06 Infineon Technologies Ag Process device used in production of integrated circuits comprises process chamber, holder within chamber for holding substrate, radiation source, radiation detector and control and evaluation unit
CN101911253A (en) * 2008-01-31 2010-12-08 应用材料股份有限公司 Closed loop MOCVD deposition control
US20160276227A1 (en) * 2015-03-19 2016-09-22 Applied Materials, Inc. Method and apparatus for reducing radiation induced change in semiconductor structures

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4652757A (en) * 1985-08-02 1987-03-24 At&T Technologies, Inc. Method and apparatus for optically determining defects in a semiconductor material
US5922179A (en) * 1996-12-20 1999-07-13 Gatan, Inc. Apparatus for etching and coating sample specimens for microscopic analysis
EP1204139A4 (en) * 2000-04-27 2010-04-28 Ebara Corp Rotation holding device and semiconductor substrate processing device
JP2004521323A (en) 2001-03-27 2004-07-15 サラフスカイ,ジョシュア,エス. Method and apparatus for detecting probe-target interactions using surface selective nonlinear optical techniques
JP4955863B2 (en) * 2001-05-22 2012-06-20 財団法人神奈川科学技術アカデミー Sum frequency generation spectroscopic apparatus and method
JP2004087342A (en) * 2002-08-28 2004-03-18 Jeol Ltd Observation device using charged particle beam
KR20060035071A (en) * 2004-10-21 2006-04-26 삼성전자주식회사 Robot for transferring semiconductor substrate
JP2007033743A (en) 2005-07-26 2007-02-08 Seiko Epson Corp Substrate for electronic device, liquid crystal panel, and electronic apparatus
KR102038720B1 (en) * 2011-12-07 2019-10-30 어플라이드 머티어리얼스, 인코포레이티드 Laser reflectometry for substrate processing
WO2015161136A1 (en) * 2014-04-17 2015-10-22 Femtometrix, Inc. Wafer metrology technologies
US10731246B2 (en) * 2014-07-28 2020-08-04 Gatan, Inc. Ion beam sample preparation and coating apparatus and methods

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294289A (en) * 1990-10-30 1994-03-15 International Business Machines Corporation Detection of interfaces with atomic resolution during material processing by optical second harmonic generation
US5698989A (en) * 1994-10-06 1997-12-16 Applied Materilas, Inc. Film sheet resistance measurement
US5705403A (en) * 1995-12-20 1998-01-06 Electronics And Telecommunications Research Institute Method of measuring doping characteristic of compound semiconductor in real time
JPH09306849A (en) * 1996-05-17 1997-11-28 Furukawa Electric Co Ltd:The Vapor-phase growing apparatus
JPH1019790A (en) * 1996-07-02 1998-01-23 Hitachi Ltd Equipment for inspecting board in vacuum
KR19980022304A (en) * 1996-09-21 1998-07-06 문정환 Sample gas leak inspection device of semiconductor wafer component inspection equipment
US20040035529A1 (en) * 1999-08-24 2004-02-26 Michael N. Grimbergen Monitoring a process and compensating for radiation source fluctuations
US7102132B2 (en) * 2002-03-20 2006-09-05 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
DE102006009460A1 (en) * 2006-03-01 2007-09-06 Infineon Technologies Ag Process device used in production of integrated circuits comprises process chamber, holder within chamber for holding substrate, radiation source, radiation detector and control and evaluation unit
CN101911253A (en) * 2008-01-31 2010-12-08 应用材料股份有限公司 Closed loop MOCVD deposition control
US20160276227A1 (en) * 2015-03-19 2016-09-22 Applied Materials, Inc. Method and apparatus for reducing radiation induced change in semiconductor structures
TW201705327A (en) * 2015-03-19 2017-02-01 應用材料股份有限公司 Method and apparatus for reducing radiation induced change in semiconductor structures

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115602565A (en) * 2022-11-03 2023-01-13 江苏中芯沃达半导体科技有限公司(Cn) Semiconductor in-situ high-resolution visual online monitoring device

Also Published As

Publication number Publication date
TWI775689B (en) 2022-08-21
GB2587940B (en) 2023-06-14
KR102454199B1 (en) 2022-10-14
TW202212815A (en) 2022-04-01
JP2021519522A (en) 2021-08-10
DE112019001752T5 (en) 2020-12-24
TWI751412B (en) 2022-01-01
GB2587940A8 (en) 2023-04-26
JP2022160395A (en) 2022-10-19
JP7097458B2 (en) 2022-07-07
GB2587940A (en) 2021-04-14
TW201945724A (en) 2019-12-01
GB202017339D0 (en) 2020-12-16
KR20220140045A (en) 2022-10-17
WO2019195100A1 (en) 2019-10-10
KR20200128192A (en) 2020-11-11

Similar Documents

Publication Publication Date Title
TWI649821B (en) Apparatus and method for low temperature measurement in a wafer processing system
US6506253B2 (en) Photo-excited gas processing apparatus for semiconductor process
US5872889A (en) Apparatus and method for rapid thermal processing
US10077508B2 (en) Multizone control of lamps in a conical lamphead using pyrometers
US10976242B2 (en) System and method for monitoring atomic absorption during a surface modification process
DK2890828T3 (en) Device and method of making diamond
CN111952149A (en) Coated liner assembly for semiconductor processing chamber
KR20220156072A (en) Temperature calibration by band gap absorption method
JP2022160395A (en) In-line chamber metrology
WO2022239683A1 (en) Substrate processing device and method for measuring process gas temperature and concentration
US20220389576A1 (en) Film-forming apparatus and method of using film-forming apparatus
US20220154339A1 (en) Thin film deposition apparatus mountable with analysis system
JPH08166342A (en) Raman microspectroscopic measuring apparatus
WO2022146393A2 (en) A silicon-based closed and integrated platform for the investigation of radiation transfer at micro-nano scale

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination