KR20100042959A - Method for forming pattern of semiconductor device - Google Patents

Method for forming pattern of semiconductor device Download PDF

Info

Publication number
KR20100042959A
KR20100042959A KR1020080102196A KR20080102196A KR20100042959A KR 20100042959 A KR20100042959 A KR 20100042959A KR 1020080102196 A KR1020080102196 A KR 1020080102196A KR 20080102196 A KR20080102196 A KR 20080102196A KR 20100042959 A KR20100042959 A KR 20100042959A
Authority
KR
South Korea
Prior art keywords
layer
pattern
photoresist
etching
forming
Prior art date
Application number
KR1020080102196A
Other languages
Korean (ko)
Inventor
이기령
반근도
허중군
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020080102196A priority Critical patent/KR20100042959A/en
Publication of KR20100042959A publication Critical patent/KR20100042959A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

PURPOSE: A method for forming a pattern of a semiconductor device is provided to prevent contamination of a photoresist pattern by forming a thinner adhesive enhancement layer than an anti-reflective layer on a photoresist interface. CONSTITUTION: An adhesive enhancement layer(167) is formed on the upper side of an etching layer of a substrate(161). The photoresist layer is formed on the upper side of the adhesive enhancement layer. A photoresist pattern(169) is formed by performing a lithography process on the photoresist layer. The adhesive enhancement layer is etched using the photoresist pattern as an etching mask until the etching layer is exposed.

Description

반도체 소자의 패턴 형성 방법{Method for forming Pattern of Semiconductor Device}Method for forming pattern of semiconductor device

본 발명은 반도체 소자의 패턴 형성 방법에 관한 것으로, 보다 구체적으로 EUV 광원을 이용한 포토리소그라피 공정 시에 패턴 프로파일이 열화되는 것을 방지할 수 있는 방법에 관한 것이다.The present invention relates to a method of forming a pattern of a semiconductor device, and more particularly, to a method of preventing the deterioration of a pattern profile during a photolithography process using an EUV light source.

오늘날 메모리 소자를 장착한 개인 휴대 장비와 개인용 컴퓨터 등과 같은 정보 매체의 급속한 보급에 따라, 대용량의 저장 능력을 가지면서, 데이터 액세스(access) 동작 속도는 향상된 신뢰성 있는 고집적 반도체 소자를 제조하기 위한 공정 설비 및 기술 개발이 절실히 요구되고 있다. With the rapid spread of information media such as personal portable equipment and personal computers equipped with memory devices, process equipment for manufacturing reliable and highly integrated semiconductor devices with high capacity storage capacity and improved data access operation speed And technology development is urgently required.

고집적 반도체 소자는 패턴 선폭의 임계 치수, 즉 패턴의 선폭 크기가 작을수록 정보 처리 속도가 증가한다. 이에 따라, 반도체 소자 제조 기술 중에서 패턴 선폭의 임계 치수(critical dimension)를 제어하는데 가장 효과적인 이머젼 포토리소그라피 공정에 대한 관심이 증가하고 있다. 아울러, 상기 이머젼 리소그라피 공정 수행 시에 개구수가 높은 노광 장비(NA>1.0)에 대한 기판 반사율을 감소시키기 위하여, 노광 소오스 파워, 반사방지막의 굴절률(n, refractive index) 및 포토레 지스트의 흡광계수(k, extinction co-efficient) 등을 조절하는 연구가 계속되고 있다.In the highly integrated semiconductor device, the smaller the critical dimension of the pattern line width, that is, the smaller the line width of the pattern, increases the information processing speed. Accordingly, there is a growing interest in immersion photolithography processes that are most effective in controlling the critical dimension of the pattern line width in the semiconductor device manufacturing technology. In addition, the exposure source power, the refractive index (n, refractive index) of the anti-reflection film, and the absorption coefficient of the photoresist in order to reduce the substrate reflectance for the exposure apparatus (NA> 1.0) having a high numerical aperture during the immersion lithography process are performed. Research on adjusting k, extinction co-efficient, etc. continues.

한편, 상기 이머젼 포토리소그라피 공정으로 얻어진 포토레지스트 패턴은 다층막에 대한 식각 마스크 마진을 확보하기 위하여 높이는 증가된 반면, 고집적 패턴을 형성하기 위해 패턴간 선폭 크기가 감소함에 따라 패턴 종횡비(aspect ratio)가 증가하였다. 그 결과, 후속 식각 공정에서 식각 마스크로 사용될 때, 패턴이 붕괴하는 단점이 있다.On the other hand, while the photoresist pattern obtained by the immersion photolithography process is increased in order to secure an etch mask margin for the multilayer film, the pattern aspect ratio increases as the line width between patterns is reduced to form a highly integrated pattern. It was. As a result, there is a disadvantage that the pattern collapses when used as an etch mask in a subsequent etching process.

이에 상기 문제점을 개선함과 동시에 포커스 깊이(DOF) 또는 노광 관용도(EL) 등의 패터닝 프로세스 윈도우를 확보하기 위하여, 포토레지스트 패턴 하부에 식각 배리어용 비정질 탄소층이 추가 도입되었다.Accordingly, in order to improve the problem and to secure a patterning process window such as focus depth (DOF) or exposure latitude (EL), an amorphous carbon layer for an etching barrier is further introduced under the photoresist pattern.

종래 ArF 이머젼 리소그라피 공정에서 비정질 탄소층을 도입한 반도체 소자의 패턴 형성 방법을 도 1a 내지 도 1c를 참조하여 설명한다.A method of forming a pattern of a semiconductor device in which an amorphous carbon layer is introduced in a conventional ArF immersion lithography process will be described with reference to FIGS. 1A to 1C.

도 1a를 참조하면, 기판의 피식각층 (11) 상부에 비정질 탄소층 (13)과 상기 비정질 탄소층에 대한 식각 선택비가 우수한 마스크 절연막 (15) 및 반사방지막 (17)을 순차적으로 증착하고, 그 상부에 ArF 이머젼 리소그라피 공정을 이용한 포토레지스트 패턴(19)을 형성한다.Referring to FIG. 1A, a mask insulating film 15 and an antireflection film 17 having an excellent etching selectivity with respect to the amorphous carbon layer 13 and the amorphous carbon layer are sequentially deposited on the etched layer 11 of the substrate. A photoresist pattern 19 is formed on the upper surface using an ArF immersion lithography process.

이때, 상기 반사방지막(17)은 스핀 코팅 법을 이용하여 200∼400Å 두께로 형성하고, 상기 포토레지스트 패턴(19)은 900∼1200Å 두께로 형성한다.At this time, the anti-reflection film 17 is formed to a thickness of 200 ~ 400Å by the spin coating method, and the photoresist pattern 19 is formed to a thickness of 900 ~ 1200Å.

도 1b를 참조하면, 상기 도 1a에 도시한 포토레지스트 패턴(19)을 식각 마스크로 이용하여 상기 반사방지막(17) 및 마스크 절연막(15)을 각각 또는 동시에 식 각하여 마스크 절연막 패턴(15-1) 및 반사방지막 패턴 (17-1)으로 이루어진 제1 적층 패턴을 형성한다.Referring to FIG. 1B, using the photoresist pattern 19 shown in FIG. 1A as an etching mask, the anti-reflection film 17 and the mask insulating film 15 are etched individually or simultaneously to form a mask insulating film pattern 15-1. ) And the antireflection film pattern 17-1 is formed.

도 1c를 참조하면, 상기 도 1b에 도시한 제1 적층 패턴을 식각 마스크로 이용하여 상기 비정질 탄소층(13)을 식각하여 비정질 탄소층 패턴 (13-1)과 마스크 절연막 패턴(15-1)으로 이루어진 제2 적층 패턴을 형성한다. 이어서, 상기 비정질 탄소층 패턴(13-1)을 식각 마스크로 하부 피식각층(11)을 식각하여 피식각층 패턴 (미도시)를 형성한다.Referring to FIG. 1C, the amorphous carbon layer 13 is etched using the first stacked pattern shown in FIG. 1B as an etching mask to form an amorphous carbon layer pattern 13-1 and a mask insulating layer pattern 15-1. To form a second laminated pattern consisting of. Subsequently, the lower etching layer 11 is etched using the amorphous carbon layer pattern 13-1 as an etching mask to form an etching layer pattern (not shown).

하지만, 상기 ArF 이머젼 리소그라피 공정에서는 포토레지스트 패턴의 두께 축소로 ArF 광원에 대한 기판 반사율이 높기 때문에, 고지수 유체(high index fluid) 매질과 개구수(NA)가 1.35 보다 높은 ArF 이머젼 노광 장비를 함께 사용하여도, 30nm 이하의 균일한 선폭의 라인 앤드 스페이스 패턴을 형성하기 어렵다.However, in the ArF immersion lithography process, since the substrate reflectance with respect to the ArF light source is high due to the reduction of the thickness of the photoresist pattern, a high index fluid medium and an ArF immersion exposure apparatus having a numerical aperture (NA) higher than 1.35 are used together. Even when used, it is difficult to form a line and space pattern with a uniform line width of 30 nm or less.

이에 따라, 최근에는 ArF 광원보다 기판 반사율이 낮은 단파장의 EUV (extreme ultra violet, 13.4nm) 광원을 이용하는 이머젼 리소그라피 공정이 반도체 소자 양산 공정에 적용된다. 즉, 도 2에 도시한 바와 같이 EUV 이머젼 리소그라피 공정은 낮은 반사율에 의해 포토레지스트막 하부에 반사방지막을 적용한 경우(a)와, 적용하지 않은 경우(b)에 패턴 프로파일의 차이가 없다. Accordingly, recently, an immersion lithography process using a short wavelength EUV (extreme ultra violet, 13.4 nm) light source having a lower substrate reflectance than an ArF light source is applied to a semiconductor device mass production process. That is, as shown in FIG. 2, the EUV immersion lithography process has no difference in pattern profile between the case where the antireflection film is applied to the lower portion of the photoresist film due to the low reflectance (a) and when the antireflection film is not applied (b).

반면, EUV 리소그라피 공정은 선폭 거칠기(LWR, line width roughness)와, 기판에 대한 포토레지스트의 접착력 향상 및 기판으로부터 포토레지스트의 오염을 방지하기 위하여, 포토레지스트막 하부에 i) 헥사메틸디실라잔 (hexamethyl disilazane; 이하 ‘HMDS’라 칭함)막 또는 ii) 소수성의 반사방지막 등을 도입해 야만 한다. 이때, i) HMDS막은 친수성(hydrophilic) 기판에 대한 소수성(hydrophobic) 레지스트의 접착력을 증대시킨다. 즉, HMDS막을 적용한 실리콘 기판과, 산화막(TEOS) 또는 질화막(SIN) 각각의 경우, 접촉각(contact angle) 값이 HMDS 막이 적용되지 않은 경우보다는 증가하나, 레지스트 또는 반사방지막보다는 낮기 때문에 기판에 대한 레지스트의 접착력이 향상된다(도 3 참조). ii) 소수성 반사방지막은 포토레지스트 내부에서 발생한 산이 하부 마스크막으로 확산하거나, 실리콘 질화막과 같은 하부 마스크 절연막으로부터 발생한 아민이 포토레지스트 내부로 확산하는 것을 효과적으로 방지한다. 즉, EUV 리소그라피 공정에서 실리콘 기판에 반사방지막을 형성(도 4의 a 참조)하거나, 티타늄 나이트라이드(TiN) 또는 실리콘 산화질화막(SiON)의 기판에 반사방지막을 형성(도 5의 a 참조) 하는 경우, 반사방지막을 적용하지 않은 경우(도 4의 b 참조)와 비교하여 아민에 의한 패턴 프로파일 열화를 방지할 수 있다. 특히, TiN 기판 또는 SiON 기판에 반사방지막을 적용하지 않으면, 기판의 질소(N) 성분과 포토레지스트의 수소가 반응하여 아민을 발생시키기 때문에 포토레지스트 패턴 하부에서 푸팅(footing) 현상이 다량 유발된다(도 5의 b 참조).On the other hand, EUV lithography process uses i) hexamethyldisilazane (L) under the photoresist film in order to improve the line width roughness (LWR), the adhesion of the photoresist to the substrate and the contamination of the photoresist from the substrate. hexamethyl disilazane (hereinafter referred to as "HMDS") film or ii) hydrophobic antireflection film must be introduced. At this time, i) the HMDS film increases the adhesion of the hydrophobic resist to the hydrophilic substrate. That is, in the case of the silicon substrate to which the HMDS film is applied, and the oxide film (TEOS) or the nitride film (SIN), the contact angle value is increased than when the HMDS film is not applied, but the resist to the substrate is lower than that of the resist or the anti-reflection film. Adhesion is improved (see Fig. 3). ii) The hydrophobic antireflection film effectively prevents the acid generated inside the photoresist from diffusing into the lower mask film or the amine generated from the lower mask insulating film such as silicon nitride film into the photoresist. That is, in the EUV lithography process, an anti-reflection film is formed on a silicon substrate (see FIG. 4 a) or an anti-reflection film is formed on a substrate of titanium nitride (TiN) or silicon oxynitride film (SiON) (see a in FIG. 5). In this case, it is possible to prevent the deterioration of the pattern profile due to the amine as compared with the case where the anti-reflection film is not applied (see b of FIG. 4). In particular, when the anti-reflection film is not applied to the TiN substrate or the SiON substrate, a large amount of footing is caused under the photoresist pattern because the nitrogen (N) component of the substrate reacts with hydrogen of the photoresist to generate an amine ( B of FIG. 5).

한편, 반도체 소자 크기가 점차 미세화됨에 따라, 통상 30nm 이하의 분해능을 요구하는 EUV 리소그라피 공정에서는 식각 마스크로 사용하는 포토레지스트 패턴 높이가 더욱 낮아지고 있기 때문에, 상기 HMSD막이나, 소수성 반사방지막뿐만 아니라, 하부 피식각층에 대한 포토레지스트 패턴의 식각 선택비 및 식각 마진을 확보하는 것이 중요 과제로 대두하고 있다.On the other hand, as the size of semiconductor devices is gradually miniaturized, in the EUV lithography process requiring a resolution of 30 nm or less, the height of the photoresist pattern used as an etching mask is further lowered, and thus not only the HMSD film and the hydrophobic antireflection film, It is an important task to secure an etching selectivity and an etching margin of the photoresist pattern with respect to the lower etching layer.

본 발명에서는 EUV 포토리소그라피 공정 시에 패턴 프로파일이 열화되는 것을 방지할 수 있는 패턴 형성 방법을 제공하는 것을 목적으로 한다.An object of the present invention is to provide a pattern forming method that can prevent the pattern profile from being degraded during the EUV photolithography process.

구체적으로, 본 발명에서는 EUV 광원을 이용한 포토리소그라피 공정 시에 기판과 포토레지스트 계면에 반사방지막보다 얇은 두께의 접착 증진층 (Adhesion promotion layer, APL)을 도입함으로써, 기판에 대한 포토레지스트의 접착력 증가와, 하부 기판으로부터 포토레지스트 패턴이 오염되는 것을 방지할 수 있는 반도체 소자의 패턴 형성 방법을 제공하는 것을 목적으로 한다.Specifically, in the present invention, an adhesion promotion layer (APL) having a thickness thinner than an antireflection film is introduced at the interface between the substrate and the photoresist during the photolithography process using an EUV light source, thereby increasing the adhesion of the photoresist to the substrate. Another object of the present invention is to provide a method of forming a pattern of a semiconductor device capable of preventing contamination of a photoresist pattern from a lower substrate.

본 발명에서는In the present invention

기판의 피식각층 상부에 접착 증진층을 형성하는 단계;Forming an adhesion promoter layer on the etched layer of the substrate;

상기 접착 증진층 상부에 포토레지스트막을 형성하는 단계;Forming a photoresist film on the adhesion promotion layer;

상기 포토레지스트막에 대한 리소그라피 공정을 실시하여 포토레지스트 패턴을 형성하는 단계; 및Performing a lithography process on the photoresist film to form a photoresist pattern; And

상기 포토레지스트 패턴을 식각 마스크로 이용하여 피식각층이 노출될 때까지 접착 증진층을 식각하는 단계를 포함하는 반도체 소자의 패턴 형성 방법을 제공한다.Using the photoresist pattern as an etching mask provides a method of forming a pattern of a semiconductor device comprising the step of etching the adhesion enhancement layer until the etched layer is exposed.

본 발명의 방법에 있어서, 상기 접착 증진층 형성 전에 피식각층 상부에 비정질 탄소층 및 마스크 절연막을 형성하는 단계를 더 포함할 수 있다. 상기 마스크 절연막은 비정질 탄소층으로부터 식각 선택비를 가지는 실리콘 질화막(SiON), 산화막 및 폴리실리콘층으로 이루어진 군으로부터 선택된 어느 하나 이상의 절연막이 바람직하다. In the method of the present invention, the method may further include forming an amorphous carbon layer and a mask insulating film on the etched layer before forming the adhesion promoting layer. The mask insulating film is preferably at least one insulating film selected from the group consisting of a silicon nitride film (SiON), an oxide film and a polysilicon layer having an etching selectivity from an amorphous carbon layer.

본 발명의 방법에 있어서, 상기 접착 증진층은 탄화수소 화합물로 이루어진 층으로서, sp(·C≡C·), sp2(:C=C:) 및 sp3(

Figure 112008072433214-PAT00001
) 결합을 포함하는 탄화수소 화합물층이라면 특별히 제한하지 않는다. 이때, 상기 탄화수소 화합물은 지방족 화합물, 지방족 고리 화합물 또는 방향족 화합물을 들 수 있으며, 바람직하게는 sp3 결합을 포함하는 시클로프로판(C3H6), 헥사데카히드로피렌(C16H26), sp2 결합을 포함하는 에틸렌(C2H4), sp 결합을 포함하는 아세틸렌(C2H2) 화합물, 또는 sp2 및 sp3 결합을 모두 포함하는 아세나프틸렌(acenaphtylene, C12H8) 또는 파이렌(pyrene, C16H10)과 같은 탄화수소 화합물을 들 수 있다. In the method of the present invention, the adhesion promoting layer is made of a hydrocarbon compound, sp (· C ≡ C ·), sp 2 (: C = C :) and sp 3 (
Figure 112008072433214-PAT00001
The hydrocarbon compound layer containing a bond is not particularly limited. In this case, the hydrocarbon compound may include an aliphatic compound, an aliphatic ring compound or an aromatic compound, preferably cyclopropane (C 3 H 6 ), hexadecahydropyrene (C 16 H 26 ), including the sp3 bond, sp2 bond Ethylene (C 2 H 4 ), including an acetylene (C 2 H 2 ) compound containing a sp bond, or acenaphthylene (acenaphtylene, C 12 H 8 ) or pyrene containing both sp2 and sp3 bonds And hydrocarbon compounds such as C 16 H 10 ).

상기 접착 증진층 형성 단계는 1∼10Torr 압력 및 300∼600℃ 온도 조건 하에서 상기 탄화수소 화합물을 가스 형태로 분사하여 증착하는 화학증착법을 적용한다. 또한, 전 단계 마스크 절연막 형성 후, 인 시튜(in-situ) 방법으로 실시하되, 증착 시간을 마스크 절연막 증착 시간보다 좀더 부가하여 사용하기 때문에, 추가 증착 장비나, 증착 기술을 요구하지 않는다. 따라서 TAT 제조 회기 시간(Turn-around-Time)에 큰 영향을 주지 않아, 생산율 감소가 거의 없다. The adhesion promotion layer forming step applies a chemical vapor deposition method by depositing by spraying the hydrocarbon compound in the form of gas under a pressure of 1 ~ 10 Torr pressure and 300 ~ 600 ℃ temperature conditions. In addition, after the mask insulating film is formed in the previous step, the in-situ method is used, but since the deposition time is used in addition to the mask insulating film deposition time, no additional deposition equipment or deposition technology is required. Therefore, the TAT manufacturing turn-around-time is not significantly affected, and there is almost no decrease in production rate.

더하여, 상기 접착 증진층은 화학기상 증착법으로 형성 가능하기 때문에, 종래 스핀 코팅법으로 형성되는 반사방지막보다 더 얇은 두께로 증착 가능하다. 예를 들어, 상기 접착 증진층은 마스크 절연막의 전체 두께 100에 대하여, 5∼20%의 두께로 증착된다. 그 결과, 후속 하부막 식각 공정 시에 식각 마스크로 사용되는 포토레지스트 패턴 식각 마진을 확보할 수 있다. In addition, since the adhesion promotion layer can be formed by a chemical vapor deposition method, it is possible to deposit a thinner thickness than the antireflection film formed by a conventional spin coating method. For example, the adhesion promoting layer is deposited at a thickness of 5 to 20% with respect to the total thickness of the mask insulating film 100. As a result, it is possible to secure a photoresist pattern etching margin used as an etching mask in a subsequent lower layer etching process.

상기 포토레지스트막은 통상적인 화학증폭형 ArF 포토레지스트라면 특별히 제한하지 않으며, 예를 들면 메타크릴레이트 반복단위로 이루어진 중합체를 함유하는 화학증폭형 ArF 포토레지스트 조성물을 도포하고, 베이크하여 형성한다.The photoresist film is not particularly limited as long as it is a conventional chemically amplified ArF photoresist, and is formed by applying and baking a chemically amplified ArF photoresist composition containing a polymer composed of methacrylate repeating units.

이때, 상기 베이크 공정에서 상기 접착 증진층의 탄소-탄소간 결합과 포토레지스트막 내부의 메타크릴레이트 단위 간에 분자간 힘(inter-molecular force) 또는 분자내 힘(intra-molecular force)이 발생하여 접착 증진층과 포토레지스트 표면에 화학적 가교 결합(chemical bond)이 형성되어, 기판에 대한 포토레지스트막의 접착력을 향상시킨다. 예컨대, 마스크 절연막인 실리콘 질화막 상부에 산화막을 형성하는 경우 접촉각은 감소한다. 하지만, 그 상부에 상기 접착 증진층을 형성하면 기판의 접촉각은 포토레지스트와 거의 유사한 수준으로 증가한다(도 6 참조). 따라서, 후속 공정으로 형성되는 포토레지스트의 접착성을 향상시킬 수 있다. In this case, in the baking process, an inter-molecular force or an intra-molecular force is generated between the carbon-carbon bond of the adhesion promoting layer and the methacrylate unit inside the photoresist film, thereby promoting adhesion. Chemical bonds are formed on the layer and the surface of the photoresist to improve the adhesion of the photoresist film to the substrate. For example, when the oxide film is formed on the silicon nitride film, which is a mask insulating film, the contact angle decreases. However, forming the adhesion promoting layer thereon increases the contact angle of the substrate to a level substantially similar to that of the photoresist (see FIG. 6). Therefore, the adhesiveness of the photoresist formed by a subsequent process can be improved.

본 발명의 방법에 있어서, 상기 포토레지스트 패턴은 공지의 EUV 리소그라피 공정에 의해 형성된다. 이때, 상기 접착 증진층에 의해 하부 TiN 또는 SiON 막의 질소 성분과 포토레지스트의 수소 간의 반응이 차단되어, 아민 발생을 방지하기 때문에 포토레지스트 패턴 하부의 푸팅 형상을 방지할 수 있다.In the method of the present invention, the photoresist pattern is formed by a known EUV lithography process. In this case, since the reaction between the nitrogen component of the lower TiN or SiON film and the hydrogen of the photoresist is blocked by the adhesion promoting layer to prevent amine generation, the footing shape under the photoresist pattern may be prevented.

본 발명의 방법에 있어서, 상기 포토레지스트 패턴을 식각 마스크로 접착 증 진층 및 마스크 절연막을 동시에 식각하는 공정은 CF4 또는 CHF3와 같은 불소 함유 식각 가스를 이용한 식각 공정에 의해 수행된다. 상기 비정질 탄소층을 식각하는 공정은 통상적으로 산소, 질소 및 염소 가스를 이용한 식각 공정으로 실시한다.In the method of the present invention, the process of simultaneously etching the adhesive enhancement layer and the mask insulating film using the photoresist pattern as an etching mask is performed by an etching process using a fluorine-containing etching gas such as CF 4 or CHF 3 . The process of etching the amorphous carbon layer is usually performed by an etching process using oxygen, nitrogen and chlorine gas.

전술한 바와 같이, 본 발명의 EUV 리소그라피 공정에서는 포토레지스트와 유사한 접촉각을 가지는 접착 증진층을 포토레지스트와 기판의 계면에 화학기상 증착법을 이용하여 얇은 두께로 증착함으로써, 포토레지스트막과 하부 마스크막 간의 접착력을 확보할 수 있다. 더욱이, 상기 접착 증진층에 의해서 하부 마스크 절연막 등을 식각 할 때 식각 마스크로 사용되는 포토레지스트 패턴 마진을 확보할 수 있으며, 하부 기판으로부터 포토레지스트막 내부로 아민이 확산하는 것을 방지할 수 있어, 포토레지스트 패턴의 프로파일이 열화되는 것을 개선할 수 있다. As described above, in the EUV lithography process of the present invention, the adhesion enhancement layer having a contact angle similar to that of the photoresist is deposited at a thin thickness at the interface between the photoresist and the substrate by using a chemical vapor deposition method. Adhesion can be secured. Furthermore, the photoresist pattern margin used as an etching mask can be secured when etching the lower mask insulating film or the like by the adhesion promoting layer, and the diffusion of the amine from the lower substrate into the photoresist film can be prevented. The degradation of the profile of the resist pattern can be improved.

상술한 바와 같이, 본 발명의 방법에 의해 기판과 포토레지스트막 간의 접착력을 향상할 수 있을 뿐만 아니라, 기판으로부터 포토레지스트막 내부로 아민이 확산하는 것을 방지할 수 있고, 더욱이 상기 접착 증진층을 화학기상 증착법에 의해 얇은 증착 두께로 증착할 수 있으므로, 하부 피식각층에 대한 식각 공정 시에 포토레지스트 패턴의 식각 마진을 확보할 수 있다.As described above, not only can the adhesion between the substrate and the photoresist film be improved by the method of the present invention, but also the diffusion of amine from the substrate into the photoresist film can be prevented, and furthermore, Since it is possible to deposit with a thin deposition thickness by the vapor deposition method, it is possible to ensure the etching margin of the photoresist pattern during the etching process on the lower etching layer.

이하, 첨부된 도면 7a 내지 7d를 참조하여 본 발명의 바람직한 실시예를 상세하게 설명한다. 아울러 본 발명의 바람직한 실시예는 예시의 목적을 위한 것으 로, 당업자라면 첨부된 특허청구범위의 기술적 사상과 범위를 통해 다양한 수정, 변경, 대체 및 부가가 가능할 것이며, 이러한 수정 변경 등은 이하의 특허청구범위에 속하는 것으로 보아야 할 것이다.Hereinafter, with reference to the accompanying drawings 7a to 7d will be described in detail a preferred embodiment of the present invention. In addition, the preferred embodiment of the present invention is for the purpose of illustration, those skilled in the art will be possible to various modifications, changes, replacements and additions through the spirit and scope of the appended claims, such modifications and modifications are described in the following patents It should be regarded as belonging to the claims.

도 7a를 참조하면, 기판의 피식각층 (161) 상부에 비정질 탄소층 (163)을 형성한다. 그리고, 도 7b를 참조하면, 상기 도 7a의 비정질 탄소층(163) 상부에 마스크 절연막(165)과, 접착 증진층(167) 및 EUV용 포토레지스트막(미도시)을 순차적으로 증착한다. 이때, 상기 마스크 절연막 두께는 100∼600Å이다. Referring to FIG. 7A, an amorphous carbon layer 163 is formed on the etched layer 161 of the substrate. Referring to FIG. 7B, a mask insulating layer 165, an adhesion promoting layer 167, and an EUV photoresist film (not shown) are sequentially deposited on the amorphous carbon layer 163 of FIG. 7A. At this time, the thickness of the mask insulating film is 100 ~ 600Å.

본 발명에 있어서, 상기 접착 증진층(167)은 탄화수소 화합물로 이루어진 층으로서, sp(·C≡C·), sp2(:C=C:) 및 sp3(

Figure 112008072433214-PAT00002
) 결합을 포함하는 탄화수소 화합물로 형성된 층이라면 특별히 제한하지 않는다. 이때, 상기 탄화수소 화합물은 지방족 화합물, 지방족 고리 화합물 또는 방향족 화합물을 들 수 있으며, 바람직하게는 sp3 결합을 포함하는 시클로프로판(C3H6), 헥사데카히드로피렌(C16H26), sp2 결합을 포함하는 에틸렌(C2H4), sp 결합을 포함하는 아세틸렌(C2H2) 화합물, 또는 sp2 및 sp3 결합을 모두 포함하는 아세나프틸렌(acenaphtylene, C12H8) 또는 파이렌(pyrene, C16H10)과 같은 탄화수소 화합물을 들 수 있다. In the present invention, the adhesion promotion layer 167 is made of a hydrocarbon compound, sp (· C≡C ·), sp2 (: C = C :) and sp3 (
Figure 112008072433214-PAT00002
The layer is not particularly limited as long as it is a layer formed of a hydrocarbon compound containing a bond. In this case, the hydrocarbon compound may include an aliphatic compound, an aliphatic ring compound or an aromatic compound, preferably cyclopropane (C 3 H 6 ), hexadecahydropyrene (C 16 H 26 ), including the sp3 bond, sp2 bond Ethylene (C 2 H 4 ), including an acetylene (C 2 H 2 ) compound containing a sp bond, or acenaphthylene (acenaphtylene, C 12 H 8 ) or pyrene containing both sp2 and sp3 bonds And hydrocarbon compounds such as C 16 H 10 ).

이때, 상기 접착 증진층 형성 단계는 1∼10Torr 압력 및 300∼600℃ 온도 조건 하에서 상기 탄화수소 화합물을 가스 형태로 분사하여 증착하는 화학증착법을 적용하여 실시한다. 이때, 상기 접착 증진층 형성 단계는 전 단계 마스크 절연막 형성 후, 인 시튜 방법으로 실시할 수 있다. 이와 같이 상기 접착 증진층은 화학기 상 증착법으로 형성 가능하기 때문에, 종래 스핀 코팅법으로 형성되는 반사방지막보다 더 얇은 두께로 증착 가능하다. 예를 들어, 상기 접착 증진층은 마스크 절연막의 전체 두께 100에 대하여, 5∼20%의 두께, 바람직하게는 30Å 이하의 두께로 증착한다. 그 결과, 후속 하부막 식각 공정 시에 식각 마스크로 사용되는 포토레지스트 패턴 식각 마진을 확보할 수 있다. In this case, the adhesion promotion layer forming step is carried out by applying a chemical vapor deposition method by depositing by spraying the hydrocarbon compound in the form of gas under a temperature of 1 ~ 10 Torr pressure and 300 ~ 600 ℃ temperature conditions. In this case, the forming of the adhesion promotion layer may be performed by an in-situ method after forming the mask insulating film in the previous step. As such, the adhesion promoting layer may be formed by chemical vapor deposition, and thus may be deposited to a thickness thinner than an antireflection film formed by a conventional spin coating method. For example, the adhesion promoting layer is deposited at a thickness of 5 to 20%, preferably at a thickness of 30 kPa or less, with respect to the total thickness of the mask insulating film 100. As a result, it is possible to secure a photoresist pattern etching margin used as an etching mask in a subsequent lower layer etching process.

상기 포토레지스트막(미도시)은 통상적인 화학증폭형 ArF 포토레지스트로서, 메타크릴레이트 반복단위로 이루어진 중합체를 함유하는 화학증폭형 ArF 포토레지스트 조성물을 도포하고, 베이크하여 약 600∼800Å 두께로 형성된다.The photoresist film (not shown) is a conventional chemically amplified ArF photoresist, which is coated with a chemically amplified ArF photoresist composition containing a polymer composed of methacrylate repeating units, and baked to form a thickness of about 600 to 800 kPa. do.

이때, 상기 베이크 공정에 의해 상기 접착 증진층(167)의 탄소-탄소 간 결합과 포토레지스트막(미도시) 내부의 메타크릴레이트 단위 간에 화학적 가교 결합(chemical bond)이 형성되어, 기판에 대한 포토레지스트막의 접착력이 향상된다. In this case, a chemical crosslink is formed between the carbon-carbon bond of the adhesion promotion layer 167 and the methacrylate unit inside the photoresist film (not shown) by the baking process, thereby forming a photo for the substrate. The adhesion of the resist film is improved.

이어서, 상기 포토레지스트막(미도시)에 대한 공지의 EUV 리소그라피 공정을 실시하여 포토레지스트 패턴(169)을 형성한다. Subsequently, a known EUV lithography process is performed on the photoresist film (not shown) to form a photoresist pattern 169.

이때 상기 단파장의 EUV 리소그라피 공정의 경우, ArF 광원보다 파장이 매우 짧아 기판 반사율 낮기 때문에, 균일한 패턴 프로파일을 갖는 포토레지스트 패턴을 얻을 수 있다.In this case, in the short wavelength EUV lithography process, since the wavelength is much shorter than that of the ArF light source and the substrate reflectance is low, a photoresist pattern having a uniform pattern profile can be obtained.

도 7c를 참조하면, 상기 도 7b에 도시한 포토레지스트 패턴(169)을 식각 마스크로 이용하여 상기 접착 증진층(167) 및 상기 마스크 절연막(165)을 함께 식각하여 마스크 절연막 패턴(165-1) 및 접착 증진층 패턴(167-1)으로 이루어진 제1 적층 패턴을 형성한다.Referring to FIG. 7C, by using the photoresist pattern 169 illustrated in FIG. 7B as an etching mask, the adhesion promotion layer 167 and the mask insulating layer 165 are etched together to form a mask insulating layer pattern 165-1. And a first laminate pattern composed of the adhesion promoting layer pattern 167-1.

이때, 상기 접착 증진층(167)의 두께는 화학기상 증착법에 의해 종래 반사방지막 두께보다 얇기 때문에, 상기 포토레지스트 패턴(167)의 식각 마진을 확보할 수 있다. 한편, 본 발명의 방법에서 상기 포토레지스트 패턴의 경우 상기 식각 공정 시에 일부 제거되기 때문에 추가 제거 공정을 요구하지 않는다.In this case, since the thickness of the adhesion promotion layer 167 is thinner than the conventional antireflection film thickness by chemical vapor deposition, the etching margin of the photoresist pattern 167 may be secured. In the method of the present invention, since the photoresist pattern is partially removed during the etching process, an additional removal process is not required.

상기 포토레지스트 패턴을 식각 마스크로 접착 증진층 및 마스크 절연막을 식각하는 공정은 CF4 또는 CHF3와 같은 불소 함유 식각 가스를 이용한 식각 공정으로 실시한다.The process of etching the adhesion enhancement layer and the mask insulating layer using the photoresist pattern as an etching mask is performed by an etching process using a fluorine-containing etching gas such as CF 4 or CHF 3 .

도 7d를 참조하면, 상기 7c의 제1 적층 패턴을 식각 마스크로 이용하여 상기 피식각층(161)이 노출될 때까지 상기 비정질 탄소층(163)을 식각한 후 접착 증진층 패턴(167-1)을 제거하여, 비정질 탄소층 패턴 (163-1)과 마스크 절연막 패턴(165-1)으로 이루어진 제2 적층 패턴을 형성한다.Referring to FIG. 7D, by using the first stacked pattern of 7c as an etching mask, the amorphous carbon layer 163 is etched until the etched layer 161 is exposed, and then the adhesion promoting layer pattern 167-1. Is removed to form a second stacked pattern including the amorphous carbon layer pattern 163-1 and the mask insulating film pattern 165-1.

상기 비정질 탄소층(163)을 식각하는 공정은 통상적인 산소, 질소 및 염소 식각 가스를 이용하는 식각 공정으로 실시한다.The process of etching the amorphous carbon layer 163 is performed by an etching process using a conventional oxygen, nitrogen and chlorine etching gas.

이어서, 상기 제2 적층 패턴을 식각 마스크로 하부 피식각층 (161)을 식각하여 피식각층 패턴 (미도시)를 형성한다.Subsequently, the lower etching layer 161 is etched using the second stacked pattern as an etching mask to form an etching layer pattern (not shown).

도 1a 내지 도 1c는 종래 반도체 소자의 패턴 형성 방법을 도시한 공정 개략도.1A to 1C are process schematic diagrams showing a method for forming a pattern of a conventional semiconductor device.

도 2는 종래 EUV 리소그라피 공정으로 얻어진 포토레지스트 패턴을 도시한 SEM 사진. 2 is a SEM photograph showing a photoresist pattern obtained by a conventional EUV lithography process.

도 3은 각각의 기판에 대한 접촉각을 도시한 그래프.3 is a graph showing the contact angle for each substrate.

도 4는 실리콘 기판을 이용하는 EUV 리소그라피 공정에서 반사방지막 적용 여부에 따른 패턴 프로파일 열화를 도시한 패턴의 SEM 사진.Figure 4 is a SEM photograph of the pattern showing the deterioration of the pattern profile according to whether the anti-reflection film applied in the EUV lithography process using a silicon substrate.

도 5는 TiN 기판을 이용하는 EUV 리소그라피 공정에서 반사방지막 적용 여부에 따른 패턴 프로파일 열화를 도시한 패턴의 SEM 사진.FIG. 5 is a SEM photograph of a pattern showing deterioration of a pattern profile depending on whether an antireflection film is applied in an EUV lithography process using a TiN substrate. FIG.

도 6은 본 발명의 접착 증진층을 적용하는 경우 기판의 접촉각 변화를 도시한 그래프.6 is a graph showing a change in the contact angle of the substrate when applying the adhesion promoting layer of the present invention.

도 7a 내지 도 7d는 본 발명의 반도체 소자의 패턴 형성 방법을 도시한 공정 개략도.7A to 7D are process schematic diagrams illustrating a method for forming a pattern of a semiconductor device of the present invention.

<도면의 주요 부분에 대한 간단한 설명><Brief description of the main parts of the drawing>

11, 161: 피식각층 13, 163: 비정질 탄소층11, 161: etching target layer 13, 163: amorphous carbon layer

13-1, 163-1: 비정질 탄소층 패턴 15, 165: 마스크 절연막13-1 and 163-1: amorphous carbon layer patterns 15 and 165: mask insulating film

15-1, 165-1: 마스크 절연막 패턴 17: 반사방지막15-1 and 165-1: mask insulating film pattern 17: antireflection film

17-1: 반사방지막 패턴 19, 169: 포토레지스트 패턴17-1: antireflection film patterns 19, 169: photoresist pattern

167: 접착 증진층 167-1: 접착 증진층 패턴167: adhesion promoter layer 167-1: adhesion promoter layer pattern

Claims (13)

기판의 피식각층 상부에 접착 증진층을 형성하는 단계;Forming an adhesion promoter layer on the etched layer of the substrate; 상기 접착 증진층 상부에 포토레지스트막을 형성하는 단계;Forming a photoresist film on the adhesion promotion layer; 상기 포토레지스트막에 대한 리소그라피 공정을 실시하여 포토레지스트 패턴을 형성하는 단계; 및Performing a lithography process on the photoresist film to form a photoresist pattern; And 상기 포토레지스트 패턴을 식각 마스크로 이용하여 피식각층이 노출될 때까지 접착 증진층을 식각하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.Etching the adhesion enhancement layer using the photoresist pattern as an etching mask until the etching target layer is exposed. 청구항 1에 있어서,The method according to claim 1, 상기 접착 증진층 형성 전에 피식각층 상부에 비정질 탄소층 및 마스크 절연막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.And forming an amorphous carbon layer and a mask insulating film on the etched layer before forming the adhesion promoting layer. 청구항 2에 있어서,The method according to claim 2, 상기 마스크 절연막은 질화막, 산화막 및 폴리실리콘층으로 이루어진 군으로부터 선택된 어느 하나 이상인 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.And the mask insulating film is at least one selected from the group consisting of a nitride film, an oxide film and a polysilicon layer. 청구항 1에 있어서,The method according to claim 1, 상기 접착 증진층은 탄화수소 화합물로 이루어진 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.The adhesion promotion layer is a pattern forming method of a semiconductor device, characterized in that consisting of a hydrocarbon compound. 청구항 4에 있어서,The method according to claim 4, 상기 탄화수소 화합물은 sp(·C≡C·), sp2(:C=C:) 및 sp3(
Figure 112008072433214-PAT00003
) 결합을 하나 이상 포함하는 화합물인 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.
The hydrocarbon compound is sp (· C≡C ·), sp2 (: C = C :) and sp3 (
Figure 112008072433214-PAT00003
A method for forming a pattern of a semiconductor device, characterized in that the compound comprises at least one bond.
청구항 5에 있어서,The method according to claim 5, 상기 탄화수소 화합물은 지방족 화합물, 지방족 고리 화합물 및 방향족 화합물로 이루어진 군으로부터 선택된 어느 하나인 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.The hydrocarbon compound is a pattern forming method of a semiconductor device, characterized in that any one selected from the group consisting of aliphatic compounds, aliphatic ring compounds and aromatic compounds. 청구항 5에 있어서,The method according to claim 5, 상기 탄화수소 화합물은 시클로프로판(C3H6), 헥사데카히드로피렌(C16H26), 에틸렌(C2H4), 아세틸렌(C2H2) 화합물, 아세나프틸렌(C12H8) 및 파이렌(C16H10)으로 이루어진 군으로부터 선택된 어느 하나인 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.The hydrocarbon compound is cyclopropane (C 3 H 6 ), hexadecahydropyrene (C 16 H 26 ), ethylene (C 2 H 4 ), acetylene (C 2 H 2 ) compound, acenaphthylene (C 12 H 8 ) And pyrene (C 16 H 10 ). 청구항 1에 있어서,The method according to claim 1, 상기 접착 증진층 형성 단계는 화학기상 증착법으로 수행되는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.Forming the adhesion promotion layer is a pattern formation method of a semiconductor device, characterized in that performed by chemical vapor deposition. 청구항 1 또는 2에 있어서,The method according to claim 1 or 2, 상기 접착 증진층은 마스크 절연막 형성 후, 인 시튜(in-situ) 방법으로 형성되는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.The adhesion promotion layer is a pattern forming method of a semiconductor device, characterized in that formed after the mask insulating film (in-situ) method. 청구항 1에 있어서,The method according to claim 1, 상기 접착 증진층은 마스크 절연막의 전체 두께 100에 대하여, 5∼20%의 두께로 증착되는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.And the adhesion promoting layer is deposited at a thickness of 5 to 20% with respect to a total thickness of the mask insulating film 100. 청구항 1에 있어서,The method according to claim 1, 상기 포토레지스트막은 메타크릴레이트 반복단위로 이루어진 중합체를 함유하는 화학증폭형 ArF 포토레지스트 조성물을 도포하고, 베이크하여 형성되는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.And the photoresist film is formed by applying and baking a chemically amplified ArF photoresist composition containing a polymer composed of methacrylate repeating units. 청구항 1에 있어서,The method according to claim 1, 상기 포토레지스트 패턴은 EUV 리소그라피 공정에 의해 형성되는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.And the photoresist pattern is formed by an EUV lithography process. 청구항 1에 있어서,The method according to claim 1, 상기 접착 증진층 식각 단계는 불소 함유 식각 가스를 이용하는 식각 공정 방법으로 수행되는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.The adhesion enhancement layer etching step is a pattern forming method of a semiconductor device, characterized in that performed by the etching process method using a fluorine-containing etching gas.
KR1020080102196A 2008-10-17 2008-10-17 Method for forming pattern of semiconductor device KR20100042959A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080102196A KR20100042959A (en) 2008-10-17 2008-10-17 Method for forming pattern of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080102196A KR20100042959A (en) 2008-10-17 2008-10-17 Method for forming pattern of semiconductor device

Publications (1)

Publication Number Publication Date
KR20100042959A true KR20100042959A (en) 2010-04-27

Family

ID=42218186

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080102196A KR20100042959A (en) 2008-10-17 2008-10-17 Method for forming pattern of semiconductor device

Country Status (1)

Country Link
KR (1) KR20100042959A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150100193A (en) * 2014-02-25 2015-09-02 삼성전자주식회사 Method for forming patterns of a semiconductor device
WO2019241402A1 (en) * 2018-06-13 2019-12-19 Brewer Science, Inc. Adhesion layers for euv lithography
KR20200026341A (en) * 2018-08-29 2020-03-11 주식회사 원익아이피에스 Method of Manufacturing Hard Mask Structure
CN113708216A (en) * 2021-07-26 2021-11-26 威科赛乐微电子股份有限公司 Preparation method of vertical resonant cavity surface emitting laser

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150100193A (en) * 2014-02-25 2015-09-02 삼성전자주식회사 Method for forming patterns of a semiconductor device
WO2019241402A1 (en) * 2018-06-13 2019-12-19 Brewer Science, Inc. Adhesion layers for euv lithography
CN112368645A (en) * 2018-06-13 2021-02-12 布鲁尔科技公司 Adhesion layer for EUV lithography
EP3807721A4 (en) * 2018-06-13 2022-04-13 Brewer Science, Inc. Adhesion layers for euv lithography
US11972948B2 (en) 2018-06-13 2024-04-30 Brewer Science, Inc. Adhesion layers for EUV lithography
KR20200026341A (en) * 2018-08-29 2020-03-11 주식회사 원익아이피에스 Method of Manufacturing Hard Mask Structure
CN113708216A (en) * 2021-07-26 2021-11-26 威科赛乐微电子股份有限公司 Preparation method of vertical resonant cavity surface emitting laser

Similar Documents

Publication Publication Date Title
TWI478232B (en) Substrate handling method
JP5290204B2 (en) Fine pattern mask, method of manufacturing the same, and method of forming fine pattern using the same
JP2009016788A (en) Method for forming fine pattern of semiconductor element
US20080009138A1 (en) Method for forming pattern of a semiconductor device
US7901869B2 (en) Double patterning with a double layer cap on carbonaceous hardmask
US7175966B2 (en) Water and aqueous base soluble antireflective coating/hardmask materials
US20100099046A1 (en) Method for manufacturing semiconductor device
KR20210018548A (en) Patterning method to improve EUV resist and hard mask selectivity
US20130026133A1 (en) Method to Transfer Lithographic Patterns Into Inorganic Substrates
KR20100042959A (en) Method for forming pattern of semiconductor device
US7396751B2 (en) Method for manufacturing semiconductor device
KR20090124353A (en) Method for forming pattern of semiconductor device
CN100590531C (en) Two time graph exposure method utilizing developing filler material
CN101308330B (en) Two time graph exposure method utilizing developing filler material
CN101770940B (en) Laminated bottom antireflex structure and etching method
US7972766B2 (en) Method for forming fine pattern of semiconductor device
US20080233490A1 (en) Mask rework method
KR101094953B1 (en) Method for forming micropattern in semiconductor device
KR20090102218A (en) Method for forming pattern of semiconductor device
KR100781876B1 (en) Method for manufacturing of semiconductor device
US7022622B2 (en) Method and structure to improve properties of tunable antireflective coatings
US7842451B2 (en) Method of forming pattern
KR20080085280A (en) Method for forming pattern in semiconductor device
US20080248431A1 (en) Pattern forming method used in semiconductor device manufacturing and method of manufacturing semiconductor device
KR100807521B1 (en) A method of fabricating semiconductor device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination