KR20080012084A - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
KR20080012084A
KR20080012084A KR1020060073108A KR20060073108A KR20080012084A KR 20080012084 A KR20080012084 A KR 20080012084A KR 1020060073108 A KR1020060073108 A KR 1020060073108A KR 20060073108 A KR20060073108 A KR 20060073108A KR 20080012084 A KR20080012084 A KR 20080012084A
Authority
KR
South Korea
Prior art keywords
layer pattern
layer
active layer
film
pattern
Prior art date
Application number
KR1020060073108A
Other languages
Korean (ko)
Other versions
KR100866953B1 (en
Inventor
오창우
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060073108A priority Critical patent/KR100866953B1/en
Publication of KR20080012084A publication Critical patent/KR20080012084A/en
Application granted granted Critical
Publication of KR100866953B1 publication Critical patent/KR100866953B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors

Abstract

A semiconductor device is provided to increase a memory density while guaranteeing greater effective channel length and charge storage layer than a semiconductor device with a conventional 2-bit storage node by installing charge storage layers in an upper portion and a lower portion of an active layer pattern, respectively. An active layer pattern(120) is separated from a semiconductor substrate(100) by a predetermined distance. A lower gate structure is formed on the lower surface of the active layer pattern wherein at least one first lower insulation layer(500a1) and at least one lower gate electrode(600a) are sequentially stacked in the lower gate structure. At least one second lower insulation layer has a mirror structure of the first lower insulation layer formed between the lower gate electrode and the semiconductor substrate. An upper gate structure is formed on the upper surface of the active layer pattern wherein at least one upper insulation layer(500a2) and at least one upper gate electrode(600b) are sequentially stacked in the upper gate structure. The first lower insulation layer includes an ONO(Oxide Nitride Oxide) layer composed of a first oxide layer, a nitride layer and a second layer that are sequentially stacked on the lower surface of the active layer pattern. A conductive layer for forming a floating gate is formed between the first lower insulation layers.

Description

반도체 소자 및 그 제조 방법{Semiconductor device and method of manufacturing the same}Semiconductor device and method of manufacturing the same

도 1은 메모리 셀의 저장 비트 수를 증가시키기 위한 기술로서 셀당 2 비트의 저장 노드를 갖는 NROM SONOS(nitride read only memory silicon-oxide-nitride-oxide-silicon) 메모리 소자를 나타내는 단면도이다.1 is a cross-sectional view illustrating a NROM SONOS (nitride read only memory silicon-oxide-nitride-oxide-silicon) memory device having a storage node of 2 bits per cell as a technique for increasing the number of storage bits of a memory cell.

도 2a 내지 도 2h는 본 발명의 일실시예에 따른 반도체 소자의 제조 방법을 나타내는 평면도이다. 2A to 2H are plan views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention.

도 3a 내지 도 3j는 각각 도 2a 내지 도 2j에 도시된 구조물에 대하여 선 X-X' 를 따라 절취한 단면도이다.3A to 3J are cross-sectional views taken along the line X-X 'of the structure shown in FIGS. 2A to 2J, respectively.

도 4a 내지 도 4c는 각각 본 발명의 일실시예에 따른 반도체 소자의 제조 방법에 의해 제조된 반도체 소자를 나타내는 단면도이다.4A to 4C are cross-sectional views each illustrating a semiconductor device manufactured by a method of manufacturing a semiconductor device according to one embodiment of the present invention.

도 5a 내지 도 5c는 도 4a 내지 도 4b에 도시된 반도체 소자의 등가회로이다. 5A through 5C are equivalent circuits of the semiconductor device illustrated in FIGS. 4A through 4B.

도 6a 내지 도 6j는 본 발명의 다른 실시예에 따른 반도체 소자의 제조 방법을 나타내는 평면도이다. 6A through 6J are plan views illustrating a method of manufacturing a semiconductor device in accordance with another embodiment of the present invention.

도 7a 내지 도 7j는 각각 도 6a 내지 도 6j에 도시된 구조물에 대하여 선 X-X' 를 따라 절취한 단면도이다. 7A to 7J are cross-sectional views taken along the line X-X 'of the structure shown in FIGS. 6A to 6J, respectively.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

100: 반도체 기판 110: 희생층 패턴100: semiconductor substrate 110: sacrificial layer pattern

120: 활성층 패턴 200: 제 1 마스크막 패턴120: active layer pattern 200: first mask film pattern

300: 소자분리막 400: 제 2 마스크막 패턴300: device isolation layer 400: second mask layer pattern

500a1: 제 1 하부 절연막 500a2: 상부 절연막500a 1 : first lower insulating film 500a 2 : upper insulating film

600a: 하부 게이트 전극 600b: 상부 게이트 전극600a: lower gate electrode 600b: upper gate electrode

본 발명은 반도체 소자 및 그 제조 방법에 관한 것으로서, 더욱 상세하게는, 멀티 비트 저장 노드를 갖는 반도체 소자 및 그 제조 방법에 관한 것이다.The present invention relates to a semiconductor device and a method of manufacturing the same, and more particularly, to a semiconductor device having a multi-bit storage node and a method of manufacturing the same.

최근, 반도체 제품의 소형화 추세에 따라, 반도체 소자의 스케일 축소가 가속화되고 있다. 특히, 반도체 메모리 소자의 경우 적정한 저장 용량을 확보하기 위하여, 메모리 셀 크기를 축소시켜 메모리 밀도를 증가시키는 시도와 함께, 메모리 셀의 저장 비트 수를 증가시켜 메모리 밀도를 증가시키는 기술이 제안되고 있다. 이들 중 메모리의 셀 크기를 축소시키는 기술은 트랜지스터 소자의 채널 폭이 축소됨에 따라 나타나는 단채널 효과로 인하여 그 응용에 제한이 있다. In recent years, with the trend of miniaturization of semiconductor products, scale reduction of semiconductor devices has been accelerated. In particular, in the case of a semiconductor memory device, in order to secure an appropriate storage capacity, a technique for increasing the memory density by increasing the number of storage bits of the memory cell with an attempt to increase the memory density by reducing the memory cell size has been proposed. Among them, the technique of reducing the cell size of the memory is limited in its application due to the short channel effect that appears as the channel width of the transistor element is reduced.

이와 대조적으로, 메모리 셀의 저장 비트 수를 증가시키는 기술은 상대적으로 메모리 셀의 스케일 축소에 대한 요구가 적기 때문에, 고저장 용량을 확보하기 위한 대안 기술로서 주목받고 있다. In contrast, a technique of increasing the number of storage bits of a memory cell has attracted attention as an alternative technique for securing a high storage capacity because of a relatively small demand for scale reduction of the memory cell.

도 1은 메모리 셀의 저장 비트 수를 증가시키기 위한 기술로서 셀당 2 비트의 저장 노드를 갖는 NROM SONOS(nitride read only memory silicon-oxide-nitride-oxide-silicon) 메모리 소자(5)를 나타내는 단면도이다.1 is a cross-sectional view showing a NROM SONOS (nitride read only memory silicon-oxide-nitride-oxide-silicon) memory element 5 having a storage node of 2 bits per cell as a technique for increasing the number of storage bits of a memory cell.

도 1을 참조하면, NROM SONOS 메모리 소자(5)는 셀당 2 비트의 저장 노드를 구비함으로써, 메모리 셀의 저장 상태 수를 증가시킬 수 있다. NROM SONOS 메모리 소자(5)는 게이트 전극(30)과 반도체 기판(10) 사이에 터널링 절연막으로 작용하는 산화막(21), 전하 트랩핑막으로 작용하는 질화막(22) 및 전하 차단막으로 작용하는 산화막(23)으로 이루어진 전하저장층인 ONO막을 포함한다. NROM SONOS 메모리 소자(5)는 서로 다른 위치에 저장될 수 있는 전하에 의하여, 순방향 읽기 및 역방향 읽기에 의한 2 비트 동작이 가능할 것으로 기대된다. Referring to FIG. 1, the NROM SONOS memory element 5 may have a storage node of 2 bits per cell, thereby increasing the number of storage states of the memory cell. The NROM SONOS memory device 5 includes an oxide film 21 serving as a tunneling insulating film between the gate electrode 30 and the semiconductor substrate 10, a nitride film 22 serving as a charge trapping film, and an oxide film serving as a charge blocking film ( 23) an ONO film which is a charge storage layer consisting of 23). The NROM SONOS memory element 5 is expected to be capable of two-bit operation by forward read and reverse read by means of charges that can be stored at different locations.

예를 들면, NROM SONOS 메모리 소자의 프로그래밍 동작을 위하여, 워드 라인(WL)과 N+ 비트 라인2(BL2)에 각각 9 V 및 5 V의 전압이 인가되면, 비트 라인2(BL2) 접합 부근의 전하 트랩층의 일국부, 즉 비트2(b2)로 열전자가 주입된다. 주입된 열전자에 의하여 비트2(b2)가 프로그래밍된다. 비트2(b2)의 소거 동작을 위해서는, 게이트 전극(30)과 비트 라인2(BL2)에 각각 - 5 V 및 5 V 의 전압이 인가될 수 있다. 이 때, 비트 라인2(BL2) 접합 근처에서 일어나는 밴드간 터널링에 의해 유기된 열정공이 비트2(b2)에 주입되어, 저장된 전자가 소거될 수 있다. For example, when a voltage of 9 V and 5 V is applied to the word line WL and the N + bit line 2 BL2 for the programming operation of the NROM SONOS memory device, the charge near the junction of the bit line 2 BL2 is applied. Hot electrons are injected into one portion of the trap layer, bit 2 (b2). Bit 2 (b2) is programmed by the injected hot electrons. For the erase operation of the bit 2 (b2), voltages of −5 V and 5 V may be applied to the gate electrode 30 and the bit line 2 BL2, respectively. At this time, the passion holes induced by the inter-band tunneling occurring near the bit line 2 (BL2) junction may be injected into the bit 2 (b2), and the stored electrons may be erased.

비트2(b2)의 읽기 동작을 위해서는, 비트 라인2(bl2)는 접지 상태에 두고, 게이트 전극(30)과 비트 라인1(BL1)에 각각 통상의 전압을 인가함으로써, 역방향 읽기 동작을 수행할 수 있다. 유사한 방법으로 비트1(b1)에 대한 프로그래밍, 소거 및 읽기 동작을 수행할 수 있다.For the read operation of the bit 2 (b2), the bit line 2 (bl2) is in the ground state, by applying a normal voltage to the gate electrode 30 and the bit line 1 (BL1), respectively, to perform the reverse read operation Can be. In a similar manner, programming, erasing and reading operations for bit 1 (b1) can be performed.

그러나, 상술한 NROM SONOS 메모리 소자(5)도 고집적화됨에 따라, NROM SONOS 메모리 소자(5)의 채널 길이가 100 nm 이하로 점차 축소되고, 그에 따라 비트1(b1)과 비트2(b2)의 간격이 축소되어, 저장된 전하의 중첩으로 인한 소자 신뢰성의 저하 현상 등이 문제시 되고 있다. 이로 인하여, 셀당 2 비트 저장 노드를 구비하는 종래의 NROM SONOS 메모리 셀보다 집적화에 유리한 새로운 구조를 갖는 반도체 소자와 그 제조 방법이 요구되고 있다.  However, as the above-described NROM SONOS memory element 5 is also highly integrated, the channel length of the NROM SONOS memory element 5 is gradually reduced to 100 nm or less, and thus the interval between bit 1 (b1) and bit 2 (b2). This has been reduced, causing a problem of deterioration of device reliability due to overlapping of stored charges. For this reason, there is a need for a semiconductor device having a new structure that is more advantageous for integration than a conventional NROM SONOS memory cell having a 2-bit storage node per cell, and a manufacturing method thereof.

따라서, 본 발명이 이루고자 하는 기술적 과제는, 종래의 2 비트 저장 노드를 구비하는 반도체 소자보다 더 큰 유효 채널 길이와 전하 저장층 길이를 제공하면서도 메모리 밀도를 증가시킬 수 있고, 동일 구조에서도 동작 전압에 따라 스위칭 소자로서 또는 메모리 소자로서 다기능을 수행할 수 있어 공정 정합성이 우수한 반도체 소자를 제공하는 것이다.Accordingly, the technical problem to be achieved by the present invention is to increase the memory density while providing a larger effective channel length and a charge storage layer length than a semiconductor device having a conventional 2-bit storage node, and even with the same structure, Accordingly, a multifunction can be performed as a switching element or as a memory element to provide a semiconductor device having excellent process matching.

또한, 본 발명이 이루고자 하는 다른 기술적 과제는, 종래의 2 비트 저장 노드보다 더 큰 유효 채널 길이와 전하 저장층 길이를 제공하면서도 메모리 밀도를 증가시킬 수 있고, 동일 구조에서도 동작 전압에 따라 스위칭 소자로서 또는 메모리 소자로서 다기능을 수행할 수 있는 우수한 공정 정합성을 갖는 반도체 소자의 제조 방법을 제공하는 것이다.In addition, another technical problem to be achieved by the present invention is to increase the memory density while providing a larger effective channel length and charge storage layer length than the conventional two-bit storage node, even in the same structure as the switching element according to the operating voltage Another object of the present invention is to provide a method of manufacturing a semiconductor device having excellent process matching, which can perform multifunction as a memory device.

상기 기술적 과제를 달성하기 위한 본 발명에 따른 반도체 소자는, 반도체 기판; 상기 반도체 기판으로부터 소정의 거리만큼 이격된 활성층 패턴; 상기 활성층 패턴의 저면 상에 순차대로 적층된 적어도 하나 이상의 제 1 하부 절연막 및 하부 게이트 전극으로 이루어진 하부 게이트 구조; 상기 하부 게이트 전극과 상기 반도체 기판 사이에 형성된 상기 제 1 하부 절연막에 대한 거울 구조를 갖는 적어도 하나 이상의 제 2 하부 절연막; 및 상기 활성층 패턴의 상면 상에 순차대로 적층된 적어도 하나 이상의 상부 절연막 및 적어도 하나 이상의 상부 게이트 전극으로 이루어진 상부 게이트 구조를 포함한다.A semiconductor device according to the present invention for achieving the above technical problem, the semiconductor substrate; An active layer pattern spaced apart from the semiconductor substrate by a predetermined distance; A lower gate structure including at least one first lower insulating layer and a lower gate electrode sequentially stacked on the bottom surface of the active layer pattern; At least one second lower insulating film having a mirror structure for the first lower insulating film formed between the lower gate electrode and the semiconductor substrate; And an upper gate structure including at least one upper insulating layer and at least one upper gate electrode sequentially stacked on an upper surface of the active layer pattern.

본 발명의 반도체 소자에 있어서, 상기 제 1 하부 절연막은 상기 활성층 패턴의 저면 상에 순차대로 적층된 제 1 산화막, 질화막 및 제 2 산화막으로 이루어진 ONO 막을 포함할 수 있다. 또한, 상기 상부 절연막도 상기 활성층 패턴의 상면 상에 순차대로 적층된 제 1 산화막, 질화막 및 제 2 산화막으로 이루어진 ONO 막을 포함함으로써 4 비트 저장 노드를 구비하는 반도체 메모리 소자로서 응용될 수 있다. 또한, 본 발명의 반도체 소자는 상기 제 1 하부 절연막과 상기 상부 절연막이 서로 동일한 두께를 갖도록 하여, 상부 게이트와 하부 게이트가 실제 프로그래밍/소거/읽기 동작시 동일한 동작 전압으로 구동될 수 있는 반도체 소자를 제공할 수 있다. In the semiconductor device of the present invention, the first lower insulating film may include an ONO film including a first oxide film, a nitride film, and a second oxide film sequentially stacked on the bottom surface of the active layer pattern. In addition, the upper insulating film may be applied as a semiconductor memory device having a 4-bit storage node by including an ONO film including a first oxide film, a nitride film, and a second oxide film sequentially stacked on the upper surface of the active layer pattern. In addition, the semiconductor device of the present invention has a semiconductor device in which the first lower insulating film and the upper insulating film have the same thickness so that the upper gate and the lower gate can be driven at the same operating voltage during the actual programming / erase / read operation. Can provide.

상기 다른 기술적 과제를 달성하기 위한 본 발명의 일관점에 따른 반도체 소자의 제조 방법은, 반도체 기판 상에 순차대로 희생층 및 활성층을 적층한다. 이 후, 상기 활성층 상에 제 1 마스크막 패턴을 형성하고, 식각 마스크로서 상기 제 1 마스크막 패턴을 사용하여 상기 활성층 및 상기 희생층을 연속적으로 식각함으로써, 상기 반도체 기판 상에 적층된 희생층 패턴 및 활성층 패턴을 한정하는 트렌치를 형성한다. 다음, 상기 트렌치를 매립하는 소자분리막을 형성한 후, 상기 소자분리막에 상기 희생층 패턴의 적어도 일부를 노출시키는 리세스 영역을 형성하기 위해 제 2 마스크막 패턴을 형성한다. 그리고, 식각 마스크로서 상기 제 2 마스크막 패턴을 사용하여 상기 소자분리막에 상기 리세스 영역을 형성하고, 상기 리세스 영역에 의하여 노출된 상기 희생층 패턴을 제거한다.In accordance with an aspect of the present invention for achieving the above technical problem, a method of manufacturing a semiconductor device laminates a sacrificial layer and an active layer sequentially on a semiconductor substrate. Thereafter, a first mask layer pattern is formed on the active layer, and the active layer and the sacrificial layer are continuously etched using the first mask layer pattern as an etching mask, thereby forming a sacrificial layer pattern stacked on the semiconductor substrate. And a trench defining an active layer pattern. Next, after forming the device isolation layer filling the trench, a second mask layer pattern is formed on the device isolation layer to form a recess region exposing at least a portion of the sacrificial layer pattern. The recess region is formed in the device isolation layer using the second mask layer pattern as an etching mask, and the sacrificial layer pattern exposed by the recess region is removed.

상기 희생층 패턴이 제거되어 생성된 공간을 이용하여, 상기 활성층 패턴의 저면 상에 순차대로 적어도 하나 이상의 하부 절연막과 하부 게이트 도전막을 적층하여 하부 게이트 구조를 형성한다. 이어서, 상기 제 1 마스크막 패턴을 제거하고, 노출된 활성층 패턴 상에 순차대로 적어도 하나 이상의 상부 절연막과 상부 게이트 도전막을 적층하여 상부 게이트 구조를 완성한다.The lower gate structure is formed by sequentially stacking at least one lower insulating film and a lower gate conductive film on the bottom surface of the active layer pattern by using a space generated by removing the sacrificial layer pattern. Subsequently, the first mask layer pattern is removed, and at least one upper insulating layer and the upper gate conductive layer are sequentially stacked on the exposed active layer pattern to complete the upper gate structure.

또한, 상기 다른 기술적 과제를 달성하기 위한 본 발명의 다른 관점에 따른 반도체 소자의 제조 방법은, 반도체 기판 상에 순차대로 희생층, 활성층 및 적어도 하나 이상의 상부 절연막을 형성하고, 상기 상부 절연막 상에 제 1 마스크막 패턴을 형성한다. 다음, 식각 마스크로서 상기 제 1 마스크막 패턴을 사용하여 상기 상부 절연막, 상기 활성층 및 상기 희생층을 연속적으로 식각함으로써, 상기 반도체 기판 상에 적층된 희생층 패턴, 활성층 패턴 및 상부 절연막 패턴을 한정하는 트렌치를 형성한 후, 상기 트렌치를 매립하는 소자분리막을 형성한다.In addition, according to another aspect of the present invention, there is provided a method of manufacturing a semiconductor device, wherein a sacrificial layer, an active layer, and at least one upper insulating film are sequentially formed on a semiconductor substrate. 1 A mask film pattern is formed. Next, the upper insulating layer, the active layer, and the sacrificial layer are sequentially etched using the first mask layer pattern as an etching mask to define the sacrificial layer pattern, the active layer pattern, and the upper insulating layer pattern stacked on the semiconductor substrate. After the trench is formed, an isolation layer for filling the trench is formed.

상기 소자분리막에 상기 희생층 패턴의 적어도 일부를 노출시키는 리세스 영 역을 형성하기 위해 제 2 마스크막 패턴을 형성하고, 식각 마스크로서 상기 제 2 마스크막 패턴을 사용하여 상기 소자분리막에 상기 리세스 영역을 형성 한 후, 상기 리세스 영역에 의하여 노출된 상기 희생층 패턴을 제거한다. 이 후, 상기 활성층 패턴의 저면 상에 순차대로 적어도 하나 이상의 하부 절연막과 하부 게이트 도전막을 적층하여 하부 게이트 구조를 형성하고, 상기 제 1 마스크막 패턴을 제거하여 상부 절연막 패턴을 노출시킨다. 다음, 노출된 상부 절연막 패턴 상에 순차대로 상부 게이트 도전막을 적층하여 상부 게이트 구조를 완성한다.A second mask layer pattern is formed on the device isolation layer to form a recess region for exposing at least a portion of the sacrificial layer pattern, and the recess is formed in the device isolation layer using the second mask layer pattern as an etching mask. After forming the region, the sacrificial layer pattern exposed by the recess region is removed. Thereafter, at least one lower insulating layer and the lower gate conductive layer are sequentially stacked on the bottom surface of the active layer pattern to form a lower gate structure, and the first mask layer pattern is removed to expose the upper insulating layer pattern. Next, the upper gate conductive layer is sequentially stacked on the exposed upper insulating layer pattern to complete the upper gate structure.

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

본 발명의 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위하여 제공되는 것이며, 하기 실시예는 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 하기 실시예에 한정되는 것은 아니다. 또한, 도면에서 각 층의 두께나 크기는 설명의 편의 및 명확성을 위하여 과장된 것이며, 도면상에서 동일 부호는 동일한 요소를 지칭한다.The embodiments of the present invention are provided to more fully explain the present invention to those skilled in the art, and the following examples can be modified in various other forms, and the scope of the present invention is It is not limited to an Example. In addition, the thickness or size of each layer in the drawings is exaggerated for convenience and clarity, the same reference numerals in the drawings refer to the same elements.

도 2a 내지 도 2h는 본 발명의 일실시예에 따른 반도체 소자의 제조 방법을 나타내는 평면도이다. 도 3a 내지 도 3j는 각각 도 2a 내지 도 2j에 도시된 구조물에 대하여 선 X-X' 를 따라 절취한 단면도이다. 2A to 2H are plan views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention. 3A to 3J are cross-sectional views taken along the line X-X 'of the structure shown in FIGS. 2A to 2J, respectively.

도 2a 및 도 3a를 참조하면, 반도체 기판(100) 상에 순차대로 희생층(110L), 활성층(120L)을 적층한다. 희생층(110L)은 반도체 기판(100) 및 활성층(110L)에 대하여 식각 선택비를 갖는 물질로 형성한다. 활성층(110L)이 단결정 반도체층으 로 형성되기 위하여, 희생층(110L)과 활성층(120L)은 헤테로 에피택셜 성장법(heteroepitaxial growth)에 의해 형성될 수 있다. 2A and 3A, the sacrificial layer 110L and the active layer 120L are sequentially stacked on the semiconductor substrate 100. The sacrificial layer 110L is formed of a material having an etch selectivity with respect to the semiconductor substrate 100 and the active layer 110L. In order to form the active layer 110L as a single crystal semiconductor layer, the sacrificial layer 110L and the active layer 120L may be formed by heteroepitaxial growth.

예를 들면, 실리콘 반도체 기판(100) 상에, 실리콘에 대하여 식각 선택비를 갖는 실리콘저머늄(SiGe) 또는 실리콘저머늄탄화물(SiGeC)로 이루어진 희생층(110L)을 에피택셜 성장법에 의하여 형성할 수 있다. 이후, 희생층(110L) 상에, 예를 들면, 실리콘 단결정으로 이루어진 활성층(120L)을 에피택셜 성장법에 의하여 형성할 수 있다. For example, on the silicon semiconductor substrate 100, a sacrificial layer 110L made of silicon germanium (SiGe) or silicon germanium carbide (SiGeC) having an etch selectivity with respect to silicon is formed by epitaxial growth. can do. Thereafter, the active layer 120L made of, for example, silicon single crystal may be formed on the sacrificial layer 110L by the epitaxial growth method.

이 때, 희생층(110L)은, 후술하는 하부 게이트 구조를 형성하기 위해 충분한 공간을 확보하여야 하므로, 500 Å 내지 2000 Å의 두께로 형성될 수 있다. 활성층(120L)은, 제조하고자 하는 반도체 소자의 구동 방식, 예를 들면, 완전 공핍형(fully depleted) 또는 부분 공핍형(partial depleted)에 따라 100 Å 내지 2000 Å의 두께로 형성될 수 있다. In this case, since the sacrificial layer 110L must have a sufficient space to form a lower gate structure, which will be described later, the sacrificial layer 110L may be formed to have a thickness of 500 kPa to 2000 kPa. The active layer 120L may be formed to have a thickness of 100 kPa to 2000 kPa depending on a driving method of the semiconductor device to be manufactured, for example, fully depleted or partial depleted.

활성층(120L)은 필요에 따라 불순물을 함유할 수 있으며, 이를 위하여, 에피택셜 성장 공정에서 불순물을 함유하는 혼합 가스를 사용하여 인시츄(in-situ)로 도핑하거나 활성층 형성 후 별도의 이온 주입 공정을 수행할 수도 있다.The active layer 120L may contain impurities as necessary. For this purpose, a dopant is in-situ using a mixed gas containing impurities in the epitaxial growth process or a separate ion implantation process after the active layer is formed. You can also do

도 2b 및 도 3b를 참조하면, 활성층(120L) 상에 제 1 마스크막을 적층하고, 이를 패터닝하여 라인 타입의 제 1 마스크막 패턴(200)을 형성한다. 제 1 마스크막 패턴(200)은 활성층(120L), 희생층(110L) 및 반도체 기판(100)에 대하여 식각 선택비를 갖는 물질로 이루어질 수 있다. 예를 들면, 제 1 마스크막 패턴(200)은 실리콘질화물로 이루어질 수 있다. 이 때, 제 1 마스크막을 적층하기 전에 활성 층(120L)을 보호하기 위한 패드막(미도시)을 더 형성할 수도 있다. 식각 마스크로서 제 1 마스크막 패턴(200)을 사용하여 활성층(120L) 및 희생층(110L)을 연속적으로 식각함으로써, 반도체 기판(100) 상에 적층된 라인 타입의 희생층 패턴(110) 및 활성층 패턴(120)을 한정하는 트렌치(T)를 형성한다.2B and 3B, a first mask layer is stacked on the active layer 120L and patterned to form a line type first mask layer pattern 200. The first mask layer pattern 200 may be formed of a material having an etch selectivity with respect to the active layer 120L, the sacrificial layer 110L, and the semiconductor substrate 100. For example, the first mask layer pattern 200 may be formed of silicon nitride. In this case, a pad film (not shown) may be further formed to protect the active layer 120L before the first mask film is laminated. By sequentially etching the active layer 120L and the sacrificial layer 110L by using the first mask layer pattern 200 as an etching mask, the line type sacrificial layer pattern 110 and the active layer stacked on the semiconductor substrate 100 are etched. A trench T defining the pattern 120 is formed.

도 2c 및 도 3c를 참조하면, 적층된 라인 타입의 희생층 패턴(110) 및 활성층 패턴들(120) 사이의 트렌치(T)를 매립하는 소자분리막(300)을 형성한다. 예를 들면, 화학기상증착법에 의하여 트렌치(T)를 매립하는 절연물질층, 예를 들면, 트렌치(T)가 형성된 반도체 기판(100) 전면에 실리콘산화물층을 적층하고, 제 1 마스크막 패턴(200)의 표면이 노출될 때까지 에치백(etch back) 또는 화학기계적연마 공정에 의하여 평탄화함으로써, 트렌치(T)를 매립하는 소자분리막(300)이 형성될 수 있다.2C and 3C, an isolation layer 300 may be formed to fill the trench T between the stacked line type sacrificial layer pattern 110 and the active layer patterns 120. For example, a silicon oxide layer is laminated on the entire surface of the semiconductor substrate 100 in which the trench T is formed, for example, the trench T by the chemical vapor deposition method, and the first mask layer pattern ( By planarization by an etch back or chemical mechanical polishing process until the surface of the substrate 200 is exposed, the device isolation layer 300 filling the trench T may be formed.

도 2d 및 도 3d를 참조하면, 소자분리막(300)에 희생층 패턴(110)의 적어도 일부를 노출시키는 리세스 영역(도 2e의 R)을 형성하기 위해 제 2 마스크막 패턴(400)을 형성한다. 예를 들면, 제 2 마스크막 패턴(400)은 라인 타입의 제 1 마스크막 패턴(200)과 교차하는 라인 타입을 가질 수 있다. 2D and 3D, a second mask layer pattern 400 is formed on the device isolation layer 300 to form a recess region (R of FIG. 2E) that exposes at least a portion of the sacrificial layer pattern 110. do. For example, the second mask layer pattern 400 may have a line type that intersects the line type first mask layer pattern 200.

이 때, 제 2 마스크막 패턴(400)의 폭(W1)과 간격(W2)은 희생층 패턴(110)의 제거 공정에서 식각 용액의 침투를 용이하게 하고, 활성층 패턴(120)과 제 1 마스크막 패턴(200)을 기계적으로 지지하기 위한 강도를 제공할 수 있도록 결정된다. 제 2 마스크막 패턴(400)은 포토레지스트로 이루어질 수 있다. In this case, the width W 1 and the interval W 2 of the second mask layer pattern 400 facilitate the penetration of the etching solution in the removal process of the sacrificial layer pattern 110, and the active layer pattern 120 and the first layer may be formed. 1 is determined so as to provide the strength for mechanically supporting the mask film pattern 200. The second mask layer pattern 400 may be made of photoresist.

도 2e 및 도 3e를 참조하면, 라인 타입의 제 2 마스크막 패턴들(400) 사이에 노출된 소자분리막 부분을 제거하여, 리세스 영역(R)을 형성한다. 이 때, 소자분리막(300a)의 리세스 영역(R)은 비등방 식각 특성을 갖는 플라즈마 식각 공정에 의하여 형성될 수 있다. 다음, 제 2 마스크막 패턴(400)을 제거한다.2E and 3E, a portion of the device isolation layer exposed between the line type second mask layer patterns 400 is removed to form a recess region R. Referring to FIGS. In this case, the recess region R of the device isolation layer 300a may be formed by a plasma etching process having an anisotropic etching characteristic. Next, the second mask film pattern 400 is removed.

도 2f 및 도 3f를 참조하면, 리세스 영역(R)에 의하여 노출된 희생층 패턴(110)을 제거한다. 예를 들면, 희생층 패턴(110)은 소자분리막(300a)의 리세스 영역(R)에 의하여 형성된 공간을 통하여 침투할 수 있는 식각 용액에 의하여, 선택적으로 제거될 수 있다. 2F and 3F, the sacrificial layer pattern 110 exposed by the recess region R is removed. For example, the sacrificial layer pattern 110 may be selectively removed by an etching solution that may penetrate through the space formed by the recess region R of the device isolation layer 300a.

그 결과, 활성층 패턴(120)과 제 1 마스크막 패턴(200)은 반도체 기판(100)으로부터 희생층 패턴(110)의 두께만큼 이격된다. 반도체 기판(100)으로부터 이격된 활성층 패턴(120)과 제 1 마스크막 패턴(200)은 소자분리막(300a)의 리세스되지 않은 부분과 접촉함으로써 지지될 수 있다.As a result, the active layer pattern 120 and the first mask layer pattern 200 are spaced apart from the semiconductor substrate 100 by the thickness of the sacrificial layer pattern 110. The active layer pattern 120 and the first mask layer pattern 200 spaced apart from the semiconductor substrate 100 may be supported by contacting an unrecessed portion of the device isolation layer 300a.

도 2g 및 도 3g를 참조하면, 활성층 패턴(120)의 저면 상에 순차대로 적어도 하나 이상의 제 1 하부 절연막(500a1)을 형성한다. 예를 들면, 2 비트 저장 노드를 제공하는 하부 전하저장층을 형성하기 위하여, 제 1 하부 절연막(500a1)으로서 순차대로 제 1 산화막(501), 질화막(502) 및 제 2 산화막(503)으로 이루어진 ONO 막을 형성할 수 있다. 2G and 3G, at least one first lower insulating film 500a 1 is sequentially formed on the bottom surface of the active layer pattern 120. For example, in order to form a lower charge storage layer providing a 2-bit storage node, the first oxide film 501, the nitride film 502, and the second oxide film 503 are sequentially formed as the first lower insulating film 500a 1 . The made ONO film can be formed.

이 때, 제 1 산화막(501)은 열산화 공정에 의하여 형성되고, 질화막(502)은 화학기상증착법에 의하여 형성될 수 있으며, 제 2 산화막(503)은 화학기상증착법에 의하여 형성될 수 있다. 제 1 하부 절연막(500a1)을 형성하기 위한 공정에 노출된 반도체 기판(100)의 표면 상에도 순차대로 실질적으로 동일한 물질과 동일 두께를 갖는 제 1 산화막(501s), 질화막(502s) 및 제 2 산화막(503s)이 적층된 제 2 하부 절연막(500s)이 형성될 수 있다.In this case, the first oxide film 501 may be formed by a thermal oxidation process, the nitride film 502 may be formed by a chemical vapor deposition method, and the second oxide film 503 may be formed by a chemical vapor deposition method. The first oxide film 501s, the nitride film 502s, and the second having the same material and the same thickness are sequentially on the surface of the semiconductor substrate 100 exposed to the process for forming the first lower insulating film 500a 1 . A second lower insulating film 500s may be formed on which the oxide film 503s is stacked.

제 1 하부 절연막(500a1)은 상기 ONO 막에 한정되는 것은 아니며, 질화막(502) 대신에 도전막인 폴리실리콘막(미도시)을 적층하여 부유 게이트(floating gate)를 포함하는 하부 전하저장층을 형성할 수도 있다. 또한, 상기 하부 전하저장층과 후술하는 하부 게이트 전극(600a) 사이에 상기 하부 전하저장층의 커플링을 제어하기 위한 제어 절연막(미도시)을 더 포함할 수도 있다. 또한, 제 1 하부 절연막(500a1)으로서 하부 게이트 절연막(도 4c의 500c1 참조)만을 형성할 수도 있다. The first lower insulating film 500a1 is not limited to the ONO film, and instead of the nitride film 502, a polysilicon film (not shown) that is a conductive film is stacked to form a lower charge storage layer including a floating gate. It may be formed. In addition, a control insulating layer (not shown) may be further included between the lower charge storage layer and the lower gate electrode 600a to control coupling of the lower charge storage layer. Further, only the lower gate insulating film (see 500c 1 of FIG. 4C) may be formed as the first lower insulating film 500a 1 .

이후, 제 1 하부 절연막(500a1) 상에 폴리실리콘 또는 금속과 같은 도전성 물질로 이루어진 하부 게이트 도전막을 적층할 수 있다. 하부 게이트 도전막을 적층한 후, 식각 마스크로서 제 1 마스크막 패턴(200) 및 리세스 영역(R)을 구비하는 소자분리막(300a)을 사용하여, 리세스 영역(R)에 노출된 제 1 하부 절연막(500a1)과 하부 게이트 도전막을 식각한다. 이로 인하여, 반도체 소자의 셀간에 전기적으로 분리된 하부 게이트 전극(600a)을 형성할 수 있다. Thereafter, a lower gate conductive layer made of a conductive material such as polysilicon or a metal may be stacked on the first lower insulating layer 500a 1 . After the lower gate conductive layer is stacked, the first lower portion exposed to the recess region R is formed by using the device isolation layer 300a including the first mask layer pattern 200 and the recess region R as an etching mask. The insulating film 500a 1 and the lower gate conductive film are etched. As a result, the lower gate electrode 600a may be formed between the cells of the semiconductor device.

선택적으로는, 반도체 소자의 구동 방식에 따라 상기 하부 게이트 도전막을 식각하지 않음으로써, 반도체 소자의 셀들 내에 하부 공통 게이트 전극을 형성할 수도 있다. 다만, 이 경우에는 활성층 패턴(120)과 하부 게이트 전극(600a)의 전 기적 분리를 위하여, 상기 하부 게이트 도전막이 활성층 패턴(120)과 접촉하여서는 안된다.Alternatively, the lower common gate electrode may be formed in the cells of the semiconductor device by not etching the lower gate conductive layer according to the driving method of the semiconductor device. In this case, however, the lower gate conductive layer should not be in contact with the active layer pattern 120 to electrically separate the active layer pattern 120 from the lower gate electrode 600a.

이와 같이 본 발명의 일실시예인 반도체 소자의 제조 방법에 따르면, 일반적인 ONO 막에 있어서 제 1 산화막(501), 질화막(502) 및 제 2 산화막(503)이 각각 약 30 Å, 100 Å 및 60 Å이고, 제거된 희생층 패턴(120)에 의하여 형성된 빈 공간의 높이가 500 Å 내지 2000 Å임을 고려할 때, 활성층 패턴(120)의 저면 상에 ONO 막 및 부유 게이트와 같은 전하저장층 그리고 하부 게이트 전극(600a)을 형성하기 위한 공정 마진을 충분히 확보할 수 있게 된다. As described above, according to the method of manufacturing a semiconductor device according to one embodiment of the present invention, in a typical ONO film, the first oxide film 501, the nitride film 502, and the second oxide film 503 are about 30 kV, 100 kV and 60 kV, respectively. When considering the height of the empty space formed by the removed sacrificial layer pattern 120 is 500 kPa to 2000 kPa, the charge storage layer such as the ONO film and the floating gate and the lower gate electrode on the bottom surface of the active layer pattern 120 The process margin for forming the 600a can be sufficiently secured.

또한, 제 1 하부 절연막(500a1)을 형성하는 공정에서 활성층 패턴(120)의 저면 상에 적층되는 절연막들(501, 502, 503)과 실질적으로 동일한 물질로 이루어지고 실질적으로 동일한 두께로 형성되는 거울 구조를 갖는 제 2 하부 절연막(500s), 즉 절연막들(501s, 502s, 503s)에 의하여, 하부 게이트 전극(600a)이 반도체 기판(100)으로부터 절연될 수 있다. 이와 같이, 본 발명에 따르면 하부 게이트 전극(600a)을 위하여 별도의 절연 공정을 수행하지 않고서도, 반도체 기판(100)과 하부 게이트 전극(600a)이 전기적으로 절연될 수 있게 된다.Further, in the process of forming the first lower insulating film 500a 1 , the first lower insulating film 500a 1 may be formed of substantially the same material and substantially the same thickness as the insulating films 501, 502, and 503 stacked on the bottom surface of the active layer pattern 120. The lower gate electrode 600a may be insulated from the semiconductor substrate 100 by the second lower insulating layer 500s having the mirror structure, that is, the insulating layers 501s, 502s, and 503s. As described above, according to the present invention, the semiconductor substrate 100 and the lower gate electrode 600a may be electrically insulated without performing a separate insulation process for the lower gate electrode 600a.

또한, 제 1 하부 절연막(500a1)과 동일한 두께를 갖도록 상부 절연막(도 4a의 500a2 참조)을 형성하는 경우, 완성된 반도체 소자에서, 예를 들면, 프로그래밍/소거/읽기 동작시 상부 게이트와 하부 게이트가 동일한 동작 전압으로 작동될 수 있다. In addition, when the upper insulating film (see 500a 2 of FIG. 4A) is formed to have the same thickness as the first lower insulating film 500a 1 , in the completed semiconductor device, for example, an upper gate and The bottom gate can be operated with the same operating voltage.

도 2h 및 도 3h를 참조하면, 소자분리막(300a)의 리세스 영역(R)을 절연물질로 매립한다. 예를 들면, 화학기상증착법에 의하여 갭필(gap fill) 특성이 우수한 절연물질층을 반도체 기판(100) 전면에 형성하고, 제 1 마스크막 패턴(200)과 소자분리막(300a)의 표면이 노출될 때까지 에치백(etch back) 또는 화학기계적연마 공정에 의하여 평탄화함으로써, 소자분리막(300a)의 리세스 영역(R)을 절연물질로 매립할 수 있다. 상기 절연물질은 소자분리막(300)과 동일한 물질, 예를 들면 실리콘산화물일 수 있다. 2H and 3H, the recess region R of the isolation layer 300a is filled with an insulating material. For example, an insulating material layer having excellent gap fill characteristics may be formed on the entire surface of the semiconductor substrate 100 by chemical vapor deposition, and the surfaces of the first mask layer pattern 200 and the device isolation layer 300a may be exposed. By planarization by an etch back or chemical mechanical polishing process, the recess region R of the isolation layer 300a may be filled with an insulating material. The insulating material may be the same material as that of the device isolation layer 300, for example, silicon oxide.

도 2i 및 도 3i를 참조하면, 제 1 마스크막 패턴(200)을 건식 식각 또는 습식 식각을 통하여 선택적으로 제거한다. 예를 들면, 제 1 마스크막 패턴(200)이 실리콘질화막으로 이루어진 경우, CF4 가스를 이용한 반응성 건식식각을 하거나, 인산을 이용한 습식 식각에 의하여 제거될 수 있다. 이 때, 적어도 활성층 패턴(120)의 상부 표면 보다 낮게 소자분리막(300)을 리세스시켜 활성층 패턴(120)의 측면이 노출되게 하는 경우 핀형 활성층 패턴(120)을 형성할 수 있게 된다.2I and 3I, the first mask layer pattern 200 may be selectively removed through dry etching or wet etching. For example, when the first mask layer pattern 200 is formed of a silicon nitride layer, the first mask layer pattern 200 may be removed by reactive dry etching using CF 4 gas or by wet etching using phosphoric acid. In this case, when the device isolation layer 300 is recessed at least lower than the upper surface of the active layer pattern 120 to expose the side surface of the active layer pattern 120, the fin type active layer pattern 120 may be formed.

도 2j 및 도 3j를 참조하면, 노출된 활성층 패턴(120)의 상면 상에 순차대로 적어도 하나 이상의 상부 절연막(500a2)을 형성한다. 예를 들면, 2 비트 저장 노드를 제공하는 상부 전하저장층을 형성하기 위하여, 순차대로 제 1 산화막(504L), 질화막(505L) 및 제 2 산화막(506L)으로 이루어진 ONO 막을 적층하고 이를 패터닝하여 상부 절연막(도 4a의 500a2 참조)을 형성할 수 있다. 제 1 산화막(504L)은 예를 들면 열산화 공정에 의하여 형성되고, 질화막(505L)은 화학기상증착법에 의하여 형성될 수 있으며, 제 2 산화막(506L)은 화학기상증착법에 의하여 형성될 수 있다. 2J and 3J, at least one upper insulating film 500a 2 is sequentially formed on the exposed top surface of the active layer pattern 120. For example, in order to form an upper charge storage layer providing a 2-bit storage node, an ONO film made of a first oxide film 504L, a nitride film 505L, and a second oxide film 506L is sequentially stacked and patterned to form an upper layer. An insulating film (see 500a2 in FIG. 4A) can be formed. The first oxide film 504L may be formed by, for example, a thermal oxidation process, the nitride film 505L may be formed by chemical vapor deposition, and the second oxide film 506L may be formed by chemical vapor deposition.

상부 절연막(500a2)은 ONO 막에 한정되는 것은 아니며, 질화막(505L) 대신에 도전막인 폴리실리콘막을 적층하여 부유 게이트(floating gate)를 포함하는 상부 전하저장층을 형성할 수도 있다. 또한, 상기 상부 전하저장층과 후술하는 상부 게이트 전극(도 4a의 600b 참조) 사이에 상기 상부 전하저장층의 커플링을 제어하기 위한 제어 절연막(미도시)을 더 포함할 수도 있다. 또한, 상부 절연막(500a2)으로서 게이트 절연막(도 4b의 500b2 참조)만을 형성할 수도 있다.The upper insulating film 500a 2 is not limited to the ONO film, and instead of the nitride film 505L, a polysilicon film as a conductive film may be stacked to form an upper charge storage layer including a floating gate. Further, a control insulating layer (not shown) for controlling the coupling of the upper charge storage layer may be further included between the upper charge storage layer and the upper gate electrode (see 600b of FIG. 4A). Further, only the gate insulating film (see 500b 2 in FIG. 4B) may be formed as the upper insulating film 500a 2 .

이후, 통상의 트랜지스터 형성 공정에 의하여 도 4a 내지 5c에 도시된 다양한 구조를 갖는 반도체 소자를 완성한다. 반도체 소자를 완성하기 위한 후속 공정에 대하여는 도 4a 내지 도 5c를 참조하여 후술한다. Thereafter, a semiconductor device having various structures shown in FIGS. 4A to 5C is completed by a conventional transistor forming process. Subsequent processes for completing the semiconductor device will be described later with reference to FIGS. 4A to 5C.

상술한 본 발명의 실시예에 관한 반도체 소자의 제조 방법에 따르면, 상부 절연막(500a2)과 제 1 하부 절연막(500a1)을 모두 ONO 막으로 형성하거나, 선택적으로 어느 하나의 절연막에 대해서만 ONO 막으로 형성할 수 있다. 또한, 상기 ONO 막의 질화막 대신에 폴리실리콘과 같은 도전성막을 사용하여 전하저장층을 형성할 수도 있다. 또한, 상부 절연막(500a2)과 제 1 하부 절연막(500a1)으로서 다층막으로 이루어진 전하저장층 대신에 게이트 절연막(도 4b 및 도 4c 참조)만을 형성할 수도 있다. According to the method for manufacturing a semiconductor device according to the embodiment of the present invention described above, both the upper insulating film 500a 2 and the first lower insulating film 500a 1 are formed of an ONO film or, optionally, the ONO film only for one of the insulating films. It can be formed as. In addition, a charge storage layer may be formed using a conductive film such as polysilicon instead of the nitride film of the ONO film. Note that only the gate insulating film (see FIGS. 4B and 4C) may be formed as the upper insulating film 500a 2 and the first lower insulating film 500a 1 , instead of the charge storage layer made of a multilayer film.

이와 같이, 본 발명의 실시예에 관한 반도체 소자의 제조 방법에 따르면, 희 생층 패턴(110)의 두께를 조절함으로써, 다양한 기능을 수행할 수 있는 제 1 하부 절연막(500a1)을 형성할 수 있으며, 동시에 하부 게이트 전극(600a)을 형성할 수 있는 공정 마진을 확보할 수 있다.As described above, according to the method of manufacturing the semiconductor device according to the embodiment of the present invention, the first lower insulating film 500a 1 capable of performing various functions may be formed by adjusting the thickness of the diluted layer pattern 110. At the same time, a process margin capable of forming the lower gate electrode 600a may be secured.

이하, 도 4a 내지 도 5b를 참조하여, 본 발명의 일실시예에 관한 후속 공정을 상술한다. Hereinafter, a subsequent process according to an embodiment of the present invention will be described in detail with reference to FIGS. 4A to 5B.

도 4a 내지 도 4c는 각각 본 발명의 일실시예에 따른 반도체 소자의 제조 방법에 의해 제조된 반도체 소자를 나타내는 단면도이며, 도 5a 내지 도 5c는 도 4a 내지 도 4b에 도시된 반도체 소자의 등가회로이다. 4A to 4C are cross-sectional views illustrating semiconductor devices manufactured by a method of manufacturing a semiconductor device according to one embodiment of the present invention, and FIGS. 5A to 5C are equivalent circuits of the semiconductor devices shown in FIGS. 4A to 4B. to be.

도 4a 및 도 5a를 참조하면, 도 2j 및 도 3j에 도시된 제 2 산화막(506L) 상에 상부 게이트 도전막, 예를 들면 폴리실리콘막을 증착하고 패터닝하여 상부 게이트 전극(600b)을 형성한다. 상부 게이트 전극(600b)이 형성된 결과물 전면에 절연막, 예를 들면 실리콘질화막을 증착한 후에 상기 절연막을 에치백하여 상부 게이트 전극(600b)의 측벽 상에 스페이서(601)를 형성할 수 있다. 이 때, 적층된 ONO 막(504L, 505L, 506L)을 동시에 식각함으로써, 자기정렬된 상부 전하저장층을 형성할 수도 있다.4A and 5A, an upper gate conductive layer, for example, a polysilicon layer, is deposited and patterned on the second oxide layer 506L illustrated in FIGS. 2J and 3J to form an upper gate electrode 600b. After depositing an insulating layer, for example, a silicon nitride layer, on the entire surface of the resultant on which the upper gate electrode 600b is formed, the insulating layer may be etched back to form a spacer 601 on the sidewall of the upper gate electrode 600b. At this time, the stacked ONO films 504L, 505L, and 506L are simultaneously etched to form a self-aligned upper charge storage layer.

다음으로, 이온주입 마스크로서 상부 게이트 전극(600b)과 스페이서(601)를 사용하여, 활성층 패턴(120)에 불순물 이온을 주입함으로써, 고농도의 소오스 영역(S) 및 드레인 영역(D)을 형성할 수 있다. 이 후, 층간절연막(700)을 형성하고, 통상의 비아 플러그 형성 공정에 의해 콘택 플러그(VC)를 형성하고, 소오스(S) 및 드레인(D)에 대한 비트 라인(BL1, BL2) 배선 공정과 상부 게이트 전극(600b)과 하부 게이트 전극(600a)에 대한 워드 라인(WL1, WL2) 배선 공정을 수행한다.Next, the impurity ions are implanted into the active layer pattern 120 using the upper gate electrode 600b and the spacer 601 as an ion implantation mask, thereby forming a high concentration source region S and a drain region D. Can be. Thereafter, the interlayer insulating film 700 is formed, the contact plug VC is formed by a normal via plug forming process, and the bit lines BL 1 and BL 2 are connected to the source S and the drain D. The word line WL 1 and WL 2 wiring processes for the upper gate electrode 600b and the lower gate electrode 600a are performed.

본 실시예에 따른 반도체 소자는 상부와 하부에 모두 전하저장층을 갖는 플래시 메모리로 사용될 수 있다. 특히, 제 1 하부 절연막(500a1)이 ONO 막인 경우 4 비트 저장 노드를 갖는 플래시 메모리로 사용될 수 있다. 또한, 상부 절연막(500a2)과 제 1 하부 절연막(500a1)의 두께, 예를 들면, 각각 터널링 절연막, 전하 트래핑막 및 전하 차단막의 두께를 서로 동등하게 형성하여 대칭적 구조를 갖게 함으로써, 상부 게이트와 하부 게이트가 실제 프로그래밍/소거/읽기 동작시 동일한 동작 전압으로 구동될 수 있다.The semiconductor device according to the present embodiment may be used as a flash memory having a charge storage layer on both top and bottom thereof. In particular, when the first lower insulating film 500a1 is an ONO film, the first lower insulating film 500a1 may be used as a flash memory having a 4-bit storage node. In addition, the thicknesses of the upper insulating film 500a 2 and the first lower insulating film 500a 1 , for example, the thicknesses of the tunneling insulating film, the charge trapping film, and the charge blocking film are equally formed to have a symmetrical structure. The gate and the bottom gate can be driven with the same operating voltage during the actual program / erase / read operation.

도 4b 및 도 5b를 참조하면, 도 2j 및 도 3j를 참조하여 상술한 바와 같이 제 1 하부 절연막(500b1)으로서 ONO 막을 형성하고, 상부 절연막(500b2)으로서 게이트 절연막만으로 형성하여, 2 비트 저장 노드를 갖는 플래시 메모리 소자를 제공할 수 있다. 본 실시예에 따른 반도체 소자는 활성층 패턴(120)이 제 1 하부 절연막(500b1)에 의하여 반도체 기판(100)으로부터 분리됨으로써, 저전압 및 고속 동작 소자에 적합한 부유 바디 채널을 갖는 상부 트랜지스터를 제공할 수 있다. 4B and 5B, as described above with reference to FIGS. 2J and 3J, an ONO film is formed as the first lower insulating film 500b 1 , and only a gate insulating film is formed as the upper insulating film 500b 2 , thereby forming two bits. It is possible to provide a flash memory device having a storage node. In the semiconductor device according to the present exemplary embodiment, the active layer pattern 120 is separated from the semiconductor substrate 100 by the first lower insulating film 500b 1 , thereby providing an upper transistor having a floating body channel suitable for low voltage and high speed operation devices. Can be.

마찬가지로, 도시하지는 않았으나 도 2g를 참조하여 상술한 바와 같이, 제 1 하부 절연막(500b1)으로서 게이트 절연막(도 4c의 500c1 참조)만을 형성하고, 상부 절연막(500a2)으로서 ONO 막을 형성함으로써, 2 비트 저장 노드를 갖는 반도체 메모 리 소자를 제공할 수도 있다. Similarly, although not shown, as described above with reference to FIG. 2G, by forming only the gate insulating film (see 500c 1 of FIG. 4C) as the first lower insulating film 500b 1 , and forming the ONO film as the upper insulating film 500a 2 , It is also possible to provide a semiconductor memory device having a two bit storage node.

도 4c 및 도 5c를 참조하면, 도 2j 및 도 2g를 참조하여 상술한 바와 같이, 제 1 하부 절연막(500c1))과 상부 절연막(500a2)으로서 각각 하부 게이트 절연막과 상부 게이트 절연막을 갖는 트랜지스터를 제공할 수도 있다. 또한, 본 실시예에 따른 반도체 소자는 활성층 패턴(120)이 반도체 기판(100)으로부터 분리된 저전압 및 고속 동작 소자에 적합한 부유 바디 채널을 갖는 상부 트랜지스터를 제공할 수 있을 뿐만 아니라, 활성층의 두께를 조절하여 완전 공핍(fully depeleted) 또는 부분 공핍(partially depeleted) 트랜지스터를 제공할 수 있다. 4C and 5C, as described above with reference to FIGS. 2J and 2G, a transistor having a lower gate insulating film and an upper gate insulating film as the first lower insulating film 500c 1 ) and the upper insulating film 500a 2 , respectively. May be provided. In addition, the semiconductor device according to the present embodiment may not only provide an upper transistor having a floating body channel suitable for low voltage and high speed operation devices in which the active layer pattern 120 is separated from the semiconductor substrate 100, but also increase the thickness of the active layer. It can be adjusted to provide a fully depeleted or partially depeleted transistor.

이와 같이, 제 1 하부 절연막과 상부 절연막으로서 각각 하부 게이트 절연막과 상부 게이트 절연막만을 형성함으로써, 독립적으로 하부 게이트에 인가되는 전압을 조절하여 상기 부유 바디 채널 제어 능력이 향상된 저전압 및 고속 동작 소자에 적합한 트랜지스터를 제공할 수 있다. 또한, 본 실시예에 따른 반도체 소자는 하부 게이트 전극(600a)에 의하여 상기 부유 바디 채널에 정공과 같은 전하를 저장함으로써 1T DRAM과 같은 반도체 메모리 소자로서 이용할 수도 있다. As described above, by forming only the lower gate insulating film and the upper gate insulating film as the first lower insulating film and the upper insulating film, respectively, a transistor suitable for low voltage and high speed operation devices in which the floating body channel control capability is improved by controlling the voltage applied to the lower gate independently. Can be provided. In addition, the semiconductor device according to the present exemplary embodiment may be used as a semiconductor memory device such as a 1T DRAM by storing charge such as holes in the floating body channel by the lower gate electrode 600a.

도 4a 내지 도 5c에 도시된 본 발명의 실시예들에 따른 반도체 소자는, 제 1 하부 절연막(500a1, 500b1, 500c2) 및 하부 게이트 전극(600a)으로 이루어진 하부 게이트 구조를 형성하는 공정에서 활성층 패턴(120)의 저면 상에 적층되는 절연막들(501, 502, 503)과 실질적으로 동일한 물질로 이루어지고 실질적으로 동일한 두께로 형성되는 거울 구조를 갖는 절연막(501s, 502s, 503s)들로 이루어진 제 2 하 부 절연막(500s)에 의하여, 하부 게이트 전극(600a)이 반도체 기판(100)으로부터 절연될 수 있다. 이로써, 본 발명에 따르면 반도체 소자의 셀간 독립적인 하부 게이트 전극을 형성하기 위하여 별도의 절연 공정을 수행하지 않고서도, 반도체 기판(100)과 하부 게이트 전극(600a)이 전기적으로 절연될 수 있다.In the semiconductor device according to the embodiments of the present invention illustrated in FIGS. 4A to 5C, a process of forming a lower gate structure including a first lower insulating layer 500a 1 , 500b 1 , 500c 2 and a lower gate electrode 600a is provided. Insulating films 501s, 502s, and 503s having a mirror structure formed of substantially the same material and having substantially the same thickness as the insulating films 501, 502, and 503 stacked on the bottom surface of the active layer pattern 120 in FIG. The lower gate electrode 600a may be insulated from the semiconductor substrate 100 by the second lower insulating layer 500s. Accordingly, according to the present invention, the semiconductor substrate 100 and the lower gate electrode 600a may be electrically insulated without performing a separate insulating process to form an independent lower gate electrode between cells of the semiconductor device.

또한, 하나의 반도체 칩 내에서 다양한 기능을 수행하는 트랜지스터가 요구되는 경우, 본 발명에 따른 반도체 소자 중 어느 하나의 구조를 갖는 반도체 소자가 사용될 수 있다. 이것은 상술한 반도체 소자가 부유 바디 채널을 독립적으로 제어할 수 있는 상부 게이트 전극과 하부 게이트 전극을 제공하기 때문에, 동일한 구조를 갖더라도 동작 전압에 따라서 통상의 스위칭 소자로서 또는 메모리 소자로서 기능할 수 있는 점에 기인한다. In addition, when a transistor for performing various functions in one semiconductor chip is required, a semiconductor device having any one of the semiconductor devices according to the present invention may be used. This is because the semiconductor element described above provides an upper gate electrode and a lower gate electrode capable of independently controlling the floating body channel, and thus can function as a normal switching element or as a memory element depending on the operating voltage, even if they have the same structure. Due to the point.

그 결과, 예를 들면 메모리 영역과 로직 영역에서 요구되는 서로 다른 기능을 수행하는 반도체 소자들을 동일 공정에 의해 동시에 형성할 수 있게 된다. 이로 인하여, 하나의 공정으로 메모리 영역과 로직 영역의 반도체 소자를 동시에 제조할 수 있기 때문에, 본 발명에 따르면 공정의 정합(integration) 측면에서 경제적인 제조 방법이 제공될 수 있다. 선택적으로는, 하나의 반도체 칩 내에서도 필요에 따라서 예를 들면, 스위칭 소자로서 또는 메모리 소자로서 영역마다 서로 다른 구조를 갖도록 형성될 수도 있음은 자명하다.As a result, for example, semiconductor devices that perform different functions required in a memory area and a logic area can be simultaneously formed by the same process. As a result, since the semiconductor devices of the memory region and the logic region can be manufactured simultaneously in one process, an economical manufacturing method can be provided in terms of integration of the process. Alternatively, it is apparent that even one semiconductor chip may be formed to have a different structure for each region as a switching element or a memory element, as necessary.

본 발명의 실시예들에 따른 반도체 소자에 관한 도 4a 내지 4c에서는 활성층 패턴(120) 상에 하나의 상부 게이트 전극(600b)을 형성하는 것을 도시하였으나, 활성층 패턴(120) 상에 2 개 이상의 상부 게이트 전극(600b)을 형성하고 소오스(S) 또는 드레인(D) 중 어느 하나를 2 개의 트랜지스터가 공통으로 사용하는 구조를 갖는 반도체 소자를 제조함으로써 셀 밀도를 증가시킬 수도 있다. 4A to 4C of the semiconductor device according to some embodiments of the inventive concept, it is illustrated that one upper gate electrode 600b is formed on the active layer pattern 120, but two or more upper portions are formed on the active layer pattern 120. The cell density may be increased by forming a gate electrode 600b and manufacturing a semiconductor device having a structure in which two transistors commonly use either the source S or the drain D.

또한, 도 4b 내지 도 4c에 도시된 반도체 소자의 경우 좌측에 대해서는 nMOS 트랜지스터를 형성하고, 우측에 대해서는 pMOS 트랜지스터를 형성하여 CMOS 트랜지스터를 구성할 수도 있으며, 이 경우 nMOS 트랜지스터와 pMOS 트랜지스터의 하부 게이트 전극은 서로 다른 도전형의 불순물로 도핑될 수 있음은 자명하다. In the semiconductor device shown in FIGS. 4B to 4C, a CMOS transistor may be configured by forming an nMOS transistor on the left side and a pMOS transistor on the right side. In this case, the nMOS transistor and the lower gate electrode of the pMOS transistor may be configured. It is apparent that can be doped with impurities of different conductivity types.

도 6a 내지 도 6j는 본 발명의 다른 실시예에 따른 반도체 소자의 제조 방법을 나타내는 평면도이다. 도 7a 내지 도 7j는 각각 도 6a 내지 도 6j에 도시된 구조물에 대하여 선 X-X' 를 따라 절취한 단면도이다. 본 실시예는 도 2a 내지 도 2j 및 도 3a 내지 3j를 참조하여 설명한 실시예들과 달리, 상부 절연막(500a2)을 먼저 형성하고, 후에 제 1 하부 절연막(500a1)을 형성하는 제조 방법에 관한 것이다. 도 2a 내지 도 4c에 도시된 구성 요소에 대한 설명은 본 실시예에서 동일한 참조 부호를 갖는 구성 요소에 대하여도 참조로서 적용될 수 있다.6A through 6J are plan views illustrating a method of manufacturing a semiconductor device in accordance with another embodiment of the present invention. 7A-7J are cross-sectional views taken along the line XX 'for the structure shown in FIGS. 6A-6J, respectively. Unlike the embodiments described with reference to FIGS. 2A to 2J and FIGS. 3A to 3J, the present embodiment is a method for manufacturing an upper insulating film 500a 2 first and then a first lower insulating film 500a 1 . It is about. The descriptions of the components shown in FIGS. 2A to 4C may also be applied as reference to components having the same reference numerals in this embodiment.

도 6a 및 도 7a를 참조하면, 도 2a 및 도 3a에서 상술한 바와 같이, 반도체 기판(100) 상에 순차대로 희생층(110L) 및 활성층(120L)을 적층한다. 희생층(110L)은 500 Å 내지 2000 Å의 두께로 형성될 수 있다. 활성층(110L)은 제조하고자 하는 반도체 소자(도 4a 내지 도 5c)의 구조에 따라 10 nm 내지 200 nm의 두께로 형성할 수 있다. 활성층(110L)은 필요에 따라 불순물을 함유할 수도 있다.6A and 7A, as described above with reference to FIGS. 2A and 3A, the sacrificial layer 110L and the active layer 120L are sequentially stacked on the semiconductor substrate 100. The sacrificial layer 110L may be formed to a thickness of 500 kPa to 2000 kPa. The active layer 110L may be formed to a thickness of 10 nm to 200 nm according to the structure of the semiconductor device (FIGS. 4A to 5C) to be manufactured. The active layer 110L may contain impurities as necessary.

도 6b 및 도 7b를 참조하면, 활성층(110)의 상면 상에 적어도 하나 이상의 상부 절연막(500a2L)을 형성한다. 예를 들면, 2 비트 저장 노드를 제공하는 상부 전하저장층을 형성하기 위하여, 상부 절연막(500a2L)으로서 순차대로 제 1 산화막(504L), 질화막(505L) 및 제 2 산화막(506L)으로 이루어진 ONO 막을 형성할 수 있다. 6B and 7B, at least one upper insulating film 500a 2 L is formed on the top surface of the active layer 110. For example, the 2-bit storage in order to form a top of the charge storage layer to provide a node, as sequential as the upper insulation film (500a 2 L) consisting of a first oxide film (504L), a nitride film (505L) and the second oxide film (506L) An ONO film can be formed.

이후, 상부 절연막(500a2L) 상에 폴리실리콘 또는 금속과 같은 도전성 물질로 이루어진 상부 게이트 도전막(미도시)을 적층할 수 있다. 그러나, 상부 게이트 도전막은 도 6j 및 도 7j를 참조하여 후술하는 제 1 마스크막 패턴(200)의 제거 공정 이후에 상부 게이트 구조를 형성하는 공정에서 적층될 수도 있다. 상부 절연막(500a2L)은 ONO 막에 한정되는 것은 아니며, 질화막(505L) 대신에 도전막인 폴리실리콘막을 적층하여 부유 게이트를 포함하는 상부 전하저장층을 형성할 수도 있으며, 상부 절연막(500a2)으로서 게이트 절연막(도 4b의 500b2 참조)만을 형성할 수도 있음은 상술한 바와 같다. Then, it is possible to laminate the upper insulating film (not shown), a top gate conductive film made of a conductive material such as polysilicon or metal in the (500a 2 L). However, the upper gate conductive layer may be stacked in a process of forming the upper gate structure after the removing of the first mask layer pattern 200 described later with reference to FIGS. 6J and 7J. Upper insulating film (500a 2 L) is not limited to an ONO film, and to form the top of the charge storage layer comprising a floating gate and a nitride film (505L) instead of the conductive film of a polysilicon laminated film, the upper insulating film (500a 2 It is as described above that only the gate insulating film (see 500b2 in Fig. 4B) may be formed as

도 6c 및 도 7c를 참조하면, 상부 절연막(500a2L) 상에 제 1 마스크막을 적층하고, 이를 패터닝하여 라인 타입의 제 1 마스크막 패턴(200)을 형성한다. 이후, 식각 마스크로서 제 1 마스크막 패턴(200)을 사용하여, 상부 절연막(500a2L), 활성층(120L), 희생층(110L)을 연속적으로 식각함으로써, 반도체 기판(100) 상에 라인 타입의 희생층 패턴(110), 활성층 패턴(120) 및 상부 절연막(500a2)을 한정하는 트렌치(T)를 형성한다. 6C and 7C, a first mask layer is stacked on the upper insulating layer 500a 2 L and patterned to form a line type first mask layer pattern 200. Thereafter, by using the first mask layer pattern 200 as an etching mask, the upper insulating layer 500a 2 L, the active layer 120L, and the sacrificial layer 110L are continuously etched to form a line type on the semiconductor substrate 100. A trench T defining the sacrificial layer pattern 110, the active layer pattern 120, and the upper insulating layer 500a 2 is formed.

도 6d 및 도 7d를 참조하면, 트렌치(T)를 매립하는 소자분리막(300)을 형성한다. 화학기상증착법에 의하여 트렌치(T)를 매립하는 절연물질층을 반도체 기판 전면에 형성하고, 제 1 마스크막 패턴(200)의 표면이 노출될 때까지 에치백 또는 화학기계적연마 공정에 의하여 평탄화함으로써, 트렌치(T)를 매립하는 소자분리막(300)이 형성될 수 있다.6D and 7D, the device isolation layer 300 filling the trench T is formed. By forming an insulating material layer filling the trench T by a chemical vapor deposition method on the entire surface of the semiconductor substrate, and planarization by an etch back or chemical mechanical polishing process until the surface of the first mask film pattern 200 is exposed, An isolation layer 300 may be formed to fill the trench T.

도 6e 및 도 7e를 참조하면, 소자분리막(300)에 희생층 패턴(110)의 적어도 일부를 노출시키 위한 리세스 영역(R)을 형성하기 위해, 라인 타입의 제 1 마스크막 패턴(200)과 교차하는 라인 타입의 제 2 마스크막 패턴(400)을 형성한다. 이 때, 제 2 마스크막 패턴(400)의 폭(W1)과 간격(W2)은 도 2d 및 도 3d에서 상술한 바와 같이, 희생층 패턴(200)의 제거 공정에서 식각 용액의 침투를 용이하게 하고, 적층된 활성층 패턴(120), 상부 절연막(500a2) 및 제 1 마스크막 패턴(200)을 기계적으로 지지하기 위한 강도를 제공할 수 있도록 결정된다.6E and 7E, in order to form a recess region R for exposing at least a portion of the sacrificial layer pattern 110 in the device isolation layer 300, the first mask layer pattern 200 having a line type is formed. A second mask film pattern 400 of a line type intersecting with is formed. In this case, the width W 1 and the interval W 2 of the second mask layer pattern 400 may prevent penetration of the etching solution in the process of removing the sacrificial layer pattern 200, as described above with reference to FIGS. 2D and 3D. It is determined to facilitate, and to provide strength for mechanically supporting the stacked active layer pattern 120, the upper insulating film 500a 2 , and the first mask film pattern 200.

도 6f 및 도 7f를 참조하면, 제 2 마스크막 패턴들(400) 사이에 노출된 소자분리막(300) 부분을 플라즈마 식각 공정에 의해 제거하여, 리세스 영역(R)을 형성한다. 다음, 제 2 마스크막 패턴(400)을 제거한다.6F and 7F, a portion of the isolation layer 300 exposed between the second mask layer patterns 400 is removed by a plasma etching process to form a recess region R. Referring to FIGS. Next, the second mask film pattern 400 is removed.

도 6g 및 도 7g를 참조하면, 리세스 영역(R)에 의하여 형성된 공간을 통하여 침투할 수 있는 식각 용액에 의하여, 반도체 기판(100)과 활성층 패턴(120) 사이에 존재하는 희생층 패턴(110)을 제거한다. 그 결과, 활성층 패턴(120)은 활성층 패턴(120) 상부의 활성층 패턴(200) 및 상부 절연막(500a2)과 함께, 반도체 기 판(100)으로부터 희생층 패턴(110)의 두께만큼 이격될 수 있다.6G and 7G, the sacrificial layer pattern 110 existing between the semiconductor substrate 100 and the active layer pattern 120 by an etching solution that may penetrate through the space formed by the recess region R. Referring to FIGS. ). As a result, the active layer pattern 120 may be spaced apart from the semiconductor substrate 100 by the thickness of the sacrificial layer pattern 110 together with the active layer pattern 200 and the upper insulating layer 500a2 on the active layer pattern 120. .

도 6h 및 도 7h를 참조하면, 활성층 패턴(120)의 저면 상에 순차대로 적어도 하나 이상의 제 1 하부 절연막(500a1), 예를 들면, 2 비트 저장 노드를 제공하는 하부 전하저장층을 형성하기 위하여 제 1 산화막(501), 질화막(502) 및 제 2 산화막(503)으로 이루어진 ONO 막을 형성한다. 이 경우, 제 1 하부 절연막(500a1)을 형성하기 위한 공정에 노출된 반도체 기판(100)의 표면 상에도 순차대로 제 1 산화막(501s), 질화막(502s) 및 제 2 산화막(503s)이 적층된 제 2 절연막(500s)이 형성될 수 있다.6H and 7H, on the bottom of the active layer pattern 120, sequentially forming at least one or more first lower insulating layers 500a 1 , for example, a lower charge storage layer providing a two bit storage node. For this purpose, an ONO film composed of the first oxide film 501, the nitride film 502, and the second oxide film 503 is formed. In this case, the first oxide film 501s, the nitride film 502s, and the second oxide film 503s are sequentially stacked on the surface of the semiconductor substrate 100 exposed to the process for forming the first lower insulating film 500a 1 . The second insulating film 500s may be formed.

이후, 제 1 하부 절연막(500a1) 상에 폴리실리콘 또는 금속과 같은 도전성 물질로 이루어진 하부 게이트 도전막을 적층할 수 있다. 하부 게이트 도전막을 적층한 후, 식각 마스크로서 제 1 마스크막 패턴(200) 및 리세스 영역(R)을 구비하는 소자분리막(300a)을 사용하여, 리세스 영역(R)에 노출된 하부 전하저장층(500a1)과 하부 게이트 도전막을 식각함으로써, 반도체 소자 사이에 전기적으로 분리된 하부 게이트 전극(600a)을 형성할 수 있다. 선택적으로는, 반도체 소자의 구동 방식에 따라 적층된 하부 게이트 도전막을 식각하지 않고서 반도체 소자 셀들 내에 하부 공통 게이트 전극을 형성할 수도 있다.Thereafter, a lower gate conductive layer made of a conductive material such as polysilicon or a metal may be stacked on the first lower insulating layer 500a 1 . After the lower gate conductive layer is stacked, the lower charge storage exposed to the recess region R is formed by using the device isolation layer 300a including the first mask layer pattern 200 and the recess region R as an etching mask. By etching the layer 500a1 and the lower gate conductive layer, the lower gate electrode 600a may be formed between the semiconductor devices. Alternatively, the lower common gate electrode may be formed in the semiconductor device cells without etching the stacked lower gate conductive layer according to the driving method of the semiconductor device.

도 6i 및 도 7i를 참조하면, 소자분리막(300a)의 리세스 영역(R)을 갭필 특성이 우수한 절연물질을 이용하여 매립한다.6I and 7I, the recess region R of the device isolation layer 300a is buried using an insulating material having excellent gap fill characteristics.

도 6j 및 도 7j를 참조하면, 제 1 마스크막 패턴(200)을 건식 식각 또는 습 식 식각을 통하여 선택적으로 제거한다. 이후, 도 4a 내지 도 5c를 참조하여 상술한 바와 같이, 통상의 트랜지스터 형성 공정에 의하여 본 발명에 따른 다양한 구조를 갖는 반도체 소자 또는 반도체 메모리 소자를 완성할 수 있다.6J and 7J, the first mask layer pattern 200 may be selectively removed through dry etching or wet etching. Thereafter, as described above with reference to FIGS. 4A to 5C, a semiconductor device or a semiconductor memory device having various structures according to the present invention may be completed by a conventional transistor forming process.

본 발명의 따른 실시예들에서는 평탄한 구조의 활성층에 대하여 설명하고 있으나, 이에 한정되지 아니하며, 도 2i 및 도 3i를 참조하여 상술한 바와 같이 활성층 패턴의 측벽을 노출시키는 공정에 의해서 또는 활성층을 성장시킨 후에 적합한 패터닝 공정을 수행하여 핀형 활성층을 형성함으로써 확장된 유효 채널 영역을 갖는 반도체 소자 또는 반도체 메모리 소자가 제공될 수 있음은 당업자에게 있어 자명하다. 또한, 상부 또는 하부의 전하저장층으로서 나노 결정(nano crystal)을 사용함으로써 멀티 레벨 비트 저장 노드를 구비하는 반도체 메모리 소자로도 사용될 수 있음은 자명하다. 또한, 라인 패턴의 활성층 패턴 상에 행들로 배열된 복수의 상부 게이트 전극을 형성하고, 이와 같은 라인 패턴의 활성층을 열로 배치한 후, 상기 행들로 배열된 반도체 소자들의 상기 상부 게이트 전극과 전기적으로 연결된 복수의 상부 워드 라인들, 상기 행들로 배열된 반도체 소자들의 상기 하부 게이트 전극과 전기적으로 연결된 복수의 하부 워드 라인들 및 상기 열들로 배열된 반도체 소자들의 상기 소오스/드레인 영역과 각각 전기적으로 연결된 복수의 비트 라인들을 포함하는 반도체 소자의 어레이를 구성할 수 있음은 자명하다. Although embodiments of the present invention have been described with respect to the active layer having a flat structure, the present invention is not limited thereto, and the active layer is grown by a process of exposing sidewalls of the active layer pattern as described above with reference to FIGS. 2I and 3I. It will be apparent to those skilled in the art that a semiconductor device or semiconductor memory device having an extended effective channel region can be provided later by performing a suitable patterning process to form a fin type active layer. In addition, it is apparent that the semiconductor memory device may include a semiconductor memory device having a multi-level bit storage node by using a nano crystal as an upper or lower charge storage layer. Further, a plurality of upper gate electrodes arranged in rows is formed on the active layer pattern of the line pattern, and the active layers of the line pattern are arranged in columns, and then electrically connected to the upper gate electrodes of the semiconductor elements arranged in the rows. A plurality of upper word lines, a plurality of lower word lines electrically connected to the lower gate electrodes of the semiconductor elements arranged in the rows, and a plurality of electrically connected to the source / drain regions of the semiconductor elements arranged in the columns, respectively. It is apparent that an array of semiconductor devices including bit lines may be configured.

이상에서 설명한 본 발명이 전술한 실시예 및 첨부된 도면에 한정되지 않으며, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러가지 치환, 변형 및 변경이 가능하다는 것은, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 게 있어 명백할 것이다.The present invention described above is not limited to the above-described embodiments and the accompanying drawings, and various substitutions, modifications, and alterations are possible within the scope without departing from the technical spirit of the present invention, which are common in the art. It will be clear to those who have knowledge.

본 발명의 반도체 소자는, 활성층 패턴의 상부와 하부에 각각 전하저장층을 구비하여, 종래의 2 비트 저장 노드를 갖는 반도체 소자보다 더 큰 유효 채널 길이와 전하저장층 길이를 확보하면서도 메모리 밀도를 증가시킬 수 있으며, 부유 바디 채널을 독립적으로 제어할 수 있는 상부 게이트 전극과 하부 게이트 전극을 제공하기 때문에 동일한 구조를 갖더라도 동작 전압에 따라서 통상의 스위칭 소자로서 또는 메모리 소자로서 기능할 수 있으므로 공정 정합성이 우수한 반도체 소자를 제공할 수 있다. The semiconductor device of the present invention has a charge storage layer on the upper and lower portions of the active layer pattern, respectively, and increases the memory density while ensuring a larger effective channel length and charge storage layer length than a semiconductor device having a conventional 2-bit storage node. Since the upper gate electrode and the lower gate electrode which can independently control the floating body channel can be provided, even if the same structure, it can function as a normal switching element or a memory element depending on the operating voltage, so that process consistency An excellent semiconductor element can be provided.

또한, 본 발명의 반도체 소자의 제조 방법은, 희생층 패턴을 이용하여 하부 전하저장층 및 하부 게이트 전극을 형성하기 위한 공정 마진을 용이하게 확보할 수 있으며, 별도의 추가 공정 없이 하부 게이트 전극을 반도체 기판으로부터 절연시켜, 부유 바디 채널을 독립적으로 제어하는 상부 게이트 전극과 하부 게이트 전극을 형성할 수 있는 반도체 소자의 제조 방법을 제공한다. In addition, the manufacturing method of the semiconductor device of the present invention, it is possible to easily secure the process margin for forming the lower charge storage layer and the lower gate electrode by using the sacrificial layer pattern, the semiconductor device to the lower gate electrode without additional process Provided are a method of manufacturing a semiconductor device capable of forming an upper gate electrode and a lower gate electrode that are insulated from a substrate to independently control a floating body channel.

Claims (27)

반도체 기판;Semiconductor substrates; 상기 반도체 기판으로부터 소정의 거리만큼 이격된 활성층 패턴;An active layer pattern spaced apart from the semiconductor substrate by a predetermined distance; 상기 활성층 패턴의 저면 상에 순차대로 적층된 적어도 하나 이상의 제 1 하부 절연막 및 하부 게이트 전극으로 이루어진 하부 게이트 구조;A lower gate structure including at least one first lower insulating layer and a lower gate electrode sequentially stacked on the bottom surface of the active layer pattern; 상기 하부 게이트 전극과 상기 반도체 기판 사이에 형성된 상기 제 1 하부 절연막에 대한 거울 구조를 갖는 적어도 하나 이상의 제 2 하부 절연막; 및At least one second lower insulating film having a mirror structure for the first lower insulating film formed between the lower gate electrode and the semiconductor substrate; And 상기 활성층 패턴의 상면 상에 순차대로 적층된 적어도 하나 이상의 상부 절연막 및 적어도 하나 이상의 상부 게이트 전극으로 이루어진 상부 게이트 구조를 포함하는 반도체 소자.And an upper gate structure including at least one upper insulating layer and at least one upper gate electrode sequentially stacked on an upper surface of the active layer pattern. 제 1 항에 있어서,The method of claim 1, 상기 활성층 패턴의 두께는 100 Å 내지 2000 Å 인 반도체 소자. The thickness of the active layer pattern is a semiconductor device 100 ~ 2000 GPa. 제 1 항에 있어서,The method of claim 1, 상기 제 1 하부 절연막은 상기 활성층 패턴의 저면 상에 순차대로 적층된 제 1 산화막, 질화막 및 제 2 산화막으로 이루어진 ONO 막을 포함하는 반도체 소자.The first lower insulating layer may include an ONO film including a first oxide film, a nitride film, and a second oxide film sequentially stacked on a bottom surface of the active layer pattern. 제 1 항에 있어서,The method of claim 1, 상기 상부 절연막은 상기 활성층 패턴의 상면 상에 순차대로 적층된 제 1 산화막, 질화막 및 제 2 산화막으로 이루어진 ONO 막을 포함하는 반도체 소자.The upper insulating film includes an ONO film including a first oxide film, a nitride film, and a second oxide film sequentially stacked on an upper surface of the active layer pattern. 제 1 항에 있어서,The method of claim 1, 상기 제 1 하부 절연막들 사이에 부유 게이트를 형성하기 위한 도전막을 더 포함하는 반도체 소자.And a conductive layer for forming a floating gate between the first lower insulating layers. 제 1 항에 있어서,The method of claim 1, 상기 상부 절연막들 사이에 부유 게이트를 형성하기 위한 도전막을 더 포함하는 반도체 소자.The semiconductor device further comprises a conductive film for forming a floating gate between the upper insulating film. 제 1 항에 있어서,The method of claim 1, 상기 제 1 하부 절연막과 상기 상부 절연막은 서로 동일한 두께를 갖는 반도체 소자.And the first lower insulating film and the upper insulating film have the same thickness. 제 1 항에 있어서,The method of claim 1, 상기 활성층 패턴 상에 상기 상부 게이트 전극이 적어도 2 이상 배치되며, 소오스 및 드레인 중 어느 하나를 공통으로 사용하는 반도체 소자.At least two upper gate electrodes are disposed on the active layer pattern, and the semiconductor device uses one of a source and a drain in common. 제 1 항에 있어서,The method of claim 1, nMOS 또는 pMOS 트랜지스터를 제공하기 위하여, 상기 하부 게이트 전극의 도전형을 결정하는 반도체 소자.A semiconductor device for determining a conductivity type of the lower gate electrode to provide an nMOS or pMOS transistor. 제 1 항에 있어서,The method of claim 1, 상기 상부 게이트 전극 및/또는 하부 게이트 전극은 금속으로 이루어진 반도체 소자의 제조 방법.And the upper gate electrode and / or the lower gate electrode is made of a metal. 반도체 기판 상에 순차대로 희생층 및 활성층을 적층하는 단계;Stacking the sacrificial layer and the active layer sequentially on the semiconductor substrate; 상기 활성층 상에 제 1 마스크막 패턴을 형성하는 단계;Forming a first mask layer pattern on the active layer; 식각 마스크로서 상기 제 1 마스크막 패턴을 사용하여 상기 활성층 및 상기 희생층을 연속적으로 식각함으로써, 상기 반도체 기판 상에 적층된 희생층 패턴 및 활성층 패턴을 한정하는 트렌치를 형성하는 단계;Continuously etching the active layer and the sacrificial layer using the first mask layer pattern as an etching mask to form a trench defining a sacrificial layer pattern and an active layer pattern stacked on the semiconductor substrate; 상기 트렌치를 매립하는 소자분리막을 형성하는 단계;Forming an isolation layer filling the trench; 상기 소자분리막에 상기 제 1 희생층 패턴의 적어도 일부를 노출시키는 리세스 영역을 형성하기 위해 제 2 마스크막 패턴을 형성하는 단계;Forming a second mask layer pattern on the device isolation layer to form a recess region exposing at least a portion of the first sacrificial layer pattern; 식각 마스크로서 상기 제 2 마스크막 패턴을 사용하여 상기 소자분리막에 상기 리세스 영역을 형성하는 단계;Forming the recess region in the device isolation layer using the second mask layer pattern as an etching mask; 상기 리세스 영역에 의하여 노출된 상기 희생층 패턴을 제거하는 단계;Removing the sacrificial layer pattern exposed by the recess region; 상기 활성층 패턴의 저면 상에 순차대로 적어도 하나 이상의 하부 절연막과 하부 게이트 도전막을 적층하여 하부 게이트 구조를 형성하는 단계;Stacking at least one lower insulating layer and a lower gate conductive layer on the bottom surface of the active layer pattern in order to form a lower gate structure; 상기 제 1 마스크막 패턴을 제거하여 상기 활성층 패턴을 노출시키는 단계; 및Removing the first mask layer pattern to expose the active layer pattern; And 상기 활성층 패턴 상에 순차대로 적어도 하나 이상의 상부 절연막과 상부 게이트 도전막을 적층하여 상부 게이트 구조를 형성하는 단계를 포함하는 반도체 소자의 제조 방법.Stacking at least one upper insulating film and an upper gate conductive film sequentially on the active layer pattern to form an upper gate structure. 반도체 기판 상에 순차대로 희생층, 활성층 및 적어도 하나 이상의 상부 절연막을 형성하는 단계;Sequentially forming a sacrificial layer, an active layer and at least one upper insulating film on the semiconductor substrate; 상기 상부 절연막 상에 제 1 마스크막 패턴을 형성하는 단계;Forming a first mask layer pattern on the upper insulating layer; 식각 마스크로서 상기 제 1 마스크막 패턴을 사용하여 상기 상부 절연막, 상기 활성층 및 상기 희생층을 연속적으로 식각함으로써, 상기 반도체 기판 상에 적층된 희생층 패턴, 활성층 패턴 및 상부 절연막 패턴을 한정하는 트렌치를 형성하는 단계;A trench defining the sacrificial layer pattern, the active layer pattern, and the upper insulating film pattern stacked on the semiconductor substrate by successively etching the upper insulating film, the active layer, and the sacrificial layer using the first mask film pattern as an etching mask. Forming; 상기 트렌치를 매립하는 소자분리막을 형성하는 단계;Forming an isolation layer filling the trench; 상기 소자분리막에 상기 희생층 패턴의 적어도 일부를 노출시키는 리세스 영역을 형성하기 위해 제 2 마스크막 패턴을 형성하는 단계;Forming a second mask layer pattern on the device isolation layer to form a recess region exposing at least a portion of the sacrificial layer pattern; 식각 마스크로서 상기 제 2 마스크막 패턴을 사용하여 상기 소자분리막에 상기 리세스 영역을 형성하는 단계;Forming the recess region in the device isolation layer using the second mask layer pattern as an etching mask; 상기 리세스 영역에 의해 노출된 상기 희생층 패턴을 제거하는 단계;Removing the sacrificial layer pattern exposed by the recess region; 상기 활성층 패턴의 저면 상에 순차대로 적어도 하나 이상의 하부 절연막과 하부 게이트 도전막을 적층하여 하부 게이트 구조를 형성하는 단계;Stacking at least one lower insulating layer and a lower gate conductive layer on the bottom surface of the active layer pattern in order to form a lower gate structure; 상기 제 1 마스크막 패턴을 제거하여 상기 상부 절연막을 노출시키는 단계; 및Removing the first mask layer pattern to expose the upper insulating layer; And 상기 상부 절연막 패턴 상에 순차대로 상부 게이트 도전막을 적층하여 상부 게이트 구조를 형성하는 단계를 포함하는 반도체 소자의 제조 방법.Forming an upper gate structure by sequentially laminating an upper gate conductive layer on the upper insulating layer pattern. 제 11 항 또는 제 12 항에 있어서,The method according to claim 11 or 12, 상기 희생층은 상기 반도체 기판에 대하여, 상기 활성층은 상기 희생층에 대하여 각각 헤테로 에피택셜 성장법에 의해 형성되는 반도체 소자의 제조 방법.Wherein the sacrificial layer is formed with respect to the semiconductor substrate, and the active layer is formed with a hetero epitaxial growth method with respect to the sacrificial layer, respectively. 제 13 항에 있어서,The method of claim 13, 상기 반도체 기판은 실리콘 단결정이며, 상기 희생층은 실리콘저머늄 또는 실리콘저머늄탄화물이며, 상기 활성층은 실리콘인 반도체 소자의 제조 방법.And the semiconductor substrate is a silicon single crystal, the sacrificial layer is silicon germanium or silicon germanium carbide, and the active layer is silicon. 제 11 항 또는 제 12 항에 있어서,The method according to claim 11 or 12, 상기 희생층의 두께는 500 Å 내지 2000 Å인 반도체 소자의 제조 방법.The sacrificial layer has a thickness of 500 kPa to 2000 kPa. 제 11 항 또는 제 12 항에 있어서,The method according to claim 11 or 12, 상기 활성층의 두께는 100 Å 내지 2000 Å의 두께로 형성되는 반도체 소자의 제조 방법.The thickness of the active layer is a method of manufacturing a semiconductor device formed to a thickness of 100 kPa to 2000 kPa. 제 11 항 또는 제 12 항에 있어서,The method according to claim 11 or 12, 상기 제 1 마스크막 패턴은 라인 타입이며,The first mask layer pattern is a line type, 상기 제 2 마스크막 패턴은 상기 제 1 마스크막 패턴과 소정의 폭과 간격으로 교차하는 반도체 소자의 제조 방법. And the second mask layer pattern intersects the first mask layer pattern at a predetermined width and interval. 제 17 항에 있어서,The method of claim 17, 상기 리세스 영역은 상기 제 2 마스크막 패턴들 사이에 노출된 상기 소자분리막 부분을 제거하여 형성되는 반도체 소자의 제조 방법. The recessed area may be formed by removing a portion of the isolation layer exposed between the second mask layer patterns. 제 11 항 또는 제 12 항에 있어서,The method according to claim 11 or 12, 상기 희생층 패턴을 제거하는 단계는, 상기 리세스 영역에 의하여 형성된 공간을 침투하는 식각 용액에 의하여 제거되는 반도체 소자의 제조 방법.The removing of the sacrificial layer pattern is performed by an etching solution penetrating a space formed by the recess region. 제 11 항 또는 제 12 항에 있어서,The method according to claim 11 or 12, 상기 하부 게이트 구조를 형성하는 단계에서,In the forming of the lower gate structure, 식각 마스크로서 상기 제 1 마스크막 패턴과 상기 리세스 영역을 구비하는 소자분리막을 사용하여, 상기 리세스 영역에 노출된 상기 제 1 하부 절연막과 상기 하부 게이트 도전막을 식각하는 반도체 소자의 제조 방법. And etching the first lower insulating layer and the lower gate conductive layer exposed to the recess region using an isolation layer including the first mask layer pattern and the recess region as an etching mask. 제 11 항 또는 제 12 항에 있어서,The method according to claim 11 or 12, 상기 하부 게이트 구조를 형성하는 단계 이후에,After forming the lower gate structure, 상기 소자분리막의 상기 리세스 영역을 절연물질로 매립하는 단계를 더 포함하는 반도체 소자의 제조 방법.And filling the recess region of the device isolation layer with an insulating material. 제 11 항 또는 제 12 항에 있어서,The method according to claim 11 or 12, 상기 하부 절연막은 상기 활성층 패턴의 저면 상에 순차대로 적층된 제 1 산화막, 질화막 및 제 2 산화막으로 이루어진 ONO 막인 반도체 소자의 제조 방법.And the lower insulating film is an ONO film made of a first oxide film, a nitride film, and a second oxide film sequentially stacked on the bottom surface of the active layer pattern. 제 11 항 또는 제 12 항에 있어서,The method according to claim 11 or 12, 상기 하부 절연막들 사이에 부유 게이트를 형성하기 위한 도전막을 더 포함하는 반도체 소자의 제조 방법. And a conductive film for forming a floating gate between the lower insulating films. 제 11 항 또는 제 12 항에 있어서,The method according to claim 11 or 12, 상기 상부 절연막은 상기 활성층 패턴의 저면 상에 순차대로 적층된 제 1 산화막, 질화막 및 제 2 산화막으로 이루어진 ONO 막인 반도체 소자의 제조 방법.And the upper insulating film is an ONO film made of a first oxide film, a nitride film, and a second oxide film sequentially stacked on a bottom surface of the active layer pattern. 제 11 항 또는 제 12 항에 있어서,The method according to claim 11 or 12, 상기 상부 절연막들 사이에 부유 게이트를 형성하기 위한 도전막을 더 포함하는 반도체 소자의 제조 방법.And a conductive film for forming a floating gate between the upper insulating films. 제 11 항 또는 제 12 항에 있어서,The method according to claim 11 or 12, 상기 상부 게이트 도전막 및/또는 하부 게이트 도전막은 금속으로 이루어진 반도체 소자의 제조 방법.The upper gate conductive film and / or the lower gate conductive film are made of a metal. 제 11 항에 있어서,The method of claim 11, 상기 활성층 패턴을 노출시키는 단계와 함께, 상기 활성층 패턴의 측벽을 둘러싸는 상기 소자분리막의 적어도 일부를 리세스시켜 핀형 활성층 패턴을 형성하는 단계를 더 포함하는 반도체 소자의 제조 방법.And exposing the active layer pattern, and recessing at least a portion of the device isolation layer surrounding the sidewalls of the active layer pattern to form a fin type active layer pattern.
KR1020060073108A 2006-08-02 2006-08-02 Semiconductor device and method of manufacturing the same KR100866953B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060073108A KR100866953B1 (en) 2006-08-02 2006-08-02 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060073108A KR100866953B1 (en) 2006-08-02 2006-08-02 Semiconductor device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
KR20080012084A true KR20080012084A (en) 2008-02-11
KR100866953B1 KR100866953B1 (en) 2008-11-05

Family

ID=39340453

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060073108A KR100866953B1 (en) 2006-08-02 2006-08-02 Semiconductor device and method of manufacturing the same

Country Status (1)

Country Link
KR (1) KR100866953B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112234101A (en) * 2015-10-30 2021-01-15 台湾积体电路制造股份有限公司 Semiconductor structure with enlarged gate electrode structure and method of forming the same
WO2021054617A1 (en) * 2019-09-19 2021-03-25 엘지디스플레이 주식회사 Thin-film transistor and manufacturing method for same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004039965A (en) 2002-07-05 2004-02-05 Renesas Technology Corp Nonvolatile semiconductor storage device
KR20050034884A (en) * 2003-10-10 2005-04-15 삼성전자주식회사 Sonos memory device and methods of manufacturing and operating the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112234101A (en) * 2015-10-30 2021-01-15 台湾积体电路制造股份有限公司 Semiconductor structure with enlarged gate electrode structure and method of forming the same
WO2021054617A1 (en) * 2019-09-19 2021-03-25 엘지디스플레이 주식회사 Thin-film transistor and manufacturing method for same

Also Published As

Publication number Publication date
KR100866953B1 (en) 2008-11-05

Similar Documents

Publication Publication Date Title
US7186607B2 (en) Charge-trapping memory device and method for production
US7268042B2 (en) Nonvolatile semiconductor memory and making method thereof
KR101036669B1 (en) Array source line in nand flash memory
US7205198B2 (en) Method of making a bi-directional read/program non-volatile floating gate memory cell
KR100876957B1 (en) NOR-type non-volatile memory device and method of forming the same
KR20040085663A (en) Method for manufacturing SONOS memory device with twin-ONO by reverse self-aligning process
US7951671B2 (en) Method of fabricating non-volatile memory device having separate charge trap patterns
US20050237807A1 (en) Bi-directional read/program non-volatile floating gate memory cell and array thereof, and method of formation
KR100734317B1 (en) Non volatile memory device for 2 bit operation and manufacturing method for the same
US7847333B2 (en) Structured, electrically-formed floating gate for flash memories
US20090325374A1 (en) Methods of Fabricating Nonvolatile Memory Devices
JP2006502565A (en) Bit line structure and manufacturing method thereof
US20080042191A1 (en) Non-volatile memory device and method of fabricating the same
KR100593599B1 (en) Manufacturing Method of Semiconductor Device
KR100866953B1 (en) Semiconductor device and method of manufacturing the same
JP2001077219A (en) Nonvolatile semiconductor storage device and manufacture thereof
JP2004056071A (en) Method of manufacturing semiconductor device, and semiconductor device
US20070090449A1 (en) Non-volatile memory devices and methods of forming the same
US7358559B2 (en) Bi-directional read/program non-volatile floating gate memory array, and method of formation
JP4428109B2 (en) Semiconductor memory device and manufacturing method thereof
JP2010135561A (en) Nonvolatile semiconductor storage device
JP2002261174A (en) Manufacturing method of nonvolatile semiconductor memory
US6833580B2 (en) Self-aligned dual-bit NVM cell and method for forming the same
US8143665B2 (en) Memory array and method for manufacturing and operating the same
KR20060043534A (en) Buried bit line non-volatile floating gate memory cell with independent controllable control gate in a trench, and array thereof, and method of formation

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120925

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130930

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee