KR102122612B1 - Conformal doping via plasma activated atomic layer deposition and conformal film deposition - Google Patents

Conformal doping via plasma activated atomic layer deposition and conformal film deposition Download PDF

Info

Publication number
KR102122612B1
KR102122612B1 KR1020130056776A KR20130056776A KR102122612B1 KR 102122612 B1 KR102122612 B1 KR 102122612B1 KR 1020130056776 A KR1020130056776 A KR 1020130056776A KR 20130056776 A KR20130056776 A KR 20130056776A KR 102122612 B1 KR102122612 B1 KR 102122612B1
Authority
KR
South Korea
Prior art keywords
dopant
film layer
conformal film
precursor
doping
Prior art date
Application number
KR1020130056776A
Other languages
Korean (ko)
Other versions
KR20130129149A (en
Inventor
샨카르 스와미나탄
만디암 스리람
슈라벤디크 바르트 제이 판
프라모드 수브라모니움
아드리엔 라부아
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/607,386 external-priority patent/US8956983B2/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20130129149A publication Critical patent/KR20130129149A/en
Application granted granted Critical
Publication of KR102122612B1 publication Critical patent/KR102122612B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

반응 챔버에서 패턴화된 기판을 도핑하는 방법들이 여기에 개시된다. 본 방법들은, 도펀트를 포함하는 도펀트 소스를 갖는 제 1 컨포멀 막 층을 형성하는 단계, 및 기판속으로 도펀트의 일부를 드라이빙하여 컨포멀 도핑 프로파일을 형성하는 단계를 포함할 수도 있다. 몇몇 실시형태들에서, 제 1 막 층을 형성하는 단계는, 도펀트 전구체를 반응 챔버속으로 도입하는 단계, 흡착 제한 층을 형성하는 조건들하에서 도펀트 전구체를 흡착시키는 단계, 및 도펀트 소스를 형성하기 위하여 흡착된 도펀트 전구체를 반응시키는 단계를 포함할 수도 있다. 또한, 기판을 도핑하기 위한 장치들로서, 반응 챔버, 가스 입구, 및 머신 판독가능한 코드를 갖는 제어기를 포함할 수도 있고, 제어기는 도펀트 전구체를 도입하여 흡착되게 하도록 가스 입구를 동작시키기 위한 명령들, 및 도펀트 소스를 함유하는 막 층을 형성하기 위하여 흡착된 도펀트 전구체를 반응시키기 위한 명령들을 포함하는, 상기 기판을 도핑하기 위한 장치들이 본원에 개시된다. Methods of doping a patterned substrate in a reaction chamber are disclosed herein. The methods may include forming a first conformal film layer having a dopant source comprising a dopant, and driving a portion of the dopant into the substrate to form a conformal doping profile. In some embodiments, forming the first film layer includes introducing a dopant precursor into the reaction chamber, adsorbing the dopant precursor under conditions to form the adsorption limiting layer, and forming a dopant source. And reacting the adsorbed dopant precursor. In addition, the apparatus for doping the substrate may include a controller having a reaction chamber, a gas inlet, and machine readable code, the controller having instructions to operate the gas inlet to introduce and adsorb the dopant precursor, and Disclosed herein are devices for doping the substrate, including instructions for reacting the adsorbed dopant precursor to form a film layer containing a dopant source.

Figure R1020130056776
Figure R1020130056776

Description

플라즈마 활성화 원자층 성막 및 컨포멀 막 성막을 통한 컨포멀 도핑 {CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION} CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION through plasma activated atomic layer deposition and conformal membrane deposition

현재, 3차원 (3D) 트랜지스터 구조들 이를테면 다중 게이트 FinFET 및 3D 메모리 디바이스에 상당한 관심이 있다. 몇몇 3D 구조들의 예들은 “Tri-gate,” J.Kavalieros 공저 (Intel), Symp. VLSI Tech, p. 50 (2006) 및 “Opportunities and Challenges of FinFET as a Device Structure Candidate for 14nm Node CMOS Technology,” ECS Transactions, Vol. 34, Issue 1, pp. 81-86 (2011)에서 찾을 수 있으며, 이들 양자모두는 전부 및 모든 목적을 위해 본원에 참조에 의해 원용된다. 진보된 3D 게이트 구조들은 22 nm 기술 노드에서 채용되고 있으며, 22 nm 미만의 피쳐 사이즈들을 갖는 다른 기술 노드들에서도 채용될 가능성이 있다. 3D 게이트 구조들을 갖는 트랜지스터들은 통상적으로 박형 수직 구조들에 형성된 소스 및 드레인 영역들을 채용한다. 이들 수직 구조들은 종래 이온 주입 기법들, 플라즈마 도핑 기법들, 및 일반적으로, 전기장 하에서 이온들의 수송을 수반하는 기법들을 사용하여 도핑하기 곤란하다. 그 곤란함은 일반적으로 측벽들을 도핑할 때 분명하고, 특히 고종횡비 구조들에서 분명하다. Currently, there is considerable interest in three-dimensional (3D) transistor structures such as multi-gate FinFET and 3D memory devices. Some examples of 3D structures are “Tri-gate,” by J. Kavalieros (Intel), Symp. VLSI Tech, p. 50 (2006) and “Opportunities and Challenges of FinFET as a Device Structure Candidate for 14nm Node CMOS Technology,” ECS Transactions, Vol. 34, Issue 1, pp. 81-86 (2011), both of which are incorporated herein by reference for all and all purposes. Advanced 3D gate structures are employed at 22 nm technology nodes and are likely to be employed at other technology nodes with feature sizes below 22 nm. Transistors with 3D gate structures typically employ source and drain regions formed in thin vertical structures. These vertical structures are difficult to do with conventional ion implantation techniques, plasma doping techniques, and, in general, techniques involving transport of ions under an electric field. The difficulty is generally evident when doping the sidewalls, especially in high aspect ratio structures.

따라서, 이온 주입 프로세스들은 대개 방향성이며, 그것들은 많은 게이트 아키텍쳐 설계들, 이를테면 3D 게이트 설계들 및/또는, 측방향 및 수직 표면들 상에 도핑을 필요로 할 수도 있는 가깝게 이격된 핀 (fin) 들을 갖는 게이트 아키텍쳐들의 제조에 적합하지 않은 경향이 있다. 주입 경사 각도 (implant tilt angle) 를 변화시키는 것에 의해서와 같이 이들 표면들을 도핑하는데 이온 주입을 사용하기 위한 시도가 이루어지는 경우, 이는, 특히 측벽들 상에서, 도펀트 도우즈 보유 (dose retention) 및 확산 범위에서의 상당한 가변성을 초래할 수도 있다. 빈번하게, i3D 구조들의 밀한 어레이에서, 주입기에서의 방향성 이온 빔에 대한 새도우잉 효과들이 존재할 수 있으며, 이는 경사 주입 각도에 대한 심각한 도우즈 보유 문제들을 발생시킨다. 또한, 플라즈마 도핑 기법이 최근 관심 받고 있다. 하지만, 이 기법에 대한 2개의 주요 단점들이 있는 것으로 나타난다. (a) 그것은 플라즈마에서 고 에너지 이온들에 기인할 수도 있는 동시적인 스퍼터 이로젼 (simultaneous sputter erosion) 을 초래할 수도 있고, (b) 도펀트 도우즈 (dose) 및 컨포멀리티 (conformality) 는 플라즈마에서 이온 대 라디칼 밀도 비에 민감하게 의존하는 것으로 보이며, 이는 프로세스 제어에서 곤란함들을 초래한다. 따라서, 많은 현재 게이트 아키텍쳐 설계들을 제조하기 위하여 사용될 수도 있는 더 좋은 도핑 방법들이 계속 요망된다. Thus, the ion implantation processes are usually directional, and they have many gate architecture designs, such as 3D gate designs and/or closely spaced fins that may require doping on lateral and vertical surfaces. It tends to be unsuitable for the manufacture of gate architectures. If an attempt is made to use ion implantation to dop these surfaces, such as by changing the implant tilt angle, this is particularly in the dopant dose retention and diffusion range, especially on sidewalls. It can lead to considerable variability. Frequently, in a dense array of i3D structures, there may be shadowing effects on the directional ion beam in the injector, which creates serious dose retention problems for the inclined implant angle. In addition, plasma doping techniques have recently received attention. However, there appear to be two main drawbacks to this technique. (a) it may result in simultaneous sputter erosion, which may be due to high energy ions in the plasma, (b) dopant dose and conformity ions in the plasma It seems to be sensitively dependent on the ratio of to radical density, which leads to difficulties in process control. Thus, there remains a need for better doping methods that may be used to manufacture many current gate architecture designs.

반응 챔버에서 패턴화된 기판을 도핑하는 방법들이 여기에 개시된다. 몇몇 실시형태들에서, 본 방법들은, 직접적으로, 3차원 피쳐들을 갖는 패턴화된 기판의 표면 상에 또는 그 표면에 접착된 재료 상에, 도펀트를 포함하는 도펀트 소스를 포함하는 제 1 컨포멀 막 층을 형성하는 단계, 및 제 1 막 층으로부터 기판속으로 그 도펀트의 일부를 드라이빙 (driving) 시켜 기판에 컨포멀 도핑 프로파일을 형성하는 단계를 포함한다. 몇몇 실시형태들에서, 제 1 막 층을 형성하는 단계는, 도펀트 전구체를 반응 챔버속으로 도입하는 단계, 도펀트 전구체가 흡착 제한 층을 형성하는 조건들 하에서 직접적으로 패턴화된 기판의 표면 상에 또는 표면에 접착되는 재료 상에 도펀트 전구체를 흡착시키는 단계, 및 도펀트 소스를 형성하기 위하여 흡착된 도펀트 전구체를 반응시키는 단계를 포함한다. Methods of doping a patterned substrate in a reaction chamber are disclosed herein. In some embodiments, the present methods directly comprise a first conformal film comprising a dopant source comprising a dopant, on a surface of a patterned substrate having three-dimensional features or on a material attached to the surface. Forming a layer, and driving a portion of the dopant from the first film layer into the substrate to form a conformal doping profile on the substrate. In some embodiments, forming the first film layer comprises introducing a dopant precursor into the reaction chamber, directly on the surface of the patterned substrate under conditions where the dopant precursor forms an adsorption limiting layer or And adsorbing the dopant precursor on the material to be adhered to the surface, and reacting the adsorbed dopant precursor to form a dopant source.

몇몇 실시형태들에서, 제 1 막 층을 형성하는 단계는 원자 층 성막을 포함할 수도 있고, 또한 반응 챔버로부터 비흡착된 도펀트 전구체를 제거하는 단계를 포함할 수도 있다. 특정 그러한 실시형태들에서, 제거 단계는 흡착 단계 후에 그러나 반응 단계 전에 일어날 수도 있다. 몇몇 실시형태들에서, 제거 단계는, 진공 펌프를 사용하여 베이스 압력 (base pressure) 으로 반응 챔버를 펌핑하는 것에 의해, 반응 챔버로부터 비흡착된 도펀트 전구체를 펌핑하는 단계를 포함할 수도 있는 반면에, 몇몇 실시형태들에서, 제거 단계는 비활성 가스로 반응 챔버를 퍼징 (purging) 하는 단계를 포함할 수도 있다. In some embodiments, forming the first film layer may include atomic layer deposition, and may also include removing the unadsorbed dopant precursor from the reaction chamber. In certain such embodiments, the removal step may occur after the adsorption step but before the reaction step. In some embodiments, the removing step may include pumping the non-adsorbed dopant precursor from the reaction chamber by pumping the reaction chamber to a base pressure using a vacuum pump, while In some embodiments, the removing step may include purging the reaction chamber with an inert gas.

여기에 개시된 몇몇 방법들은 유전체 재료를 함유하는 제 2 컨포멀 막 층을 형성하는 단계를 추가적으로 포함할 수도 있고, 여기에 개시된 몇몇 방법들은, 기판의 표면에 접착된 재료 상에, 도펀트를 포함하는 도펀트 소스를 포함하는 제 3 컨포멀 막 층을 형성하는 단계를 추가적으로 포함할 수도 있고, 제 2 막 층의 상당 부분 (substantial portion) 은 제 1 막 층과 제 3 막 층 사이에 개재 (intersperse) 된다. 특정 그러한 실시형태들에서, 본 방법들은, 그 도펀트의 일부를 제 3 막 층으로부터 기판속으로 드라이빙하여 기판에 컨포멀 도핑 프로파일을 형성하는 단계를 더 포함할 수도 있다. 몇몇 실시형태들에서, 제 3 막층을 형성하는 단계는, 도펀트 전구체를 반응 챔버속으로 도입하는 단계, 도펀트 전구체가 흡착 제한 층 (adsorption-limited layer) 을 형성하는 조건들 하에서 표면에 접착된 재료 상에 도펀트 전구체를 흡착시키는 단계, 및 도펀트 소스를 형성하기 위하여 흡착된 도펀트 전구체를 반응시키는 단계를 포함할 수도 있다. 몇몇 실시형태들에서, 제 1 막 층을 형성할 때 도펀트 전구체를 흡착시키는 단계는 원자 층 성막을 포함할 수도 있고, 제 3 막 층을 형성할 때 도펀트 전구체를 흡착시키는 단계는 원자 층 성막을 포함할 수도 있다. 몇몇 실시형태들에서, 제 1 막 층을 형성하는 단계는, 반응 챔버로부터 비흡착된 도펀트 전구체를 제거하는 단계를 포함할 수도 있고, 제 3 막 층을 형성하는 단계는 반응 챔버로부터 비흡착된 도펀트 전구체를 제거하는 단계를 포함할 수도 있다. 몇몇 실시형태들에서, 제 1 막 층 및 제 3 막 층의 각각의 형성 동안에, 제거 단계는 흡착 단계 후에 그러나 반응 단계 전에 일어난다. 몇몇 실시형태들에서, 그 제거는, 진공 펌프를 사용하여 베이스 압력으로 반응 챔버를 펌핑하는 것에 의해 반응 챔버로부터 비흡착된 도펀트 전구체를 펌핑하는 단계를 포함할 수도 있다. 몇몇 실시형태들에서, 그 제거는 비활성 가스로 반응 챔버를 퍼징하는 단계를 포함할 수도 있다. Some methods disclosed herein may further include forming a second conformal film layer containing a dielectric material, and some methods disclosed herein include a dopant comprising a dopant on the material adhered to the surface of the substrate. It may further include forming a third conformal film layer comprising a source, and a substantial portion of the second film layer is intersperse between the first film layer and the third film layer. In certain such embodiments, the methods may further include driving a portion of the dopant from the third film layer into the substrate to form a conformal doping profile on the substrate. In some embodiments, forming the third film layer includes introducing a dopant precursor into the reaction chamber, on the material adhered to the surface under conditions where the dopant precursor forms an adsorption-limited layer. It may include the step of adsorbing the dopant precursor, and reacting the adsorbed dopant precursor to form a dopant source. In some embodiments, adsorbing the dopant precursor when forming the first film layer may include atomic layer deposition, and adsorbing the dopant precursor when forming the third film layer includes atomic layer deposition. You may. In some embodiments, forming the first film layer may include removing the non-adsorbed dopant precursor from the reaction chamber, and forming the third film layer may include non-adsorbed dopant from the reaction chamber. It may also include the step of removing the precursor. In some embodiments, during each formation of the first and third membrane layers, the removal step occurs after the adsorption step but before the reaction step. In some embodiments, the removal may include pumping the unadsorbed dopant precursor from the reaction chamber by pumping the reaction chamber to a base pressure using a vacuum pump. In some embodiments, the removal may include purging the reaction chamber with an inert gas.

몇몇 실시형태들에서, 제 1 막 층을 형성하기 위하여 흡착된 도펀트 전구체를 반응시키는 단계는 플라즈마를 사용한 활성화를 포함할 수도 있고, 제 3 막 층을 형성하기 위하여 흡착된 도펀트 전구체를 반응시키는 단계는 플라즈마를 사용한 활성화를 포함할 수도 있다. 몇몇 실시형태들에서, 플라즈마는 산화성 플라즈마이다. 몇몇 실시형태들에서, 플라즈마는 비활성 플라즈마이다. 몇몇 실시형태들에서, 제 1 막 층 및 제 3 막 층으로부터 도펀트의 일부를 드라이빙하는 것은 열 매개 확산 프로세스를 포함할 수도 있다. 몇몇 실시형태들에서, 열 매개 확산 프로세스는 어닐링을 수반한다. 몇몇 실시형태들에서, 어닐링은 레이저 스파이크 어닐링 (laser spike annealing) 이다. In some embodiments, reacting the adsorbed dopant precursor to form the first film layer may include activation using plasma, and reacting the adsorbed dopant precursor to form the third film layer is It may also include activation using plasma. In some embodiments, the plasma is an oxidative plasma. In some embodiments, the plasma is an inert plasma. In some embodiments, driving a portion of the dopant from the first film layer and the third film layer may include a heat mediated diffusion process. In some embodiments, the thermally mediated diffusion process involves annealing. In some embodiments, annealing is laser spike annealing.

몇몇 실시형태들에서, 도펀트는 원자가 III 또는 V 원소를 포함할 수도 있다. 몇몇 실시형태들에서, 원소는 보론, 인, 게르마늄 또는 비소이다. 몇몇 실시형태들에서, 도펀트는 보론이고, 도펀트 전구체는 알킬 보레이트이고, 도펀트 소스는 보론 산화물 또는 보론 옥시하이드라이드 (oxyhydride) 이다. 몇몇 실시형태들에서, 알킬 보레이트는 트리메틸 보레이트이다. 몇몇 실시형태들에서, 보론 산화물은 B2O3이다. 몇몇 실시형태들에서, 도펀트는 비소이고, 도펀트 전구체는 알킬아르신, 알콕시아르신, 및 아미노아르신 화학 족 (chemical family) 으로부터 선택되고, 도펀트 소스는 아르세노 실리케이트, 비소 도핑 실리케이트 유리, 비소 산화물, 또는 비소 옥시하이드라이드이다. 몇몇 실시형태들에서, 도펀트 전구체는 아르신, 트리에틸아르세네이트, 트리메틸아르신, 트리에틸아르신, 트리페닐아르신, 트리페닐아르신 산화물, 에틸렌비스(디페닐아르신), 트리스(디메틸아미노)아르신, 또는 화학식 As(OR)3 (식중에서 R은 -CH3 또는 -C2H5이다) 를 갖는 비소 함유 화합물이다. 몇몇 실시형태들에서, 도펀트 소스는 As2O3 및/또는 As2O5이다. 몇몇 실시형태들에서, 제 2 막 층의 유전체 재료는 SiO2이다. In some embodiments, the dopant may include a valence III or V element. In some embodiments, the element is boron, phosphorus, germanium or arsenic. In some embodiments, the dopant is boron, the dopant precursor is an alkyl borate, and the dopant source is boron oxide or boron oxyhydride. In some embodiments, the alkyl borate is trimethyl borate. In some embodiments, the boron oxide is B 2 O 3 . In some embodiments, the dopant is arsenic, and the dopant precursor is selected from alkylarsine, alkoxyarsine, and aminoarsine chemical families, and the dopant source is arseno silicate, arsenic doped silicate glass, arsenic oxide Or arsenic oxyhydride. In some embodiments, the dopant precursor is arsine, triethylarsenate, trimethylarsine, triethylarsine, triphenylarsine, triphenylarsine oxide, ethylenebis(diphenylarsine), tris(dimethyl Amino) arsine, or an arsenic-containing compound having the formula As(OR) 3 (where R is -CH 3 or -C 2 H 5 ). In some embodiments, the dopant source is As 2 O 3 and/or As 2 O 5 . In some embodiments, the dielectric material of the second film layer is SiO 2 .

여기에 개시된 몇몇 방법들은 유전체 재료를 함유하는 제 4 컨포멀 막 층을 형성하는 단계를 추가적으로 포함할 수도 있고, 여기에 개시된 몇몇 방법들은, 기판의 표면에 접착된 재료 상에, 도펀트를 포함하는 도펀트 소스를 포함하는 제 5 컨포멀 막 층을 형성하는 단계를 추가적으로 포함할 수도 있고, 제 4 막 층의 상당 부분은 제 3 막 층과 제 5 막 층 사이에 개재된다. 특정 그러한 실시형태들에서, 본 방법들은, 제 5 막 층으로부터 기판속으로 그 도펀트의 일부를 드라이빙하여 기판에 컨포멀 도핑 프로파일을 형성하는 단계를 더 포함할 수도 있다. 몇몇 그러한 실시형태들에서, 제 5 막 층을 형성하는 단계는, 도펀트 전구체를 반응 챔버속으로 도입하는 단계, 도펀트 전구체가 흡착 제한 층을 형성하는 조건들 하에서 표면에 접착되는 재료 상에 도펀트 전구체를 흡착시키는 단계, 및 도펀트 소스를 형성하기 위하여 흡착된 도펀트 전구체를 반응시키는 단계를 포함할 수도 있다. 여기에 개시된 몇몇 방법들은, 캡핑 층 (capping layer) 인 제 6 막 층을 형성하는 단계를 추가적으로 포함할 수도 있으며, 그 캡핑 층은 기판에 대해 제 1 내지 제 6 막 층들의 최외각 막 층이다. 특정 그러한 실시형태들에서, 캡핑 층은 SiO2를 포함한다. Some methods disclosed herein may further include forming a fourth conformal film layer containing a dielectric material, and some methods disclosed herein include a dopant comprising a dopant on a material adhered to the surface of the substrate. It may further include forming a fifth conformal film layer comprising a source, and a significant portion of the fourth film layer is interposed between the third and fifth film layers. In certain such embodiments, the methods may further include driving a portion of the dopant from the fifth film layer into the substrate to form a conformal doping profile on the substrate. In some such embodiments, forming the fifth film layer includes introducing a dopant precursor into the reaction chamber, depositing the dopant precursor on a material that adheres to the surface under conditions where the dopant precursor forms an adsorption limiting layer. It may include adsorbing, and reacting the adsorbed dopant precursor to form a dopant source. Some methods disclosed herein may further include forming a sixth film layer that is a capping layer, the capping layer being the outermost film layer of the first to sixth film layers relative to the substrate. In certain such embodiments, the capping layer comprises SiO 2 .

여기에 개시된 몇몇 방법들에서, 제 2 막층을 형성하는 단계는, 반응물을 반응 챔버속으로 도입하는 단계, 유전체 전구체를 반응 챔버속으로 도입하는 단계, 유전체 전구체가 흡착 제한 층을 형성하는 조건들 하에서 기판에 접착된 재료 상에 유전체 전구체를 흡착시키는 단계, 및 유전체 재료를 형성하기 위하여 흡착된 유전체 전구체와 반응물을 반응시키는 단계를 포함할 수도 있다. 여기에 개시된 몇몇 방법들에서, 제 4 막층을 형성하는 단계는, 반응물을 반응 챔버속으로 도입하는 단계, 유전체 전구체를 반응 챔버속으로 도입하는 단계, 유전체 전구체가 흡착 제한 층을 형성하는 조건들 하에서 기판에 접착된 재료 상에 유전체 전구체를 흡착시키는 단계, 및 유전체 재료를 형성하기 위하여 흡착된 유전체 전구체와 반응물을 반응시키는 단계를 포함할 수도 있다. 몇몇 그러한 실시형태들에서, 반응물은 산화제, 이를테면 원소 산소, 아산화질소, 물, 알킬 알코올, 일산화 탄소 또는 이산화 탄소일 수도 있다. 몇몇 실시형태들에서, 유전체 재료를 형성하기 위하여 흡착된 유전체 전구체와 반응물을 반응시키는 단계는 플라즈마를 사용한 활성화를 포함할 수도 있다. 몇몇 실시형태들에서, 유전체 전구체는 알킬아미노 실란 (SiHx(NR2)4-x), (식중에서 x = 1 내지 3, 그리고 R은 알킬기를 포함한다), 및 할로실란 (SiHxY4-x), (식중에서 x = 1 내지 3, 그리고 Y는 Cl, Br, 및 I를 포함한다) 으로 이루어지는 그룹으로부터 선택될 수도 있다. 몇몇 실시형태들에서, 유전체 전구체는 BTBAS일 수도 있다. In some of the methods disclosed herein, the step of forming the second film layer is performed under the conditions of introducing a reactant into the reaction chamber, introducing a dielectric precursor into the reaction chamber, and the dielectric precursor forming an adsorption limiting layer. It may also include adsorbing the dielectric precursor onto the material adhered to the substrate, and reacting the adsorbed dielectric precursor with the reactant to form the dielectric material. In some of the methods disclosed herein, the step of forming the fourth film layer includes introducing the reactants into the reaction chamber, introducing the dielectric precursor into the reaction chamber, and the conditions under which the dielectric precursor forms the adsorption limiting layer. It may also include adsorbing the dielectric precursor onto the material adhered to the substrate, and reacting the adsorbed dielectric precursor with the reactant to form the dielectric material. In some such embodiments, the reactant may be an oxidizing agent, such as elemental oxygen, nitrous oxide, water, alkyl alcohol, carbon monoxide or carbon dioxide. In some embodiments, reacting the reactant with the adsorbed dielectric precursor to form a dielectric material may include activation using plasma. In some embodiments, the dielectric precursor is an alkylamino silane (SiH x (NR 2 ) 4-x ), wherein x = 1 to 3, and R includes an alkyl group, and halosilane (SiH x Y 4 -x ), (wherein x = 1 to 3, and Y includes Cl, Br, and I). In some embodiments, the dielectric precursor may be BTBAS.

몇몇 실시형태들에서, 제 1 내지 제 5 막 층들의 각각은 단층 (monolayer) 이다. 몇몇 실시형태들에서, 제 1 내지 제 5 막 층들의 각각의 평균 두께는 0.1 과 2 옹스트롬 사이이다. 몇몇 실시형태들에서, 3차원 피쳐들의 적어도 하나는 약 40 나노미터 이하의 폭을 갖는다. 몇몇 실시형태들에서, 제 1 내지 제 5 막 층들 상의 도펀트의 평균 농도는 약 0.01 과 10 중량% 사이이다. In some embodiments, each of the first to fifth film layers is a monolayer. In some embodiments, the average thickness of each of the first to fifth film layers is between 0.1 and 2 Angstroms. In some embodiments, at least one of the three-dimensional features has a width of about 40 nanometers or less. In some embodiments, the average concentration of dopant on the first to fifth film layers is between about 0.01 and 10% by weight.

반응 챔버에서 패턴화된 기판을 도핑하는 방법으로서, 직접적으로, 3차원 피쳐들을 갖는 패턴화된 기판의 표면 상에, 또는 표면에 접착된 재료 상에, 유전체 및 도펀트 소스를 포함하는 합금을 형성하는 단계로서, 상기 도펀트 소스는 도펀트를 포함하는, 상기 합금을 형성하는 단계, 및 합금으로부터 기판속으로 도펀트의 일부를 드라이빙하여 기판에 컨포멀 도핑 프로파일을 형성하는 단계를 포함하는, 상기 도핑하는 방법들이 본원에 또한 개시된다. 몇몇 그러한 실시형태들에서, 합금을 형성하는 단계는, 도펀트 전구체 및 유전체 전구체를 반응 챔버속으로 도입하는 단계, 도펀트 전구체 및 유전체 전구체가 흡착 제한 층을 형성하는 조건들 하에서 기판 상에 또는 기판에 접착된 재료 상에 도펀트 전구체 및 유전체 전구체를 공동 흡착시키는 단계, 및 도펀트 소스 및 그 도펀트 소스를 갖는 합금을 형성하기 위하여 흡착된 도펀트 전구체 및 흡착된 유전체 전구체를 반응시키는 단계를 포함할 수도 있다. 몇몇 실시형태들에서, 합금을 형성하는 단계는, 제 3 반응물을 반응 챔버속으로 도입하는 단계 및 제 3 반응물을 흡착된 유전체 전구체와 반응시키는 단계를 포함할 수도 있다. 몇몇 실시형태들에서, 제 3 반응물은 산화제 (oxidant) 일 수도 있다. 몇몇 실시형태들에서, 제 3 반응물을 흡착된 유전체 전구체와 반응시키는 단계는 플라즈마를 사용한 활성화를 더 포함할 수도 있다. A method of doping a patterned substrate in a reaction chamber, which directly forms an alloy comprising a dielectric and a dopant source, on a surface of a patterned substrate having three-dimensional features, or on a material attached to the surface As a step, the dopant source includes a dopant, forming the alloy, and driving a portion of the dopant from the alloy into the substrate to form a conformal doping profile on the substrate. Also disclosed herein. In some such embodiments, forming the alloy may include introducing a dopant precursor and a dielectric precursor into the reaction chamber, adhering to or on the substrate under conditions where the dopant precursor and dielectric precursor form an adsorption limiting layer. Co-adsorption of the dopant precursor and the dielectric precursor on the material, and reacting the adsorbed dopant precursor and the adsorbed dielectric precursor to form a dopant source and an alloy having the dopant source. In some embodiments, forming the alloy may include introducing a third reactant into the reaction chamber and reacting the third reactant with the adsorbed dielectric precursor. In some embodiments, the third reactant may be an oxidant. In some embodiments, reacting the third reactant with the adsorbed dielectric precursor may further include activation using plasma.

또한, 기판을 도핑하기 위한 장치들이 본원에 개시된다. 몇몇 실시형태들에서, 본 장치는, 반응 챔버, 상기 반응 챔버 내의 기판 홀더, 상기 반응 챔버에 연결된 하나 이상의 도펀트 전구체 가스 입구들, 하나 이상의 진공 펌프들, 상기 반응 챔버를 상기 하나 이상의 진공 펌프들에 연결시키는 하나 이상의 가스 출구들, 및 머신 판독가능 코드를 포함하는 제어기를 포함할 수도 있다. 몇몇 그러한 실시형태들에서, 그 코드는, 기판이 상기 기판 홀더 상에 존재하는 것을 결정하기 위한 명령들, 도펀트 전구체가 기판 상에 또는 기판에 접착된 재료 상에 흡착되도록 반응 챔버속으로 도펀트 전구체를 도입하기 위하여 하나 이상의 도펀트 전구체 가스 입구들을 동작시키기 위한 명령들, 반응 챔버로부터 비흡착된 도펀트 전구체를 배출 (evacuate) 시키기 위하여 하나 이상의 가스 출구들을 동작시키기 위한 명령들, 및 도펀트 소스를 함유하는 막 층을 형성하기 위하여 흡착된 도펀트 전구체를 반응시키기 위한 명령들을 포함할 수도 있다. 몇몇 실시형태들에서, 본원에 개시된 장치들은 반응 챔버 내에서 플라즈마를 여기시키도록 구성된 RF 생성기를 더 포함할 수도 있다. 특정 그러한 실시형태들에서, 흡착된 도펀트 전구체를 반응시키기 위한 명령들은 흡착된 도펀트 전구체가 반응하게 하는 플라즈마를 여기시키도록 RF 생성기를 동작시키기 위한 명령들을 포함할 수도 있다. 몇몇 실시형태들에서, 제어기를 포함하는 머신 판독가능 코드는 막으로부터 기판 속으로 도펀트 소스의 일부를 드라이빙하기 위한 명령들을 더 포함할 수도 있다. 몇몇 실시형태들에서, 본원에 개시된 장치들은 도펀트 소스를 함유하는 막을 가열하도록 구성된 가열기를 더 포함할 수도 있다. 특정 그러한 실시형태들에서, 기판 속으로 도펀트의 일부를 드라이빙하기 위한 명령들은, 막을 가열하여 막으로부터 기판속으로 도펀트의 열 매개 확산 (thermally mediated diffusion) 을 야기하도록 가열기를 동작시키기 위한 명령들을 포함할 수도 있다. Also disclosed are devices for doping a substrate. In some embodiments, the apparatus includes a reaction chamber, a substrate holder in the reaction chamber, one or more dopant precursor gas inlets connected to the reaction chamber, one or more vacuum pumps, and the reaction chamber to the one or more vacuum pumps. It may also include a controller that includes one or more gas outlets to connect, and machine readable code. In some such embodiments, the code may be used to determine the presence of the substrate on the substrate holder, the dopant precursor into the reaction chamber such that the dopant precursor is adsorbed onto the substrate or on a material adhered to the substrate. Instructions for operating one or more dopant precursor gas inlets to introduce, instructions for operating one or more gas outlets to evacuate a non-adsorbed dopant precursor from the reaction chamber, and a membrane layer containing a dopant source It may also include instructions for reacting the adsorbed dopant precursor to form a. In some embodiments, the devices disclosed herein may further include an RF generator configured to excite the plasma within the reaction chamber. In certain such embodiments, instructions for reacting the adsorbed dopant precursor may include instructions for operating the RF generator to excite the plasma that causes the adsorbed dopant precursor to react. In some embodiments, machine readable code comprising a controller may further include instructions for driving a portion of the dopant source from the film into the substrate. In some embodiments, the devices disclosed herein may further include a heater configured to heat the film containing the dopant source. In certain such embodiments, instructions for driving a portion of the dopant into the substrate may include instructions for operating the heater to heat the film to cause thermally mediated diffusion of the dopant from the film into the substrate. It might be.

도 1 은 ALD 프로세스를 통하여 컨포멀 막 층들을 함유하는 하나 이상의 도펀트 소스를 형성하는데 사용될 수도 있는 동작들의 시퀀스의 개략적인 예시이다.
도 2 는 CFD 프로세스를 통하여 하나 이상의 유전체 컨포멀 막 층들을 형성하는데 사용될 수도 있는 동작들의 시퀀스의 개략적인 예시이다.
도 3 은, 모두 하부 반도체 기판의 표면 상에 성막되는, 개재된 ALD B2O3 도펀트 층들 및 CFD 산화물 층들을 갖고, 또한 캡핑 층을 갖는 컨포멀 막 스택의 개략적인 예시이다.
도 4(a) 는 캡핑 층 역할을 하는 스택의 부분 "B"를 갖는, 예시적인 A+B 스택의 개략적인 예시이다.
도 4(b) 는, 교번하는 나노라미네이트로서 개재된 ALD 도펀트 층들 및 CFD 유전체 층들을 갖고, 또한 캡핑 층을 갖는 컨포멀 막 스택의 개략적인 예시이다.
도 4(c) 는, 교번하는 단층으로서 개재된 ALD 도펀트 층들 및 CFD 유전체 층들을 갖고, 또한 캡핑 층을 갖는 컨포멀 막 스택의 개략적인 예시이다.
도 4(d) 는, 도펀트 소스 및 유전체의 합금 층들을 갖고, 또한 캡핑 층을 갖는 컨포멀 막 스택의 개략적인 예시이다.
도 5 는 50 사이클들의 성막에 대하여, 자연 산화물 및 기존 B2O3 단층 상의 성장 레이트들과 CFD 산화물 상의 성장 레이트들을 비교하는 그래프이다.
도 6 은 컨포멀 막의 스택을 성막하고 하부 반도체 기판을 도핑하는데 사용될 수도 있는 동작들의 시퀀스의 개략적인 예시이다.
도 7 은 수개의 상이한 방법들을 사용하여 성막된 수개의 B2O3 스택들 사이의 웨이퍼 내 (WiW) 두께 불균일성 (NU) 을 비교하는 그래프이다.
도 8 은 웨이퍼의 센터 및 에지에서 취해진, ALD 대 CFD 를 사용하여 형성된 B2O3 막들의 13 포인트 FTIR (Fourier transform infrared spectroscopy) 측정들의 결과들이다.
도 9 는 컨포멀 스택으로 도핑한 후에 기판 센터 및 에지에서 측정된 보론 농도 대 기판 깊이의 플롯들을 표시한다.
도 10 은 또한, 상이한 컨포멀 스택으로 도핑한 후에 기판 센터 및 에지에서 측정된 보론 농도 대 기판 깊이의 플롯들을 표시한다.
도 11(a) 는 비소 실리케이트 유리 (ASG) 계 도펀트 층을 갖는 컨포멀 막을 사용하여 하부 반도체 기판을 도핑하기 위한 동작들의 시퀀스의 개략적인 예시이다.
도 11(b) 는 어닐 온도 프로파일의 개략적인 플롯이다.
1 is a schematic illustration of a sequence of operations that may be used to form one or more dopant sources containing conformal film layers through an ALD process.
2 is a schematic illustration of a sequence of operations that may be used to form one or more dielectric conformal film layers through a CFD process.
3 is a schematic illustration of a conformal film stack with intervening ALD B 2 O 3 dopant layers and CFD oxide layers, all deposited on the surface of the underlying semiconductor substrate, and also with a capping layer.
4(a) is a schematic illustration of an exemplary A+B stack, with part “B” of the stack serving as a capping layer.
4(b) is a schematic illustration of a conformal film stack with alternating nanolaminate intervening ALD dopant layers and CFD dielectric layers, and also with a capping layer.
4( c) is a schematic illustration of a conformal film stack with alternating monolayers interposed ALD dopant layers and CFD dielectric layers, and also with a capping layer.
4(d) is a schematic illustration of a conformal film stack with alloy layers of dopant source and dielectric, and also with a capping layer.
5 is a graph comparing growth rates on native oxide and conventional B 2 O 3 monolayers versus growth rates on CFD oxide for 50 cycles of film formation.
6 is a schematic illustration of a sequence of operations that may be used to deposit a stack of conformal films and to dope a lower semiconductor substrate.
7 is a graph comparing in-wafer (WiW) thickness non-uniformity (NU) between several B 2 O 3 stacks deposited using several different methods.
8 are results of 13 point Fourier transform infrared spectroscopy (FTIR) measurements of B 2 O 3 films formed using ALD versus CFD, taken at the center and edge of the wafer.
9 shows plots of boron concentration versus substrate depth measured at the substrate center and edge after doping with a conformal stack.
10 also shows plots of boron concentration versus substrate depth measured at the substrate center and edge after doping with different conformal stacks.
11(a) is a schematic illustration of a sequence of operations for doping a lower semiconductor substrate using a conformal film having an arsenic silicate glass (ASG) based dopant layer.
11(b) is a schematic plot of the annealing temperature profile.

컨포멀로 성막된 막들로부터 p-타입 및 n-타입 도펀트들의 고체상 확산 (Solid-state diffusion) 은, 인컴번트 이온 주입 (incumbent ion implantation) 기술을 사용하여 기판들을 도핑하는 것의 대안으로서 본원에 제시된다. 컨포멀로 성막된 막들을 통한 도핑은, 예를 들면, 3D 구조들 이를테면 (pMOS FinFET와 같은) 3D 트랜지스터들의 울트라쉘로우 접합 영역 (ultrashallow junction region) 들 및/또는 소스/드레인 확장 영역들을 도핑할 때 이온 주입에 비해 우수할 수도 있다. 일반적으로, 컨포멀로 성막된 막들을 통한 도핑은 많은 고종횡비 디바이스 구조들을 도핑하는데 유용하고, 종래 이온 주입 또는 방향성 도핑 방법들이 부적당한 많은 시나리오들에서 적절히 사용될 수도 있다. 그러한 컨포멀 도핑은 원자층 성막 (ALD) 과 같은 성막 기법들의 사용을 채용할 수도 있다. 몇몇 콘텍스트들에서, CFD (conformal film deposition) 로 지칭되는 ALD의 형성이 채용된다. 특히, n-도핑 또는 p-도핑 컨포멀 막의 박층은 디바이스 구조들의 수직 표면들 상에서 ALD를 통해 형성될 때, 이들 수직 표면들의 컨포멀 도핑이 달성될 수도 있다. 그러한 컨포멀 도핑은 몇몇 경우들에서, 감소된 직렬 저항 (series resistance) 에 기인하여 10% 내지 25% 만큼 3D 디바이스들에서 전류 밀도를 증가시키는 것으로 관측되었다. Yamashita 등의 VLSI 2011 참조. 종래 실리콘계 마이크로일렉트로닉스에 더하여, ALD 도핑의 다른 응용들은, GaAs와 같은 III-V 반도체들, 및 HgCdTe와 같은 II-VI 반도체들에 기초한 마이크로일렉트로닉스 및 옵토일렉트로닉스, 그리고 광전지, 평판 디스플레이 및 일렉트로크로믹 기술을 포함한다. Solid-state diffusion of p-type and n-type dopants from conformally deposited films is presented herein as an alternative to doping substrates using incumbent ion implantation technology. . Doping through conformally deposited films, for example, when doping 3D structures, such as ultratrash allow junction regions and/or source/drain extension regions of 3D transistors (such as pMOS FinFETs). It may be superior to ion implantation. In general, doping through conformally deposited films is useful for doping many high aspect ratio device structures, and conventional ion implantation or directional doping methods may be appropriately used in many scenarios where they are unsuitable. Such conformal doping may employ the use of deposition techniques such as atomic layer deposition (ALD). In some contexts, the formation of ALD, referred to as conformal film deposition (CFD), is employed. In particular, when a thin layer of n-doped or p-doped conformal film is formed through ALD on vertical surfaces of device structures, conformal doping of these vertical surfaces may be achieved. Such conformal doping has been observed, in some cases, to increase the current density in 3D devices by 10% to 25% due to reduced series resistance. See VLSI 2011 by Yamashita et al. In addition to conventional silicon-based microelectronics, other applications of ALD doping include microelectronics and optoelectronics based on III-V semiconductors such as GaAs, and II-VI semiconductors such as HgCdTe, and photovoltaic cells, flat panel displays and electrochromic technology. It includes.

컨포멀로 성막된 막들을 통한 도핑은, 도펀트 소스를 함유하는- 예를 들면, 고종횡비 디바이스 구조의 측방향 및 수직 표면들 상에- 하나 이상의 도펀트 소스 컨포멀 막 층들의 형성을 수반한다. 몇몇 실시형태들에서, 도펀트 소스 층들은 실리콘 산화물 컨포멀 막 층들로 개재될 수도 있고, 그 층들은 서로 위에, 차례로, 교대로, 순차적으로 그들을 성장시키는 것에 의해 형성된다. 충분한 수의 도펀트 소스 층들이 존재하면, 도펀트는, 도펀트 소스 컨포멀 막 층들로부터, 하부 실리콘 기판과 같은, 기판속으로 도펀트의 일부를 드라이빙하는 것에 의해, 기판속으로 전달될 수도 있다. 기판속으로 드라이빙된 도펀트 및 컨포멀 막 층(들) 에 있는 도펀트 소스는 다름아닌 바로 동일한 것일 수도 있거나, 또는 기판속으로 드라이빙된 도펀트는 도펀트 소스에 화학적으로 관련된 종일 수도 있다, 이를테면, 예를 들어 도펀트 소스는 인 함유 화합물일 수도 있고, 도펀트 자체는 인 함유 화합물 내의 인 원자일 수도 있음에 유의한다. Doping through conformally deposited films involves the formation of one or more dopant source conformal film layers containing a dopant source—eg, on lateral and vertical surfaces of a high aspect ratio device structure. In some embodiments, dopant source layers may be interposed with silicon oxide conformal film layers, which layers are formed by growing them over each other, in turn, alternately, sequentially. If a sufficient number of dopant source layers are present, the dopant may be transferred into the substrate by driving a portion of the dopant from the dopant source conformal film layers into the substrate, such as the underlying silicon substrate. The dopant driven into the substrate and the dopant source in the conformal film layer(s) may be just the same, or the dopant driven into the substrate may be a species chemically related to the dopant source, such as, for example Note that the dopant source may be a phosphorus containing compound, or the dopant itself may be a phosphorus atom in the phosphorus containing compound.

몇몇 실시형태들에서, 도펀트 소스 층들을 성막하기 위해 CVD (chemical vapor deposition) 프로세스를 사용하는 것이 가능할 수도 있다. 몇몇 실시형태들에서, CVD를 통해 형성된 도펀트 소스 층들은 컨포멀 실리콘 산화물 층들로 개재될 수도 있다. 하지만, B2H6 (또는 n-타입 도핑에 대해 PH3 또는 AsH3 (아르신)) 를 유동시키는 것에 의해 열적으로 성장된 CVD 형성된 도펀트 소스 층들은, 몇몇 경우들에서, 성막된 그대로의 (as-deposited) 도펀트 소스 막 층 속으로 도펀트의 혼입 (incorporation) 과 하부 기판속으로의 도펀트의 전달 양쪽 모두의 면에서, 최적보다 낮은 웨이퍼 내 균일성을 나타낼 수도 있다는 것을 알아냈다. 또한, 상이한 기하학적 제약 (geometric constraint) 을 갖는 동일한 다이 상의 3D 구조들의 어레이는 패턴 로딩 (pattern loading) 을 나타낼 수도 있다. 즉, 상이한 기하학적 특징들 (예를 들면, 상이한 종횡비) 를 갖는 피쳐들은 CVD-계 도펀트 소스 층들이 사용될 때 상이한 도핑 프로파일을 가질 수도 있다. In some embodiments, it may be possible to use a chemical vapor deposition (CVD) process to deposit dopant source layers. In some embodiments, dopant source layers formed through CVD may be interposed with conformal silicon oxide layers. However, thermally grown CVD formed dopant source layers by flowing B 2 H 6 (or PH 3 or AsH 3 (arsine) for n-type doping) may, in some cases, be deposited as ( It has been found that, in terms of both incorporation of the dopant into the as-deposited dopant source film layer and transfer of the dopant into the underlying substrate, it may exhibit less than optimal wafer uniformity. Also, an array of 3D structures on the same die with different geometric constraints may exhibit pattern loading. That is, features with different geometrical features (eg, different aspect ratios) may have different doping profiles when CVD-based dopant source layers are used.

몇몇 실시형태들에서, 원자층 성막 (ALD) 은 컨포멀 막 층들을 함유하는 도펀트 소스를 성막하기 위하여 사용될 수도 있다. 몇몇 실시형태들에서, 이들 층들은 컨포멀 산화물 층들로 개재될 수도 있다. 몇몇 실시형태들에서, ALD를 통해 성막된 산화물 또는 옥시하이드라이드 막 층들은 도펀트 소스 함유 컨포멀 막 층들로서 사용될 수도 있다. 특정 그러한 실시형태들에서, 이들 층들을 형성하기 위하여 사용되는 도펀트 전구체는 유기 금속 전구체일 수도 있다. 특정 그러한 실시형태들에서, 트리메틸 보레이트 (TMB) 가, 산화물 스택을 형성하기 위하여 산화물 층들로 개재된 보론 함유 컨포멀 막 층들을 형성하는 프로세스에서 ALD 도펀트 전구체로서 사용될 수도 있다. 다른 실시형태들에서, 비소 (As) 도핑 실리케이트 유리 (ASG) 또는 비소 산화물 (예를 들면, As2O3, As2O5), 또는 인 (P) 도핑 실리케이트 유리 (PSG) 또는 인 산화물 (예를 들면, P2O5) 은 ALD를 통하여 형성된 컨포멀 막 층에서 도펀트 소스 역할을 할 수도 있다. 후술되는 바처럼, 플라즈마 활성화는 B2O3, 또는 P2O5 또는 PSG 또는 ASG, 또는 As2O3, 또는 As2O5 를 함유하는 컨포멀 막들을 제조하기 위하여 도펀트 전구체의 흡착 후에 사용될 수도 있다. 실시형태에 따라, 특정 스택 엔지니어링 개념들은, 이들 막들에서 보론, 인, 또는 비소 농도를 조절하기 위하여 사용될 수도 있다. 물론, 다른 도펀트 전구체들이 또한, ALD 프로세스들에서 채용되어 도펀트 소스 컨포멀 막 층들을 제조할 수도 있고, 도펀트 전구체에 따라, 갈륨, 인 및 그들의 각각의 산화물 및 옥시하이드라이드 등을 함유하는 컨포멀 막 층들이 제조될 수도 있다. In some embodiments, atomic layer deposition (ALD) may be used to deposit a dopant source containing conformal film layers. In some embodiments, these layers may be interposed with conformal oxide layers. In some embodiments, oxide or oxyhydride film layers deposited through ALD may be used as conformal film layers containing a dopant source. In certain such embodiments, the dopant precursor used to form these layers may be an organometallic precursor. In certain such embodiments, trimethyl borate (TMB) may be used as an ALD dopant precursor in the process of forming boron containing conformal film layers interposed with oxide layers to form an oxide stack. In other embodiments, arsenic (As) doped silicate glass (ASG) or arsenic oxide (e.g. As 2 O 3 , As 2 O 5 ), or phosphorus (P) doped silicate glass (PSG) or phosphorus oxide ( For example, P 2 O 5 ) may serve as a dopant source in the conformal film layer formed through ALD. As described below, plasma activation can be used after adsorption of the dopant precursor to produce conformal films containing B 2 O 3 , or P 2 O 5 or PSG or ASG, or As 2 O 3 , or As 2 O 5 It might be. Depending on the embodiment, certain stack engineering concepts may be used to control boron, phosphorus, or arsenic concentrations in these films. Of course, other dopant precursors may also be employed in ALD processes to produce dopant source conformal film layers, and depending on the dopant precursor, a conformal film containing gallium, phosphorus and their respective oxides and oxyhydrides, etc. Layers may be made.

도펀트 소스 함유 컨포멀 막 층은 "소스" 층으로 칭해지는데, 왜냐하면 그것은 도펀트 종 (예를 들면, 도펀트 원자 이를테면 보론, 인, 갈륨 및/또는 비소) 의 소스를 제공하기 때문이다. 도펀트 소스 층은 디바이스에서 하부 (또는 상부) 구조를 도핑하기 위한 도펀트의 소스 역할을 한다. 도펀트 소스 층이 형성된 후에 (또는 그의 형성 동안에), 도펀트 종들은, 제조되고 있는 디바이스에 있는 인접 구조들속으로 드라이빙되거나 또는 그렇지 않으면 혼입된다. 몇몇 실시형태들에서, 도펀트 종들은 도펀트 소스 컨포멀 막 층을 형성하는 동안 또는 그 후에 어닐링 동작에 의해 드라이빙된다. 전술된 바처럼, ALD를 사용하여 성막된 도펀트 소스 층들의 실질적인 컨포멀 성질은, 예를 들면, 3D에서의 도핑을 필요로 하는 구조들을 포함하는 비종래 디바이스 구조들의 효과적인 도핑을 허용한다. 몇몇 실시형태들에서, 유전체 층은, 도펀트 층이 혼입되는 베이스 소스 층 역할을 한다. 예를 들면, 도핑 실리콘 산화물은 보론, 인, 비소, 갈륨 등을 위한 확산 종으로서 사용될 수도 있다. 몇몇 실시형태들에서, BSG (boron doped silicate glass), PSG (phosphorus doped silicate glass), BPSG (boron-phosphorus doped silicate glass), 또는 ASG (arsenic doped silicate glass) 등이 사용될 수도 있다.A conformal film layer containing a dopant source is referred to as a “source” layer because it provides a source of dopant species (eg, dopant atoms such as boron, phosphorus, gallium and/or arsenic). The dopant source layer serves as a source of dopant for doping the bottom (or top) structure in the device. After the dopant source layer is formed (or during its formation), the dopant species are driven into or otherwise incorporated into adjacent structures in the device being manufactured. In some embodiments, the dopant species are driven by an annealing operation during or after forming the dopant source conformal film layer. As discussed above, the substantial conformal nature of the dopant source layers deposited using ALD allows effective doping of non-conventional device structures, including structures that require doping in 3D, for example. In some embodiments, the dielectric layer serves as a base source layer into which the dopant layer is incorporated. For example, doped silicon oxide may be used as a diffusion species for boron, phosphorus, arsenic, gallium, and the like. In some embodiments, boron doped silicate glass (BSG), phosphorus doped silicate glass (PSG), boron-phosphorus doped silicate glass (PSG), or arsenic doped silicate glass (ASG) may be used.

ALD 를 이용하여 형성되고 하부 기판속으로의 확산을 위한 도펀트 소스를 포함하는 컨포멀 막들은 여러 이익들과 이점들을 가질 수도 있다. 첫번째로, 몇몇 실시형태들에서, 종종 고도의 컨포멀 막들은 꽤 낮은 온도에서 ALD 프로세스들에 의해 형성될 수도 있다. 이들 막에 대한 높은 정도의 컨포멀리티는 이로운데, 왜냐하면 하부 층을 도핑하는데 이용된 막 층이 희생적일 수도 있고 이들 막이 논컨포멀 (non-conformal) 인 범위에서는 제거가 오버에칭 (또는 언더에칭/언더컷팅) 을 초래할 수도 있고, 이는 상당한 도전을 제기하기 때문이다. ALD 프로세스들은 또한, 극히 잘 제어된 도핑 농도들을 갖는 컨포멀 막들을 제공할 수도 있다. 몇몇 실시형태들에서, 이는, 도핑 층들을 비도핑 산화물 층들로 개재시키도록 ALD를 이용함으로써 그리고 도펀트 소스 종의 전체적인 스택 농도를 정밀하게 제어하기 위하여 비도핑 층에 대한 도핑층의 비율을 변화시킴으로써 달성될 수도 있다. 즉, 도핑 레벨은, 도핑 층이 비도핑 층들로 적층되어지는 빈도에 의해 그리고 또한 도펀트 소스 함유 층들에서의 도펀트의 농도에 영향을 주는 도핑 사이클의 조건들을 제어함으로써 엄밀하게 제어될 수 있다. 예를 들어, 몇몇 실시형태들에서, 상당한 입체 장애 (steric hindrance) 를 갖는 도펀트 소스는 성막된 도핑 층들의 특성들을 제어하기 위한 메카니즘을 제공할 수도 있다.Conformal films formed using ALD and comprising a dopant source for diffusion into the underlying substrate may have several benefits and advantages. First, in some embodiments, often highly conformal films may be formed by ALD processes at fairly low temperatures. A high degree of conformality for these films is beneficial, because removal may be overetched (or underetched//) to the extent that the film layers used to dope the underlying layer may be sacrificial and these films are non-conformal. Undercut), which poses a significant challenge. ALD processes may also provide conformal films with extremely well controlled doping concentrations. In some embodiments, this is achieved by using ALD to intervene the doped layers with undoped oxide layers and by changing the ratio of the doped layer to the doped layer to precisely control the overall stack concentration of the dopant source species. It may be. That is, the doping level can be strictly controlled by the frequency with which the doping layer is deposited into non-doped layers and also by controlling the conditions of the doping cycle that affect the concentration of the dopant in the dopant source containing layers. For example, in some embodiments, a dopant source with significant steric hindrance may provide a mechanism for controlling the properties of the deposited doped layers.

또한, ALD를 통해 성막된 컨포멀 막들은 하부 기판을 위한 도펀트 소스로서 이용되는 것 이외에 다른 응용들을 가질 수도 있다. ALD 를 통하여 성막된 컨포멀 산화물 막들에 대한 다른 응용들은 ILD (interlayer dielectric) 응용들, IMD (intermetal dielectric) 응용들, PMD (pre-metal dielectric) 응용들, TSV (through-silicon via) 용 유전체 라이너 응용들, ReRAM (resistive RAM) 응용들 및/또는 DRAM 에서의 스택형 커패시터 제조 응용들을 포함하지만, 이들에 제한되는 것은 아니다.In addition, conformal films deposited through ALD may have other applications besides being used as a dopant source for the underlying substrate. Other applications for conformal oxide films deposited through ALD include interlayer dielectric (ILD) applications, intermetal dielectric (IMD) applications, pre-metal dielectric (PMD) applications, dielectric liner for through-silicon via (TSV) Applications, including resistive RAM (ReRAM) applications and/or stacked capacitor manufacturing applications in DRAM.

ALD 유리들에 대한 다른 이용은 패시베이션, 리플로우, 기밀 실링, 커패시터 유전체들 등을 포함하지만 이들에 제한되지 않는다.Other uses for ALD glasses include, but are not limited to, passivation, reflow, hermetic sealing, capacitor dielectrics, and the like.

또한, 몇몇 실시형태들에서, 도핑 막들은 IC (integrated circuit) 제조의 패터닝 스테이지들 동안과 같이 집적 회로 IC 제조에서의 여러 스테이지들에 이용된 하이-에칭-레이트 희생 산화물과 같은 에칭가능 층들로서의 응용을 찾을 수도 있다. 특정 이러한 실시형태들에서, IC 제조에 이용하기 위한 에칭가능 층은 튜닝가능 습식 에칭 레이트를 갖는 유리 층일 수도 있고 여기서 에칭 레이트는 도핑 레벨에 의해 튜닝가능하다. 즉, 도핑 레벨은 미리 정의된 에칭 레이트를 제공하도록 선택된다. 이러한 도핑 막들은 ALD를 통하여 성막된 컨포멀 막들일 수도 있다. 특정 실시형태에서, 에칭가능 층은 비소, 인, 보론, 게르마늄, 또는 이들의 조합과 같은 도펀트를 포함하는 실리케이트 유리 층이다. 특히, ALD 를 통하여 성막된 보론 함유 실리케이트 유리 (BSG) 는 비도핑 CFD 산화물 또는 열산화물 보다 훨씬 더 높은 에칭 레이트를 가지며, BSG 의 에칭 레이트는 보론 농도로 튜닝가능한 것으로 나타난다. 따라서, ALD 를 통하여 성막된 도핑 컨포멀 막들은 간단히 FEOL (front-end-of-line) 구조들에서 컨포멀 희생 층들 또는 스페이서들로서 이용될 수도 있다. 하부층에서의 보다 빠른 에칭 레이트들 및 선택도는 에칭 레이트 선택적 ALD 컨포멀 막들을 선택하는데 있어 주요 특징이다.Also, in some embodiments, doped films are used as etchable layers, such as high-etching-rate sacrificial oxides used in various stages in integrated circuit IC manufacturing, such as during patterning stages of integrated circuit (IC) manufacturing. You can also find applications. In certain such embodiments, the etchable layer for use in IC fabrication may be a glass layer with a tunable wet etch rate, where the etch rate is tunable by doping level. That is, the doping level is selected to provide a predefined etch rate. These doped films may be conformal films formed through ALD. In certain embodiments, the etchable layer is a silicate glass layer comprising a dopant such as arsenic, phosphorus, boron, germanium, or combinations thereof. In particular, boron-containing silicate glass (BSG) deposited through ALD has a much higher etch rate than undoped CFD oxide or thermal oxide, and the etch rate of BSG appears to be tunable to boron concentration. Thus, doped conformal films deposited through ALD may simply be used as conformal sacrificial layers or spacers in front-end-of-line (FEOL) structures. Faster etch rates and selectivity in the underlying layer are key features in selecting etch rate selective ALD conformal films.

ALD를 통한 도펀트 소스 함유 컨포멀 막 층들의 형성Formation of conformal film layers containing dopant source through ALD

ALD "사이클"의 컨셉은 다음에 오는 설명의 여러 부분들 그리고 특히 ALD의 다수의 "사이클"을 통한 다수의 컨포멀 막 층들의 성막에 대한 논의 부분들과 관련하여 중요하다. 일반적으로, 기판 표면 상에 적어도 부분적인 컨포멀 막 층의 성막을 초래하는 단계들의 기본 세트는 단일의 ALD "사이클"로서 지칭될 수도 있다. 통상적으로, ALD 사이클은 기판 표면으로 각각의 반응물을 전달하여 흡착시키며 그 후 이들 흡착된 반응물들을 반응시켜 막의 부분 층을 형성하는데 필요한 단계들만을 포함할 것이다. 물론, 사이클은 반응물들 또는 부산물들 중 하나를 반응 챔버 외부로 스윕 (sweep) 하거나 및/또는 성막된 컨포멀 막 층 (또는 부분 막 층) 에 대해 처리를 가하는 특정 부수 단계들을 포함할 수도 있다. 일반적으로, 사이클은 전체적인 또는 부분적인 컨포멀 막 층의 성막을 초래하는 동작들의 고유 세트의 단일 반복을 나타낸다.The concept of the ALD "cycle" is important with respect to various parts of the description that follows, and in particular to the discussion of the deposition of multiple conformal film layers through multiple "cycles" of ALD. In general, the basic set of steps that result in the deposition of at least a partial conformal film layer on the substrate surface may be referred to as a single ALD “cycle”. Typically, the ALD cycle will include only the steps necessary to transfer each reactant to the substrate surface to adsorb and then react these adsorbed reactants to form a partial layer of the film. Of course, the cycle may include certain minor steps of sweeping one of the reactants or byproducts out of the reaction chamber and/or subjecting the deposited conformal membrane layer (or partial membrane layer) to treatment. Generally, a cycle represents a single iteration of a unique set of operations resulting in the deposition of an entire or partial conformal film layer.

도 1 은 ALD 프로세스를 통하여 하나 이상의 도펀트 소스 함유 컨포멀 막 층들을 형성하기 위하여 이용될 수도 있는 수평축을 따라 시간이 지나면서 좌측에서 우측으로 동작들의 일반 시퀀스를 예시하는 개략도를 표시한다. 이 개략도는 단계들의 베이스라인 시퀀스를 간단히 예시하며, ALD 형성 컨포멀 막 층들의 제공 뿐만 아니라 실시형태에 따라 그리고 도펀트 소스 및 대응하는 도펀트 전구체 종의 성질에 따라 다른 부수 동작들이 예시된 동작들에 추가, 치환, 및/또는 개재될 수도 있음을 유의한다.1 shows a schematic diagram illustrating a general sequence of operations from left to right over time along a horizontal axis that may be used to form one or more dopant source containing conformal film layers through an ALD process. This schematic diagram simply illustrates the baseline sequence of steps, with the addition of ALD forming conformal film layers as well as other ancillary actions depending on the embodiment and the nature of the dopant source and corresponding dopant precursor species in addition to the illustrated actions Note that, substitution, and/or intervening.

도 1 을 참조하면, 동작 (i) 에서, 적절한 도펀트 전구체가, 도펀트 전구체가 (충분한 시간의 지속 기간 및 충분한 양의 전구체가 주어지면) 흡착 제한 층을 형성할 수도 있 조건들 하에서 반응 챔버 내에 도입되어 기판 표면 상에 (또는 기판에 접착된 물질 상에) 흡착된다. 동작 (i) 의 지속 기간은 1 사이클의 ALD 막 성장을 지원하기에 충분한 양으로 기판 표면 상에 전구체가 흡착되는 것을 허용하도록 선택된다. 몇몇 실시형태들에서, 전구체는 전술한 흡착 제한 층을 형성하는 기판 표면을 포화시킨다. 다른 실시형태들에서, (예를 들어, 전체 포화를 위한 시간 또는 전구체의 양의 불충분으로 인하여) 전구체로 기판 표면을 부분적으로만 포화시킴으로써 층이 형성된다. 특정 실시형태들에서, 캐리어 가스와 함께 도펀트 전구체 가스를 유동시킴으로써 반응 챔버에 도펀트 전구체가 제공된다. 아르곤과 같은 비활성 가스가 이러한 목적을 제공할 수도 있다. 도펀트 전구체의 흡착 다음에, 동작 (ii) 에서, 반응 챔버 내에 여전히 존재하는 나머지 비흡착된 도펀트 전구체가 예를 들어, 반응 챔버를 베이스 압력으로 펌프 다운 ("베이스로의 펌프" 라 함) 하거나 및/또는 선택적으로, 임의의 나머지 비흡착된 도펀트 전구체를 플러쉬 아웃 (flush out) 하도록 반응 챔버를 비활성 가스로 퍼지 ("비활성 퍼지 (inert purge)" 라 함) 함으로써 제거된다. 그 후, 흡착된 도펀트 전구체의 반응이 동작 (iii) 에서 발생하며, 여기에서 반응은 도펀트 소스 함유 컨포멀 막의 층을 형성하도록 비활성 플라즈마 활성화 및/또는 산화성 플라즈마 활성화를 이용한, 플라즈마 활성화를 통하여 진행한다. 마지막으로, 동작 (iv) 에서, 또 다른 베이스로의 펌프 및/또는 비활성 퍼지가 수행되어 임의의 반응 부산물들을 제거한다. 동작 (i) 내지 (iv) 는 총괄적으로 전술한 바와 같이, ALD 사이클이라 지칭될 수도 있고 도펀트 ALD 막 성막의 단일 사이클을 제공한다. 도 1 은 또한 다수의 도펀트 소스 함유 컨포멀 막 층들을 형성하도록 이 ALD 사이클이 1 회 이상 반복될 수도 있음을 개략적으로 설명한다 (동작 (v) 를 참조한다). 따라서, 몇몇 실시형태들에서, 동작 (i) 내지 (iv) 는 일관되게 적어도 1 회, 또는 적어도 2 회, 또는 적어도 3 회, 또는 적어도 5 회, 또는 적어도 7 회, 또는 적어도 10 회 연속적으로 반복된다. 도펀트 ALD 막은 ALD 사이클 당 0.1 Å 와 2.5 Å 사이 또는 그 정도, 또는 ALD 사이클 당 0.2 Å 와 2.0 Å 사이 또는 그 정도 또는 ALD 사이클 당 0.3 Å 와 1.8 Å 사이 또는 그 정도, 또는 ALD 사이클 당 0.5 Å 와 1.5 Å 사이 또는 그 정도, 또는 ALD 사이클 당 0.1 Å 와 1.5 Å 사이 또는 그 정도, 또는 ALD 사이클 당 0.2 Å 와 1.0 Å 사이 또는 그 정도, 또는 ALD 사이클 당 0.3 Å 와 1.0 Å 사이 또는 그 정도, 또는 ALD 사이클 당 0.5 Å 와 1.0 Å 사이 또는 그 정도의 레이트에서 성막될 수도 있다.Referring to Figure 1, in operation (i), a suitable dopant precursor is introduced into the reaction chamber under conditions that may cause the dopant precursor to form an adsorption limiting layer (given a sufficient amount of time duration and a sufficient amount of precursor). And is adsorbed on the substrate surface (or on a material attached to the substrate). The duration of operation (i) is selected to allow the precursor to adsorb on the substrate surface in an amount sufficient to support one cycle of ALD film growth. In some embodiments, the precursor saturates the substrate surface forming the adsorption limiting layer described above. In other embodiments, a layer is formed by only partially saturating the substrate surface with the precursor (eg, due to insufficient time or total amount of saturation for the precursor). In certain embodiments, a dopant precursor is provided to the reaction chamber by flowing a dopant precursor gas with a carrier gas. An inert gas such as argon may serve this purpose. Following adsorption of the dopant precursor, in operation (ii), the remaining non-adsorbed dopant precursor still present in the reaction chamber, for example, pumps the reaction chamber down to the base pressure (referred to as "pump to base") and And/or optionally, purging the reaction chamber with an inert gas (referred to as "inert purge") to flush out any remaining non-adsorbed dopant precursors. Thereafter, the reaction of the adsorbed dopant precursor occurs in operation (iii), where the reaction proceeds through plasma activation, using inert plasma activation and/or oxidative plasma activation to form a layer of the dopant source containing conformal film. . Finally, in operation (iv), a pump and/or inert purge to another base is performed to remove any reaction byproducts. Operations (i)-(iv) may be referred to collectively as referred to above, referred to as ALD cycles and provide a single cycle of dopant ALD film deposition. 1 also schematically illustrates that this ALD cycle may be repeated one or more times to form multiple dopant source-containing conformal film layers (see operation (v)). Thus, in some embodiments, operations (i) to (iv) are consistently repeated at least once, or at least twice, or at least 3 times, or at least 5 times, or at least 7 times, or at least 10 times in succession. do. Dopant ALD membranes may be between 0.1 Å and 2.5 당 per ALD cycle, or between 0.2 Å and 2.0 당 per ALD cycle, or between 0.3 Å and 1.8 당 per ALD cycle, or 0.5 당 per ALD cycle. Between 1.5 Å or less, or between 0.1 Å and 1.5 당 per ALD cycle, or between 0.2 Å and 1.0 당 per ALD cycle, or between, or between 0.3 Å and 1.0 당 per ALD cycle, or It may be deposited at a rate of between 0.5 µs and 1.0 µs per ALD cycle or at a rate of that degree.

여러 도펀트 전구체들이 도펀트 소스 함유 컨포멀 막 층들을 형성하는 이전의 동작들의 시퀀스와 함께 이용될 수도 있다. 몇몇 실시형태들에서, 적절한 도펀트 소스는 원소 비소 또는 비소 도핑 실리케이트 유리 (ASG), 또는 As2O3 및/또는 As2O5 와 같은 비소 화합물일 수도 있다. 다른 실시형태들에서, 적절한 도펀트 소스는 원소 보론 또는 B2O3 와 같은 보론 화합물일 수도 있고, 적절한 도펀트 전구체는 알킬 보레이트와 같은 보론 화합물일 수도 있다. 예를 들어, 트리메틸 보레이트 (TMB)(아래 도시됨) 는 특히 도펀트 소스 B2O3 를 형성하기 위한 도펀트 전구체로서 잘 작용하는 알킬 보레이트이지만, 다른 도펀트 전구체들도 또한 여러 보론계 도펀트 소스들을 형성하는데 적합할 수도 있다. 또한, 아래 설명된 바와 같이, 예를 들어, 갈륨 또는 인에 기초한 도펀트 소스들과 같은, 보론 및 비소 이외의 다른 원소에 기초한 도펀트 소스가 또한 적합할 수도 있다.Several dopant precursors may be used with the sequence of previous operations to form the dopant source containing conformal film layers. In some embodiments, a suitable dopant source may be elemental arsenic or arsenic doped silicate glass (ASG), or arsenic compounds such as As 2 O 3 and/or As 2 O 5 . In other embodiments, a suitable dopant source may be elemental boron or a boron compound such as B 2 O 3, and a suitable dopant precursor may be a boron compound such as an alkyl borate. For example, trimethyl borate (TMB) (shown below) is an alkyl borate that acts particularly well as a dopant precursor to form dopant source B 2 O 3 , but other dopant precursors also form several boron-based dopant sources. It may be suitable. Further, as described below, dopant sources based on elements other than boron and arsenic may also be suitable, such as, for example, dopant sources based on gallium or phosphorus.

Figure 112013044387587-pat00001
Figure 112013044387587-pat00001

위에 나타낸 바와 같이, 동작 (iii) 에서의 플라즈마 활성화는, 산화성 플라즈마 또는 비활성 플라즈마 중 어느 하나에 대한 흡착된 도펀트 전구체의 노출을 수반할 수도 있다. 산화성 플라즈마는 O2, N2O, 또는 CO2와 같은 하나 이상의 산화제들로부터 형성될 수도 있고 선택적으로 Ar, N2, 또는 He 와 같은 하나 이상의 희석제를 포함할 수도 있다. 일 실시형태에서, 산화성 플라즈마는 O2 및 Ar 로부터 형성된다. 적합한 비활성 플라즈마는 He 또는 Ar 과 같은 하나 이상의 비활성 가스들로부터 형성될 수도 있다. 흡착된 도펀트 전구체에서 충분한 반응성을 형성하는 플라즈마를 생성하기 위하여 비활성 플라즈마 활성화는 RF 방사의 보다 긴 지속 기간 - 몇몇 실시형태에서는 10 초 보다 긴 지속 시간 - 을 필요로 할 수도 있음을 유의한다. 특정 이론으로 제한됨이 없이, 더 긴 퍼지 지속 기간은 He (또는 다른 비활성) 준안정 종들과 연관된 더 긴 수명에 기인할 수도 있다. As indicated above, plasma activation in operation (iii) may involve exposure of adsorbed dopant precursors to either an oxidative plasma or an inert plasma. The oxidative plasma may be formed from one or more oxidizing agents such as O 2 , N 2 O, or CO 2, and may optionally include one or more diluents such as Ar, N 2 , or He. In one embodiment, the oxidative plasma is formed from O 2 and Ar. A suitable inert plasma may be formed from one or more inert gases such as He or Ar. Note that inert plasma activation may require a longer duration of RF radiation-longer than 10 seconds in some embodiments-to generate a plasma that forms sufficient reactivity in the adsorbed dopant precursor. Without being limited to a particular theory, longer purge durations may be attributed to longer life spans associated with He (or other inactive) metastable species.

또한, 몇몇 실시형태들에서, 베이스로의 펌프 단계는 하나 이상의 진공 펌프들에 반응 챔버를 직접 노출시킴으로써 반응 챔버를 베이스 압력으로 펌핑하는 것을 지칭한다. 몇몇 실시형태들에서, 베이스 압력은 통상적으로 단지 수 milliTorr (예를 들어, 약 1 내지 20 mTorr 사이) 일 수도 있다. 또한, 상술한 바와 같이, 베이스로의 펌프 단계는 비활성 퍼지에 의해 수행될 수도 있거나 또는 그렇지 않을 수도 있고, 따라서 하나 이상의 밸브들이 진공 펌프에 대한 전도 경로를 개방할 때 캐리어 가스가 유동할 수도 있거나 또는 그렇지 않을 수도 있다.Further, in some embodiments, pumping to the base refers to pumping the reaction chamber to base pressure by directly exposing the reaction chamber to one or more vacuum pumps. In some embodiments, the base pressure may typically be only a few milliTorr (eg, between about 1-20 mTorr). Further, as described above, the pumping step to the base may or may not be performed by an inert purge, so the carrier gas may flow when one or more valves open the conduction path to the vacuum pump, or It may not.

도펀트 소스 함유 컨포멀 막 층을 형성하는 원자층 성막은 여러 온도에서 수행될 수도 있다. 몇몇 실시형태들에서, 반응 챔버 내의 적합한 온도는 25 ℃ 와 450 ℃ 사이 또는 그 정도, 또는 50 ℃ 와 300 ℃ 사이 또는 그 정도, 또는 20 ℃ 와 400 ℃ 사이 또는 그 정도, 또는 200 ℃ 와 400 ℃ 사이 또는 그 정도, 또는 100 ℃ 와 350 ℃ 사이 또는 그 정도의 범위일 수도 있다. 그러나, 예를 들어, B2H6 를 수반하는 열 CVD 프로세스와 달리, 단지 TMB (또는 다른 도펀트 전구체) 만의 도입은 B2O3의 층을 형성하지 못하고 - 대신에 도펀트 전구체의 표면 흡착 다음에 (설명된 바와 같이) 플라즈마 활성화 단계가 뒤따른다는 것에 유의한다. 도펀트 소스 함유 컨포멀 막 층들을 형성하는 원자층 성막은 여러 챔버 압력에서 수행될 수도 있다. 몇몇 실시형태들에서, 반응 챔버 내의 적합한 압력은 10 mTorr 와 10 Torr 사이 또는 그 정도, 또는 20 mTorr 와 8 Torr 사이 또는 그 정도, 또는 50 mTorr 와 5 Torr 사이 또는 그 정도, 또는 100 mTorr 와 2 Torr 사이 또는 그 정도 범위일 수도 있다. 여러 RF 전력 레벨들이 동작 (iii) 에서 이용된 플라즈마를 생성하도록 채용될 수도 있다. 몇몇 실시형태들에서, 적합한 RF 전력은 100 W 와 10 kW 사이 또는 그 정도, 또는 200 W 와 6 kW 사이 또는 그 정도, 또는 500 W 와 3 kW 사이 또는 그 정도, 또는 1 kW 와 2 kW 사이 또는 그 정도 범위일 수도 있다. 여러 도펀트 전구체 유량이 동작 (i) 에서 채용될 수도 있다. 몇몇 실시형태들에서, 적합한 유량은 0.1 mL/min 와 10 mL/min 사이 또는 그 정도, 또는 0.5 mL/min 와 5 mL/min 사이 또는 그 정도, 또는 1 mL/min 와 3 mL/min 사이 또는 그 정도 범위일 수도 있다. 여러 가스 유량이 여러 동작에서 이용될 수도 있다. 몇몇 실시형태들에서, 일반 가스 유량은 1 L/min 와 20 L/min 사이 또는 그 정도, 또는 2 L/min 와 10 L/min 사이 또는 그 정도 범위일 수도 있다. 동작 (ii) 및 동작 (iv) 에서의 선택적인 비활성 퍼지 단계들에서, 채용된 버스트 (burst) 유량은 20 L/min 와 100 L/min 사이 또는 그 정도, 또는 40 L/min 와 60 L/min 사이 또는 그 정도 범위일 수도 있다.Atomic layer deposition to form a conformal film layer containing a dopant source may be performed at various temperatures. In some embodiments, a suitable temperature in the reaction chamber is between 25 °C and 450 °C or higher, or between 50 °C and 300 °C or higher, or between 20 °C and 400 °C or higher, or between 200 °C and 400 °C It may be in the range of or between, or between 100 °C and 350 °C or so. However, unlike thermal CVD processes involving, for example, B 2 H 6 , the introduction of only TMB (or other dopant precursors) does not form a layer of B 2 O 3 -instead following the surface adsorption of the dopant precursors Note that the plasma activation step (as described) follows. Atomic layer deposition to form conformal film layers containing a dopant source may be performed at various chamber pressures. In some embodiments, a suitable pressure in the reaction chamber is between 10 mTorr and 10 Torr or so, or between 20 mTorr and 8 Torr or so, or between 50 mTorr and 5 Torr or so, or 100 mTorr and 2 Torr It may be in the range of or between. Several RF power levels may be employed to generate the plasma used in operation (iii). In some embodiments, suitable RF power is between 100 W and 10 kW or so, or between 200 W and 6 kW or so, or between 500 W and 3 kW or so, or between 1 kW and 2 kW, or It may be in that range. Several dopant precursor flow rates may be employed in operation (i). In some embodiments, a suitable flow rate is between 0.1 mL/min and 10 mL/min or so, or between 0.5 mL/min and 5 mL/min or so, or between 1 mL/min and 3 mL/min, or It may be in that range. Different gas flow rates may be used in different operations. In some embodiments, the general gas flow rate may range between 1 L/min and 20 L/min or so, or between 2 L/min and 10 L/min or so. In the optional inert purge steps in operation (ii) and operation (iv), the burst flow rate employed is between 20 L/min and 100 L/min or so, or 40 L/min and 60 L/ It may be in the range of min or so.

O2/Ar 플라즈마 활성화와 함께 TMB를 도펀트 전구체로서 이용하는 경우, 안정성 관점 (또는 가능성있는 다른 관점) 에 기인하여, 반응 챔버 내에 공동 유동된 O2 및 TMB 를 갖는 것은 적절하지 않을 수도 있다. 이들 실시형태들에서, 베이스로의 펌프 및/또는 비활성 퍼지 단계가 도펀트 전구체를 도입 및 흡착하는 단계에 후속하며, 이에 따라, 반응 챔버속으로의 O2 의 유동을 시작하기 전에 비흡착된 도펀트 전구체를 제거할 수도 있다. O2 가 (아마도 이전 ALD 사이클로부터) 이미 유동하는 중이라면, 반응 챔버로의 TMB 의 도입 전에 유동이 정지될 수도 있다. 몇몇 실시형태들에서, 포스트 도우즈 퍼지 (post-dose purge) 후에 챔버로의 O2 의 유동을 설정하기 위해 분리된 라인 차지 (charge) 단계를 이용하는 것이 적절할 수도 있다. O2 를 도입하기 전에 비흡착된 TMB 를 제거하는 베이스로의 펌프 (및 선택적 비활성 퍼지) 단계는 기상 CVD 반응을 방지하는 것으로 알려졌다. 베이스로의 펌프 (및 선택적 비활성 퍼지) 단계 없이 모든 다른 프로세스 파라미터들이 동일하게 유지되며, 100회 ALD 사이클들로부터 형성된 100X 컨포멀 막 (100 개의 층) 의 균일성은 0.3% 내지 4% 의 열화된다는 것을 알아냈다.When using TMB as a dopant precursor with O 2 /Ar plasma activation, it may not be appropriate to have co-flowed O 2 and TMB in the reaction chamber due to the stability aspect (or other possible aspect). In these embodiments, the pump and/or inert purge step to the base follows the step of introducing and adsorbing the dopant precursor, and thus, the non-adsorbed dopant precursor before starting the flow of O 2 into the reaction chamber. You can also remove If O 2 is already flowing (perhaps from the previous ALD cycle), the flow may be stopped prior to the introduction of TMB into the reaction chamber. In some embodiments, it may be appropriate to use a separate line charge step to establish the flow of O 2 into the chamber after post-dose purge. The pump (and selective inert purge) step to the base to remove non-adsorbed TMB prior to the introduction of O 2 has been found to prevent gas phase CVD reactions. All other process parameters remain the same without a pump (and optional inert purge) step to the base, and the uniformity of the 100X conformal film (100 layers) formed from 100 ALD cycles degrades from 0.3% to 4%. I figured it out.

앞서 설명된 반응 시퀀스들 (및 다른 유사한 관련 반응 시퀀스들) 중 흡착 단계는, 도펀트 전체가 (충분한 시간의 지속 기간 및 충분한 양의 전구체가 주어지면) 흡착 제한 층을 형성할 수도 있는 - 즉, 단일 ALD 사이클에서의 컨포멀 막 성장은 기판 표면 상에 한번에 흡착될 수도 있는 도펀트 전구체의 양으로 제한되는 조건들 하에서 발생한다. 이들 반응의 자기 제한 성질은 막 내에 함유된 도펀트 소스의 밀도에 대해 탁월한 정도의 컨포멀리티 및 균일성을 나타내는 성막된 막을 초래한다.The adsorption step of the reaction sequences described above (and other similar related reaction sequences) may result in the entire dopant forming a adsorption limiting layer (given a sufficient duration of time and a sufficient amount of precursor)-i.e., a single Conformal film growth in the ALD cycle occurs under conditions limited to the amount of dopant precursor that may be adsorbed on the substrate surface at one time. The self-limiting nature of these reactions results in a deposited film that exhibits an excellent degree of conformity and uniformity to the density of the dopant source contained in the film.

위에 설명된 바와 같이, 트리메틸 보레이트는 하나의 적합한 도펀트 전구체이지만, 실시형태에 따라, 다른 화합물들이 또한 적절한 도펀트 전구체의 역할을 할 수도 있다. 예를 들어, 다른 적절한 보론계 도펀트 전구체들은, 다른 알킬 보레이트 이를테면 트리에틸 보레이트, 트리이소프로필 보레이트 및 트리-n-부틸 보레이트, 그리고 트리메틸보론, 트리에틸보론, 트리페닐보론, 트리-i-프로필 보레이트, 트리-n-아밀 보레이트, B-트리브로모보라진, 트리스(펜타플루오로페닐)보레인 및 다른 유사한 보론 함유 화합물을 포함할 수도 있다. 추가로, 보론 이외의 다른 원소에 기초한 도펀트 소스도 또한 적합할 수도 있다. 예들은 갈륨, 인, 비소, 또는 다른 원자가 III 및 V 원소들과 같이 반도체 기판을 도핑하기에 적절한 다른 원소들에 기초한 도펀트 소스들을 포함한다. ALD 프로세스를 통하여 성막된 컨포멀 막을 포함할 수도 있는 비소에 기초한 특정 도펀트 소스들은 아르세노-실리케이트 또는 비소-도핑 실리케이트 유리 (ASG), 비소 산화물 (예를 들어, As2O3, As2O5) 및 비소 옥시하이드라이드를 포함할 수도 있지만 이들에 제한되지 않는다. 비소에 기초한 도펀트 전구체들은 알킬아르신, 알콕시아르신, 및 아미노아르신 화학족을 포함할 수도 있지만 이들에 제한되는 것은 아니며, 다음 특정 화합물들: 아르신, 트리에틸아르세네이트, 트리메틸아르신, 트리에틸아르신, 트리페닐아르신, 트리페닐아르신 산화물, 에틸렌비스(디페닐아르신), 트리스(디메틸아미노)아르신, 및 As(OR)3 [여기서 R 은 -CH3 또는 -C2H5 또는 다른 알킬기들 (포화 또는 불포화 알킬기들을 포함함)] 및 다른 유사한 비소 함유 화합물들을 포함할 수도 있지만 이들에 제한되는 것은 아니다. ALD 프로세스를 통하여 성막된 컨포멀 막을 포함할 수도 있는 인에 기초한 특정 도펀트 소스들은 인 도핑 실리케이트 유리 (PSG) 를 포함할 수도 있지만 이에 제한되지 않는다. 인에 기초한 도펀트 전구체는 트리에톡시포스핀 산화물, 트리메틸포스페이트, 트리메틸포스파이트, 및 다른 유사한 인 함유 화합물을 포함할 수도 있지만 이들에 제한되지 않는다. 도펀트 전구체의 선택은 통상적으로 기존 전달 시스템들로의 통합의 용이성, 순도 요건, 및 전체 비용에 의해 지배된다.As described above, trimethyl borate is one suitable dopant precursor, but depending on the embodiment, other compounds may also serve as suitable dopant precursors. For example, other suitable boron-based dopant precursors include other alkyl borates such as triethyl borate, triisopropyl borate and tri-n-butyl borate, and trimethylboron, triethylboron, triphenylboron, tri-i-propyl borate. , Tri-n-amyl borate, B-tribromoborazine, tris(pentafluorophenyl)borane, and other similar boron-containing compounds. Additionally, dopant sources based on elements other than boron may also be suitable. Examples include dopant sources based on other elements suitable for doping a semiconductor substrate, such as gallium, phosphorus, arsenic, or other valence III and V elements. Arsenic-silicate or arsenic-doped silicate glass (ASG), arsenic oxides (e.g., As 2 O 3 , As 2 O 5 ) are specific dopant sources based on arsenic, which may include conformal films deposited through the ALD process. ) And arsenic oxyhydride. Arsenic-based dopant precursors may include, but are not limited to, alkylarsine, alkoxyarsine, and aminoarsine chemical groups, and include the following specific compounds: arsine, triethylarsenate, trimethylarsine, Triethylarsine, triphenylarsine, triphenylarsine oxide, ethylenebis(diphenylarsine), tris(dimethylamino)arsine, and As(OR) 3 [where R is -CH 3 or -C 2 H 5 or other alkyl groups (including saturated or unsaturated alkyl groups)] and other similar arsenic-containing compounds. Certain dopant sources based on phosphorus, which may include conformal films deposited through the ALD process, may include, but are not limited to, phosphorus doped silicate glass (PSG). Dopant precursors based on phosphorus may include, but are not limited to, triethoxyphosphine oxide, trimethylphosphate, trimethylphosphite, and other similar phosphorus containing compounds. The choice of dopant precursor is typically governed by ease of integration into existing delivery systems, purity requirements, and overall cost.

그러나, 많은 경우에, 하부 기판을 도핑하기 위하여 컨포멀 막 층들을 형성하는 목적을 위해서는 비소가 인에 비해 뚜렷한 이점들을 갖는 것으로 보인다. 예를 들어, 비소는 인에 비해 실리콘 격자에서 훨씬 더 짧은 확산 길이를 가지며, 따라서 20nm 보다 작은 울트라쉘로우 접합들을 도핑하는 가능성을 갖는다. 두번째로, 실리콘 내 비소의 고용도 (solid solubility) 가 인의 고용도보다 더 높은데 이는 더 높은 농도의 비소 도펀트가 훨씬 더 좁은 접합에서 실현될 수 있음을 나타낸다. 이들 특성 양쪽 모두는 스케일링된 디바이스들에서의 짧은 채널 효과들을 완화시키는 상황에서 유용하다. 그러나, 비소 스핀-온-유리 (As-SOG)(Journal of the Korean Physical Society, Vol. 51 (2007년 12월), pp. S265-S269 참조) 는 ASG 에 대한 연구자에 의해 추구된 극소수의 방법들 중 하나로 보이며, 이 기술에 의한 완벽한 컨포멀리티 및 두께 제어를 달성하는 것은 지금까지 도전이었고 앞으로도 도전일 것이다. 따라서, 여기에 개시된 여러 ALD 기반 방법을 이용하여 비소 산화물 또는 옥시하이드라이드와 같은 비소계 도펀트 소스들의 컨포멀 막 층들을 형성하는 것은 특정 유형들의 디바이스 구조들을 도핑하는데 유용하고 바람직한 기술이다.However, in many cases, arsenic appears to have distinct advantages over phosphorus for the purpose of forming conformal film layers to dope the underlying substrate. For example, arsenic has a much shorter diffusion length in silicon lattice than phosphorus, and thus has the potential to dope ultrashell junctions smaller than 20 nm. Second, the solid solubility of arsenic in silicon is higher than that of phosphorus, indicating that higher concentrations of arsenic dopants can be realized at much narrower junctions. Both of these properties are useful in situations that mitigate short channel effects in scaled devices. However, arsenic spin-on-glass (As-SOG) (see Journal of the Korean Physical Society, Vol. 51 (December 2007), pp. S265-S269) is a very few method pursued by researchers on ASG. It seems to be one of them, and achieving perfect conformity and thickness control by this technology has been and will continue to be a challenge. Thus, forming conformal film layers of arsenic based dopant sources, such as arsenic oxide or oxyhydride, using various ALD based methods disclosed herein is a useful and desirable technique for doping certain types of device structures.

CFD 프로세스를 통한 유전체 컨포멀 막 층 (예를 들어, SiO/SiODielectric conformal film layer via CFD process (e.g. SiO/SiO 2 2 층) 의 형성Layer)

컨포멀 막들을 성막하기 위한 (그리고, 하부 반도체 기판을 도핑하기 위해 컨포멀 막들을 이용하기 위한) 여기에 개시된 몇몇 방법들은 도펀트 소스를 함유하지 않지만 그 대신에 다른 성분들로 구성된 컨포멀 막 층들로 개재되어 있는 다중 도펀트 소스 함유 컨포멀 막 층들을 성막하는 것을 수반한다. 예를 들어, SiO/SiO2 를 함유하는 유전체 컨포멀 막 층은 아래 자세히 설명될 바와 같이 컨포멀 막의 "스택"의 부분으로서 도펀트 소스 함유 컨포멀 막 층들에 성막 유전체 층이 개재되어 있는 방식으로, (도 2 에 개략적으로 나타낸 바와 같이) 컨포멀 막 성막 (CFD) 프로세스를 통하여 성막될 수도 있다. 몇몇 실시형태들에서, ALD 도펀트 층은 하부 반도체 기판의 계면 또는 표면에 성막되며, 후속하여 CFD 유전체 층들이 매 X 회의 ALD 사이클들 마다 도펀트 ALD 층들로 개재되고, CFD 실리콘 산화물 막일 수도 있는 미도핑 보호 "캡핑" 층으로 선택적으로 토핑 (topping) 된다. 이러한 "스택" 구성은 B2O3 가 도펀트 소스로서 역할을 하며 산화물 층들이 개재된 유전체 층들의 역할을 하는 것으로서 도 3 에 개략적으로 나타내어진다.Some methods disclosed herein for depositing conformal films (and using conformal films for doping the underlying semiconductor substrate) do not contain a dopant source but instead consist of conformal film layers composed of other components. This involves depositing intervening multiple dopant source containing conformal film layers. For example, a dielectric conformal film layer containing SiO/SiO 2 is interposed in the form of a film dielectric layer in dopant source-containing conformal film layers as part of a “stack” of the conformal film, as will be described in detail below. It may also be deposited through a conformal film deposition (CFD) process (as schematically shown in FIG. 2). In some embodiments, an ALD dopant layer is deposited at the interface or surface of the underlying semiconductor substrate, followed by CFD dielectric layers with dopant ALD layers every X ALD cycles, undoped protection that may be a CFD silicon oxide film It is selectively topping with a "capping" layer. This “stack” configuration is schematically illustrated in FIG. 3 as B 2 O 3 serves as a dopant source and oxide layers intervening as dielectric layers.

따라서, 유전체 CFD "사이클" 의 컨셉은 다음에 오는 설명의 여러 부분들에서, 및 특히 다중 도펀트 ALD "사이클" 을 통한 다중 컨포멀 막 층들의 성막에 관한 논의 부분들과 관련하여 중요하다. 위에 논의된 도펀트 ALD 사이클에서와 같이, 적어도 부분적인 컨포멀 막 유전체 층의 성막을 초래하는 동작들의 베이스라인 세트는 단일 유전체 CFD "사이클" 이라 지칭될 수도 있다. 통상적으로, 유전체 CFD 사이클은 기판 표면에 대하여 각각의 반응물을 전달 및 흡착하며 그 후 이들 흡착된 반응물들을 반응시켜 유전체 막의 부분 층을 형성하는데 필요한 단계들만을 포함할 것이다. 물론, 위에 논의된 도펀트 ALD 사이클에서와 같이, 사이클은 반응 챔버 외부로 반응물들 또는 부산물들 중 하나를 스윕하거나 및/또는 성막된 컨포멀 막 층 (또는 부분 막 층) 에 대한 처리를 가하는 것과 같은 특정 부수 단계들을 포함할 수도 있다.Thus, the concept of the dielectric CFD "cycle" is important in various parts of the description that follows, and in particular in relation to the discussions regarding the deposition of multiple conformal film layers through multiple dopant ALD "cycles". As in the dopant ALD cycle discussed above, the baseline set of operations that result in the deposition of at least a partial conformal film dielectric layer may be referred to as a single dielectric CFD “cycle”. Typically, the dielectric CFD cycle will include only the steps necessary to deliver and adsorb each reactant to the substrate surface and then react these adsorbed reactants to form a partial layer of dielectric film. Of course, as in the dopant ALD cycle discussed above, the cycle is such as sweeping one of the reactants or by-products out of the reaction chamber and/or subjecting the deposited conformal membrane layer (or partial membrane layer) to treatment. It may also include certain ancillary steps.

도 2 는 CFD 프로세스를 통하여 하나 이상의 유전체 컨포멀 막 층들을 형성하는데 이용될 수도 있고 수평 축을 따라 시간이 지나면서 왼쪽에서 오른쪽으로 동작들의 일반 시퀀스를 나타내는 개략도를 표시한다. 이 개략도는 단계들의 베이스라인 시퀀스를 간단히 나타내고 있으며, 실시형태들 및 유전체 막의 성질에 따라, 다른 부수 동작들이 여기에 설명된 실시형태들에 추가, 치환, 및/또는 개재될 수도 있음을 유의한다. 시퀀스에서 초기에, 동작 A 동안, CFD 막들이 성막되어질 반도체 기판을 포함하는 반응 챔버 내에 기상 산화제가 도입된다. 적절한 산화제들의 예들은 원소 산소 (예를 들어, O2 또는 O3), 아산화질소 (N2O), 물, 이소프로판올과 같은 알킬 알코올, 일산화 탄소, 및 이산화 탄소를 포함한다. 산화제는 종종 아르곤 또는 질소와 같은 비활성 가스와 함께 제공된다.2 shows a schematic diagram showing a general sequence of operations from left to right over time along the horizontal axis, which may be used to form one or more dielectric conformal film layers through a CFD process. Note that this schematic diagram simply shows a baseline sequence of steps, and depending on the nature of the embodiments and the dielectric film, other ancillary operations may be added, substituted, and/or intervened in the embodiments described herein. Initially in sequence, during operation A, a vapor phase oxidant is introduced into a reaction chamber containing a semiconductor substrate on which CFD films are to be deposited. Examples of suitable oxidizing agents include elemental oxygen (eg, O 2 or O 3 ), nitrous oxide (N 2 O), water, alkyl alcohols such as isopropanol, carbon monoxide, and carbon dioxide. Oxidizing agents are often provided with inert gases such as argon or nitrogen.

다음, 동작 B 에서, 유전체 전구체가 반응 챔버 내에 도입된다. 막 성장의 1 사이클을 지원하기에 충분한 양으로 기판 표면 상에 전구체가 흡착하는 것을 허용하도록 동작 B 의 지속 기간을 선택한다. 몇몇 실시형태들에서, 전구체는 기판 표면을 포화시킨다. 유전체 전구체는 원하는 조성물의 유전체를 생성하는 능력에서 선택된다. 유전체 조성물의 예들은 실리콘 산화물 (실리케이트 유리 포함), 실리콘 질화물, 실리콘 산질화물 및 실리콘 산탄화물을 포함한다. 적절한 유전체 전구체들의 예들은 여러 알킬아미노 실란 (SiHx(NR2)4-x) 을 포함하며 x = 1 내지 3 이고, R 은 여러 이성질체 구성으로 메틸, 에틸, 프로필, 및 부틸과 같은 알킬기들을 포함한다. 적합한 유전체 전구체들의 예들은 또한 여러 할로실란들 (SiHxY4-x) 을 포함하며, 여기서 x = 1 내지 3 이며 Y 는 Cl, Br, 및 I 를 포함한다. 적절한 유전체 전구체들의 보다 구체적인 예들은 여러 비스-알킬아미노 실란들 및 입체 장애 알킬 실란들을 포함한다. 몇몇 실시형태들에서, 비스(테르트-부틸아미노)실란 (BTBAS) 이 실란 산화물을 생성하기 위한 적절한 유전체 전구체이다.Next, in operation B, a dielectric precursor is introduced into the reaction chamber. The duration of operation B is selected to allow the precursor to adsorb on the substrate surface in an amount sufficient to support one cycle of film growth. In some embodiments, the precursor saturates the substrate surface. The dielectric precursor is selected from its ability to produce a dielectric of the desired composition. Examples of dielectric compositions include silicon oxide (including silicate glass), silicon nitride, silicon oxynitride and silicon oxycarbide. Examples of suitable dielectric precursors include several alkylamino silanes (SiH x (NR 2 ) 4-x ) and x = 1 to 3, and R contains alkyl groups such as methyl, ethyl, propyl, and butyl in several isomer configurations. do. Examples of suitable dielectric precursors also include several halosilanes (SiH x Y 4-x ), where x = 1 to 3 and Y includes Cl, Br, and I. More specific examples of suitable dielectric precursors include several bis-alkylamino silanes and steric hindered alkyl silanes. In some embodiments, bis(tert-butylamino)silane (BTBAS) is a suitable dielectric precursor for producing silane oxide.

동작 A 동안에 챔버 내에 도입되었던 산화제는 동작 B 동안에 계속 유동할 수도 있다. 특정 실시형태들에서, 산화제는 동작 A 동안에서와 동일한 농도와 동일한 레이트로 계속 유동한다. 동작 B 의 완료시, 챔버 내로의 유전체 전구체의 유동이 종결되고 동작 C 가 도시된 바와 같이 시작한다. 동작 C 동안에, 산화제 (및 존재하는 경우 수반하는 비활성 가스) 는 동작 A 및 B 동안에서와 같이 계속 유동하여, 반응 챔버로부터 잠재적으로 남아있는 비흡착된 유전체 전구체를 퍼지할 수도 있다. 다른 실시형태에서, 산화제의 흐름은 셧오프될 수도 있고 퍼지가 단지 비활성 가스로 수행될 수도 있다. 몇몇 실시형태들에서 (도 2 에는 도시되지 않았지만) 반응 챔버로부터 비흡착된 유전체 전구체의 제거는 상술한 동작과 유사한 베이스로의 펌프 동작을 통하여 달성될 수도 있다.The oxidant that was introduced into the chamber during operation A may continue to flow during operation B. In certain embodiments, the oxidant continues to flow at the same concentration and same rate as during operation A. Upon completion of operation B, the flow of the dielectric precursor into the chamber is terminated and operation C begins as shown. During operation C, the oxidizing agent (and inert gas, if present) may continue to flow as during operations A and B, purging potentially remaining non-adsorbed dielectric precursors from the reaction chamber. In other embodiments, the flow of oxidant may be shut off and purge may be performed with only an inert gas. In some embodiments (not shown in FIG. 2 ), removal of the non-adsorbed dielectric precursor from the reaction chamber may be accomplished through a pump operation to a base similar to the operation described above.

동작 C 동안에 비흡착된 유전체 전구체를 제거한 후, 동작 D 에서, 전구체가 기판 표면 상에 반응하여 유전체 막의 일부를 형성한다. 여러 실시형태들에서, 동작 D 는 그 반응을 드라이빙하기 위하여 흡착된 유전체 전구체에 플라즈마를 인가하는 것을 수반할 수도 있다. 몇몇 실시형태들에서, 반응은 산화 반응이다. 반응 챔버 속으로 유동하는 산화제의 일부는 유전체 전구체와 함께 기판 표면 상에 흡착될 수도 있고, 따라서 플라즈마 매개 표면 반응에 대해 즉시 이용가능한 산화제를 제공한다. 몇몇 실시형태들에서, 반응 챔버로의 산화제의 흐름은 도 2 에 (선택적으로) 나타낸 바와 같이 동작 D 의 지속 기간을 통하여 계속될 수도 있고, 흡착된 유전체 전구체의 산화를 드라이빙하기 위하여 이 흐르는 산화제를 이용하여 산화성 플라즈마가 형성될 수도 있다.After removing the non-adsorbed dielectric precursor during operation C, in operation D, the precursor reacts on the substrate surface to form part of the dielectric film. In various embodiments, operation D may involve applying plasma to the adsorbed dielectric precursor to drive the reaction. In some embodiments, the reaction is an oxidation reaction. Some of the oxidizing agent flowing into the reaction chamber may be adsorbed on the substrate surface along with the dielectric precursor, thus providing an oxidant readily available for plasma mediated surface reaction. In some embodiments, the flow of oxidant to the reaction chamber may continue through the duration of operation D as (optionally) shown in FIG. 2, and this flowing oxidant is used to drive oxidation of the adsorbed dielectric precursor. An oxidative plasma may be formed.

동작 A 내지 D 는 총괄적으로 유전체 CFD 막 성막의 단일 사이클을 제공한다. 그러나, 여기에 설명된 기본 사이클 대신에 다른 CFD 프로세스들이 이용될 수도 있음을 이해하여야 한다. 설명된 실시형태에서, (동작 A 내지 D 로 구성되는) CFD 사이클은 어떠한 도펀트 종 또는 도펀트 전구체의 도입 없이도 수행된다 (물론 원칙적으로 도펀트가 도입될 수 있다). 여러 실시형태들에서, 동작 A 내지 D 로 표현되는 유전체 CFD 사이클은 임의의 도펀트 종 또는 도펀트 전구체의 도입 전에 연속하여 1회 이상 반복된다. 이는 도 2 의 동작 E 에 의해 개략적으로 나타난다. 따라서, 몇몇 실시형태들에서, 동작 A 내지 D 는 상술한 바와 같이 도펀트 ALD 사이클을 개시하기 전에 적어도 한번 또는 적어도 2번 또는 적어도 3번, 또는 적어도 5번, 또는 적어도 7번 또는 적어도 10번 반복된다. CFD 유전체 막은 CFD 사이클 당 0.1 Å 와 1.5 Å 사이 또는 그 정도, 또는 CFD 사이클 당 약 0.2 Å 와 1.0 Å 사이 또는 그 정도, 또는 CFD 사이클 당 0.3 Å 와 1.0 Å 사이 또는 그 정도, 또는 CFD 사이클 당 0.5 Å 와 1.0 Å 사이 또는 그 정도의 레이트로 성막될 수도 있다. 하나 이상의 CFD 사이클 각각에 걸쳐, 산화제가 도 2 에 개략적으로 도시된 바와 같이 반응 챔버 내에 계속 유동할 수도 있다.Operations A through D collectively provide a single cycle of dielectric CFD film deposition. However, it should be understood that other CFD processes may be used instead of the basic cycle described herein. In the described embodiment, the CFD cycle (consisting of operations A to D) is performed without introduction of any dopant species or dopant precursors (of course dopants can be introduced). In various embodiments, the dielectric CFD cycles represented by acts A-D are repeated one or more times consecutively prior to the introduction of any dopant species or dopant precursor. This is schematically indicated by operation E in FIG. 2. Thus, in some embodiments, operations A-D are repeated at least once or at least 2 or at least 3, or at least 5, or at least 7 or at least 10 before initiating the dopant ALD cycle as described above. . The CFD dielectric film may be between 0.1 Å and 1.5 당 per CFD cycle, or about 0.2 Å and 1.0 CF per CFD cycle, or between 0.3 Å and 1.0 당 per CFD cycle, or 0.5 per CFD cycle. It may be formed at a rate between Å and 1.0 Å or at a rate of about that. Over each of the one or more CFD cycles, the oxidant may continue to flow into the reaction chamber as schematically illustrated in FIG. 2.

특정 실시형태들에서, 플라즈마 활성화는 동작 D 에서 흡착된 유전체 전구체의 반응을 일으키는데 적절한 임의의 주파수의 RF 전력을 수반한다. 몇몇 실시형태들에서, RF 전력은 서로 독립적으로 동작될 수도 있는 RF 전력 소스에 의해 생성되는, 독립적으로 제어된 고주파 RF 전력 및 저주파 RF 전력을 포함할 수도 있다. 적절한 저주파 RF 전력들은 약 200 kHz 와 1000 kHz 사이의 주파수 범위를 포함할 수도 있지만 이에 제한되지 않는다. 적절한 고주파 RF 전력들은 예를 들어 13.56 MHz 와 같은 약 10 MHz 와 80 MHz 사이의 주파수들을 포함할 수도 있지만, 이에 제한되지 않는다. 이와 같이, RF 전력 공급기들 및 매칭 네트워크들은 반응 챔버 내에서 플라즈마를 형성하기 위하여 임의의 적합한 전력 범위에서 동작될 수도 있다. 적합한 플라즈마 생성 RF 전력의 예들은 (웨이퍼 마다에 기초하여) 고주파 플라즈마에 대해 약 100 W 와 3000 W 사이의 RF 전력, 및 저주파 플라즈마에 대해 약 100 W 와 10,000 W 사이의 범위의 RF 전력을 포함할 수도 있지만 이들에 제한되지 않는다. 하나 이상의 RF 전력 공급기들은 임의의 적절한 듀티 사이클에서 동작될 수도 있다. 임의의 적절한 듀티 사이클의 예들은 약 5% 내지 90% 사이의 듀티 사이클들을 포함하지만 이에 제한되지 않는다. 이들 RF 전력들 및 주파수들은 또한 전술한 도펀트 ALD 사이클의 플라즈마 활성화 동작에 이용하기에 적합할 수도 있다.In certain embodiments, plasma activation involves RF power at any frequency suitable for causing the reaction of the adsorbed dielectric precursor in operation D. In some embodiments, the RF power may include independently controlled high frequency RF power and low frequency RF power generated by an RF power source that may be operated independently of each other. Suitable low frequency RF powers may include, but are not limited to, a frequency range between about 200 kHz and 1000 kHz. Suitable high frequency RF powers may include, but are not limited to, frequencies between about 10 MHz and 80 MHz, for example 13.56 MHz. As such, RF power supplies and matching networks may be operated in any suitable power range to form plasma within the reaction chamber. Examples of suitable plasma generated RF power include RF power between about 100 W and 3000 W for high frequency plasma (based on a per wafer basis), and RF power in the range between about 100 W and 10,000 W for low frequency plasma. It may, but is not limited to these. One or more RF power supplies may be operated at any suitable duty cycle. Examples of any suitable duty cycle include, but are not limited to, duty cycles between about 5% and 90%. These RF powers and frequencies may also be suitable for use in the plasma activated operation of the dopant ALD cycle described above.

동작 A 내지 D 를 수행하기에 적합한 반응 챔버 압력들은 일반적으로 0.5 Torr 와 5 Torr 사이 또는 그 정도의 압력, 바람직하게는 2 Torr 와 4 Torr 사이 또는 또는 그 정도의 압력을 포함하지만 이들에 제한되지 않는다. 도펀트에 대한 노출 전에 (하부 기판의) 특정 플라즈마 사전 처리를 위해 위로 약 10 Torr 까지의 (또는 위로 약 9 Torr 까지의) 압력이 양호하게 동작하는 것으로 밝혀졌다.Reaction chamber pressures suitable for performing operations A to D generally include, but are not limited to, pressures between 0.5 Torr and 5 Torr or higher, preferably between 2 Torr and 4 Torr or higher. . It has been found that pressures of up to about 10 Torr (or up to about 9 Torr) work well for certain plasma pretreatments (of the lower substrate) prior to exposure to the dopant.

스택 엔지니어링: 캡핑 층Stack engineering: capping layer

몇몇 실시형태들에서, 도펀트 소스 함유 컨포멀 막은 관찰되는 물리적 특성 및 기능에 영향을 주도록 충분한 주변 습도를 흡착하는 능력을 갖는 흡습성일 수도 있다. 예를 들어, ALD 를 통하여 성막되는, B2O3, As2O3, As2O5, P2O5, PSG, 또는 ASG 를 함유하는 컨포멀 막은 흡습성일 수도 있으며 휘발성 붕산과 같은 주변 습기에 대한 노출시 수산화물을 형성할 수도 있다. 붕산의 휘발은 하부 기판을 도핑하는 이들 막의 능력을 변화시킨다. 따라서, 이는 도펀트 소스 함유 컨포멀 막 층들을 습기에 대한 노출로부터 보호하는 것이 종종 유리하다.In some embodiments, the dopant source-containing conformal film may be hygroscopic with the ability to adsorb sufficient ambient humidity to affect the observed physical properties and functions. For example, conformal membranes containing B 2 O 3 , As 2 O 3 , As 2 O 5 , P 2 O 5 , PSG, or ASG deposited through ALD may be hygroscopic and have ambient moisture such as volatile boric acid. When exposed to, hydroxide may be formed. Volatilization of boric acid changes the ability of these films to dope the underlying substrate. Therefore, it is often advantageous to protect the conformal film layers containing the dopant source from exposure to moisture.

따라서, 습기 노출의 방지 또는 최소화는 도 3 에 예시된 바와 같이, 반응 챔버 내에 존재하는 임의의 주변 습기와 이들 층 사이에 물리적 배리어로서 본질적으로 작용하는, 하부 도펀트 소스 층 또는 층들의 스택 상부에 성막되는 캡핑 층을 채용함으로써 달성될 수도 있다. 도 4(a) 는 예시적인 A+B 스택을 나타내며 스택의 부분 "B" 은 캡핑 층의 역할을 한다. 몇몇 실시형태들에서, 캡핑 층은 위에 설명된 바와 같이 CFD 프로세스에서 성막되고 반응되는 유전체 전구체로부터 형성된 SiO 및 SiO2와 같은 하나 이상의 산화물들을 포함할 수도 있다. 몇몇 실시형태들에서, 유전체 전구체는 비스(테르트-부틸아미노)실란(BTBAS) 일 수도 있다. 다른 실시형태에서, 캡핑 층은 하나 이상의 질화물 또는 산질화물 종을 포함할 수도 있다. 몇몇 상황들에서, 캡핑 층 없이는, 두께 메트롤로지 (metrology) 에 의해 B2O3 의 존재를 검출하는 것이 가능하지 않다는 것을 발견하였다.Thus, the prevention or minimization of moisture exposure is deposited on top of the bottom dopant source layer or stack of layers, essentially acting as a physical barrier between these layers with any ambient moisture present in the reaction chamber, as illustrated in FIG. 3. Can also be achieved by employing a capping layer. Figure 4(a) shows an exemplary A+B stack and part "B" of the stack serves as a capping layer. In some embodiments, the capping layer may include one or more oxides, such as SiO and SiO 2 , formed from dielectric precursors deposited and reacted in a CFD process as described above. In some embodiments, the dielectric precursor may be bis(tert-butylamino)silane (BTBAS). In other embodiments, the capping layer may include one or more nitride or oxynitride species. In some situations, it has been found that, without the capping layer, it is not possible to detect the presence of B 2 O 3 by thickness metrology.

몇몇 실시형태들에서, 캡핑 층은, 하부 반도체 기판을 향한 도펀트의 확산 특징을 조정하기 위하여 성막 프로세스 동안에 캡핑 층 화학물질을 제어함으로써 엔지니어링된다. 특정 이론으로 제한됨이 없이, 적합한 캡핑 층을 성막함으로써, 도펀트 소스의 역확산 (즉, 막-기판 계면으로부터 멀어지는 도펀트의 확산) 이 캡핑 층에 의해 차단될 수도 있고 이에 의해 스택 내의 도펀트의 무한 저장을 효과적으로 시뮬레이션할 수도 있음이 가정된다.In some embodiments, the capping layer is engineered by controlling the capping layer chemistry during the deposition process to adjust the diffusion characteristics of the dopant towards the underlying semiconductor substrate. Without being limited to a particular theory, by depositing a suitable capping layer, despreading of the dopant source (i.e., diffusion of the dopant away from the membrane-substrate interface) may be blocked by the capping layer thereby preventing infinite storage of the dopant in the stack It is assumed that it can be effectively simulated.

스택 엔지니어링: 유전체 CFD 층과 같은 다른 막 층들로 개재된 다수의 ALD 사이클들을 통한 다수의 도펀트 소스 막 층들의 성막Stack engineering: deposition of multiple dopant source film layers through multiple ALD cycles interposed with other film layers, such as a dielectric CFD layer

ALD 프로세스들을 통하여 순차적으로 다수의 도펀트 소스 함유 컨포멀 막 층들을 성막하는 것이 가능하며, 몇몇 실시형태들에서 그리고 일부 유형의 도펀트 전구체들에서, 이는 바람직한 접근 방식일 수도 있다. 그러나 몇몇 경우에, 순차적 ALD 사이클들을 이용하여 순차적으로 도펀트 막 층들을 성막하는 것은 어느 정도 자기 억제되는 경향이 있음이 밝혀졌다. 특히, 도펀트 전구체로서 역할을 하는 TMB 에서, 자기 억제가 ALD 사이클 당 0.2 Å 미만으로 B2O3 단층 상의 TMB 핵생성을 제한한다는 것을 알아 냈다. 또한, 이 성장률은 특정 수의 도펀트 층들이 성막된 후에 더욱 저하한다. 실제로, 일 실시형태에서, 순차적인 ALD 사이클을 이용한 B2O3 막의 총 두께는 50번째 ALD 사이클과 100번째 ALD 사이클 사이에서 상당히 변화하지 않는 것을 알아냈다. 결과적으로, 몇몇 도펀트 소스 및 이들 대응하는 도펀트 전구체들에서, 성막된 컨포멀 막에서의 도펀트 농도는 막 내의 도펀트 소스 농도를 증가시키거나 또는 막 두께를 증가시킴으로써 단순히 증가될 수 없다. 예를 들어, 도 4(a) 를 참조하면, 이용가능한 B2O3 의 총 양은 상술한 "A+B" 스택에서의 "A" 층의 두께를 증가시킴으로써 단순히 증가될 수 없다. 이는 CVD 프로세스를 이용하여 성막된 보론 함유 층들과는 대조적이며, 여기에서 보론 농도는 성막된 보론 함유 층의 두께를 증가시키기 위하여 CVD 프로세스의 지속 기간을 증가시키거나 및/또는 도펀트 전구체의 유동을 증가시킴으로써 단순히 조정될 수 있다.It is possible to deposit multiple dopant source-containing conformal film layers sequentially through ALD processes, and in some embodiments and in some types of dopant precursors, this may be the preferred approach. It has been found, however, that in some cases, depositing dopant film layers sequentially using sequential ALD cycles tends to be somewhat self-inhibiting. In particular, it has been found that in TMB acting as a dopant precursor, self-inhibition limits the TMB nucleation on the B 2 O 3 monolayer to less than 0.2 μA per ALD cycle. In addition, this growth rate further decreases after a certain number of dopant layers are deposited. Indeed, in one embodiment, it has been found that the total thickness of the B 2 O 3 film using sequential ALD cycles does not change significantly between the 50th ALD cycle and the 100th ALD cycle. Consequently, in some dopant sources and their corresponding dopant precursors, the dopant concentration in the deposited conformal film cannot be increased simply by increasing the dopant source concentration in the film or by increasing the film thickness. For example, referring to Figure 4(a), the total amount of B 2 O 3 available cannot be increased simply by increasing the thickness of the “A” layer in the “A+B” stack described above. This is in contrast to boron-containing layers deposited using a CVD process, where the boron concentration is increased by increasing the duration of the CVD process and/or increasing the flow of the dopant precursor to increase the thickness of the deposited boron-containing layer. It can simply be adjusted.

그러나, 몇몇 경우에서 도펀트 소스 함유 막 층은 예를 들어, 비도펀트 유전체 층, 또는 보다 자세하게는 비도펀트 산화물 층과 같은 몇몇 비도펀트 함유 막 층 상부에 ALD 를 통하여 형성될 때 더 빠른 성장을 경험할 수도 있다는 것을 알아냈다. 또한, 상술한 유전체 층 (예를 들어, 산화물 층) 이 CFD 프로세스를 통하여 성막되는 경우에, 그 상부에 ALD 를 통하여 형성된 도펀트 소스 층은 자연 유전체 층 (예를 들어, 자연 산화물 층) 상에서 보다 훨씬 더 빠른 성장을 보일 수도 있다는 것을 알아내었다. 예를 들어, CFD 성막 실리콘 산화물 층 상단에 ALD 를 통하여 성막된 TMB 전구체로부터 형성된 B2O3 도펀트 소스 층들은 도 5 에 도시된 바와 같이 ALD 사이클 당 1 Å 보다 큰 성장 레이트를 보여준다는 것을 알아내었다. 특정 이론으로 제한됨이 없이, 이는 TMB 가 합체된 (coalesced) CFD 산화물 층 상에 고착될 수도 있는 더 많은 수의 이용가능한 하이드록실 표면 사이트들에 기인할 수도 있다. 몇몇 실시형태들에서, CFD 산화물의 "개시" 층은, ALD 도펀트 층의 성장을 촉진하고 도펀트 소스-기판 계면에 가장 가까운 보론 농도를 증가시키기 위하여 제 1 ALD 도펀트 층을 성막하기 전에 기판 표면 상부에 성막될 수도 있다. 도 5 는 또한 흡착된 TMB 전구체를 활성화하기 위하여 산화성 플라즈마 (O2) 를 이용하여 400 ℃ 의 온도에서 50회의 성막 사이클에 걸쳐 CFD 산화물에 대한 성장 레이트와 자연 산화물 및 기존의 B2O3 단층에 대한 성장 레이트를 비교한다. 도면에 도시된 바와 같이, 도펀트 ALD 층들은 자연 실리콘 산화물 층 상부에서 ALD 사이클 마다 0.3 Å 의 레이트로 (CFD 산화물 상에서는 ALD 사이클 당 1 Å 이하로) 성장하지만 기존의 B2O3 모노레이어 상부에서는 ALD 사이클 당 0.21 Å 만이 성장한다는 것을 알아냈다. 따라서, 비-CFD (non-CFD) 성막된 실리콘 산화물 또는 하이드록실-말단 함유 산화물 층들은 또한 적어도 일부 경우에 동일 정도는 아니지만 ALD 도펀트 층 성장의 핵형성 레이트들을 촉진 또는 증가시킬 수도 있다.However, in some cases the dopant source-containing film layer may experience faster growth when formed through ALD over some non-dopant-containing film layer, such as, for example, a non-dopant dielectric layer, or more specifically a non-dopant oxide layer. Found out. In addition, when the above-described dielectric layer (e.g., oxide layer) is deposited through a CFD process, the dopant source layer formed through ALD thereon is much better than on the native dielectric layer (e.g., native oxide layer). I found out that it might show faster growth. For example, it has been found that the B 2 O 3 dopant source layers formed from TMB precursors deposited through ALD on top of the CFD deposited silicon oxide layer show a growth rate greater than 1 kPa per ALD cycle as shown in FIG. 5. . Without being limited to a particular theory, this may be due to the greater number of available hydroxyl surface sites on which TMB may adhere on a coalesced CFD oxide layer. In some embodiments, a “start” layer of CFD oxide is deposited on the substrate surface prior to depositing the first ALD dopant layer to promote growth of the ALD dopant layer and increase boron concentration closest to the dopant source-substrate interface. It may be formed. FIG. 5 also shows the growth rate for CFD oxide and the native oxide and the existing B 2 O 3 monolayer over 50 deposition cycles at a temperature of 400° C. using oxidative plasma (O 2 ) to activate the adsorbed TMB precursor. Compare the growth rate for. As shown in the figure, the dopant ALD layers grow at a rate of 0.3 kV per ALD cycle (up to 1 kV per ALD cycle on CFD oxide) on top of the native silicon oxide layer but ALD on top of the existing B 2 O 3 monolayer It has been found that only 0.21 kPa per cycle grows. Thus, non-CFD (non-CFD) deposited silicon oxide or hydroxyl-terminal containing oxide layers may also promote or increase nucleation rates of ALD dopant layer growth, at least in some cases, but not to the same extent.

이로인해, CFD 실리콘 산화물 박층들 상의 TMB ALD 층들을 핵형성하는 것에 의해, 유효 보론 농도가 동일한 전체 스택 두께에 대해서 증가될 수 있다. 스택 전체에 걸친 이 CFD 산화물 층들의 간격 및/또는 빈도를 변화시킴으로써, 보론 농도를 미세하게 튜닝 (fine tuning) 하는 것이 가능하다. 도 4(b) 및 도 4(c)는 각각 나노라미네이트들 및 단층들을 채용하는 것과 같은 상이한 스택 전략 (stacking strategy) 들을 나타낸다. 단층 라미네이트들은 CFD 실리콘 산화물 성막과 ALD 도펀트 층 성막의 단일 사이클들을 교번함으로써 제조된다. 나노라미네이트들은 2 이상 사이클들의 ALD 도펀트 층 성막 및 2 이상 사이클들의 CFD 실리콘 산화물 층 성막을 교번함으로써 제조된다. 몇몇 경우들에서, 도펀트 층 및/또는 산화물 층 성막의 2 초과의 시퀀셜 사이클들이 나노라미네이트들을 형성함에 있어서 채용될 수도 있다. 예를 들면, 나노라미네이트를 구성하기 위해 이용되는 성막 사이클들의 반복 시퀀스는 2:2 (즉, 2 도펀트 사이클들 이후 2 산화물 사이클들, 이 시퀀스는 1회 이상 반복됨), 또는 2:3, 또는 2:5, 또는 3:2, 또는 5:2 등일 수도 있다. 따라서, 성막 시퀀스 및 도펀트 사이클들 대 산화물 사이클들의 비율을 조절함으로써, 주어진 스택 두께 (예를 들면, 약 100Å - 200Å) 에 대한 도펀트 농도 (예를 들면, 보론 농도) 가 조정 및 제어될 수도 있다.Due to this, by nucleating TMB ALD layers on thin films of CFD silicon oxide, the effective boron concentration can be increased for the same overall stack thickness. By varying the spacing and/or frequency of these CFD oxide layers throughout the stack, it is possible to fine tune the boron concentration. 4(b) and 4(c) show different stacking strategies, such as employing nanolaminates and monolayers, respectively. Single layer laminates are made by alternating single cycles of CFD silicon oxide deposition and ALD dopant layer deposition. Nanolaminates are made by alternating two or more cycles of ALD dopant layer deposition and two or more cycles of CFD silicon oxide layer deposition. In some cases, more than two sequential cycles of dopant layer and/or oxide layer deposition may be employed in forming nanolaminates. For example, the repeating sequence of deposition cycles used to construct the nanolaminate is 2:2 (ie, 2 oxide cycles after 2 dopant cycles, this sequence is repeated one or more times), or 2:3, or 2 :5, or 3:2, or 5:2. Thus, by adjusting the deposition sequence and the ratio of dopant cycles to oxide cycles, the dopant concentration (eg, boron concentration) for a given stack thickness (eg, about 100 mm 2 -200 mm 2) may be adjusted and controlled.

따라서, 도 6은 컨포멀 막의 스택을 성막하고 하부 반도체 기판을 도핑하기 위해 이용될 수도 있는 동작들의 시퀀스를 나타내는 개략도를 표시한다. 다시, 동작들의 시퀀스는 수평축을 따라 시간이 지나면서 좌측에서 우측으로 진행된다. 다시, 도 1 및 도 2 와 마찬가지로, 이 개략도는 단순히 단계들의 베이스라인 시퀀스를 나타내며, 그리고 실시형태 및 다양한 고려사항에 따라, 다른 부수적인 동작들이 예시된 동작들에 추가되거나, 치환되거나, 및/또는 개재될 수도 있음에 또한 유의한다. 이러한 고려사항들은, 예를 들면, 컨포멀 스택의 설계의 성질, 도펀트 소스의 선택 및 대응하는 도펀트 전구체 종들의 대응하는 선택, 그리고 또한 유전체 층의 선택된 조성을 포함할 수도 있다.Accordingly, FIG. 6 shows a schematic diagram showing a sequence of operations that may be used to deposit a stack of conformal films and to dope a lower semiconductor substrate. Again, the sequence of operations proceeds from left to right over time along the horizontal axis. Again, similarly to FIGS. 1 and 2, this schematic simply represents a baseline sequence of steps, and depending on the embodiment and various considerations, other ancillary actions may be added, substituted, and/or added to the illustrated actions, and/or Also note that it may be intervened. These considerations may include, for example, the nature of the design of the conformal stack, the selection of the dopant source and the corresponding selection of the corresponding dopant precursor species, and also the selected composition of the dielectric layer.

도 6을 참조하면, 이 특정 실시형태에서, 하나 이상의 유전체 층들이 먼저, 동작들 A, B, C, 및 D 에 의해 도 6에 개략적으로 나타낸 유전체 CFD 사이클을 이용하여, 하부 반도체 기판의 표면 상에 직접 (또는 기판에 접착된 재료 상에) 성막된다. 도 6에서 동작들 A, B, C, 및 D 는 도 2에 개략적으로 예시된 A, B, C, 및 D 동작들에 대응하고, 그 동작들과 관련한 전술된 논의도 역시 여기에 적용된다. 도 2에서 처럼, 동작들 A 내지 D 는 막 성장의 하나의 유전체 CFD 사이클을 나타내고, 또한 도 2와 같이, 도 6에서의 동작 E 는 추가적인 유전체 CFD 사이클들이 초기 사이클에 이어져 추가적인 컨포멀 유전체 막 층들을 성막할 수도 있다는 것을 개략적으로 나타낸다. 이로써, 도 6에 개략적으로 예시된 베이스라인 프로세스는 도 2에 예시된 베이스라인 유전체 CFD 프로세스를 포함하고 이것으로 시작한다.Referring to FIG. 6, in this particular embodiment, one or more dielectric layers are first on the surface of the lower semiconductor substrate, using the dielectric CFD cycle schematically illustrated in FIG. 6 by operations A, B, C, and D. It is deposited directly (or on a material adhered to the substrate). The operations A, B, C, and D in FIG. 6 correspond to the A, B, C, and D operations schematically illustrated in FIG. 2, and the aforementioned discussion regarding those operations also applies here. As in FIG. 2, operations A to D represent one dielectric CFD cycle of film growth, and also as shown in FIG. 2, operation E in FIG. 6, additional dielectric CFD cycles followed by an initial cycle followed by additional conformal dielectric film layers It is schematically shown that they may be formed. As such, the baseline process schematically illustrated in FIG. 6 includes and begins with the baseline dielectric CFD process illustrated in FIG. 2.

동작들 A 내지 E 에서의 하나 이상의 유전체 막 층들의 성막 다음에, 동작 F에서 반응 챔버가 (전술된 바와 같이) 베이스로 펌프 (pump-to-base) 되고, 선택적으로 (또한 전술된 바와 같이) 비활성 가스로 퍼지된다. 동작 F 는 선행 유전체 CFD 사이클들로부터의 임의의 남아있는 유전체 전구체들, 산화 종들, 또는 임의의 다른 나머지 종들을 반응 챔버로부터 제거하는 역할을 한다. 물론, 몇몇 실시형태들에서, 제거 동작 F 는 필요하지 않을 수도 있는데, 왜냐하면, 예를 들어, 유전체 CFD 사이클들의 종결 (conclusion) 후 반응 챔버에 상당한 양의 나머지 종들이 존재하지 않거나, 또는 단순히 나머지 종들은, 이어질 도펀트 ALD 사이클들에 악영향을 주지 않기 때문이다.Following deposition of one or more dielectric film layers in operations A to E, the reaction chamber is pump-to-base (as described above) in operation F, and optionally (as also described above) Purged with inert gas. Operation F serves to remove any remaining dielectric precursors, oxidizing species, or any other remaining species from preceding dielectric CFD cycles from the reaction chamber. Of course, in some embodiments, the removal operation F may not be necessary because, for example, a significant amount of the remaining species are not present in the reaction chamber after the inclusion of dielectric CFD cycles, or simply the remaining species. This is because it does not adversely affect the dopant ALD cycles that will follow.

제 1 세트의 유전체 막 층들이 성막되고, (필요한 경우) 반응 챔버가 프렙 (prep) 된 후에, 제 1 도펀트 ALD 사이클이 동작들 G, H, I, 및 J 에서 수행된다. 동작들 G 내지 J 는 도 1의 동작들 (i) 내지 (iv) 에 대응하고, 도 1의 동작들 (i) 내지 (iv) 와 관련된 논의는 역시 여기서 동작 G 내지 J 에 적용된다. 동작들 (i) 내지 (iv) 와 관련하여 전술된 바와 같이, 동작 G 에서, 도펀트 전구체가 흡착 제한층을 형성할 수도 있는 조건하에서, 적합한 도펀트 전구체가 반응 챔버 내로 도입되고 기판 표면 상에 (또는 기판에 접착된 재료 상에) 흡착된다. 도펀트 전구체의 흡착 후에, 동작 H 에서, 반응 챔버에 여전히 존재하는 임의의 남아있는 비흡착된 도펀트 전구체가 베이스로의 펌프 및 선택적인 비활성 퍼지를 통해 제거된다. 흡착된 도펀트 전구체의 반응은 이후 동작 I 에서 일어나고, 여기서 반응은 비활성 플라즈마 활성화 및/또는 산화성 플라즈마 활성화를 이용한 플라즈마 활성화를 통해 진행되어 도펀트 소스 함유 컨포멀 막의 층을 형성한다. 마지막으로, 동작 J 에서, 또 다른 베이스로의 펌프 및/또는 비활성 퍼지가 수행되어 임의의 반응 부산물들을 제거한다. 동작들 (i) 내지 (iv) 와 관련하여 전술한 바와 같이, 동작들 G 내지 J 는 총체적으로 단일의 도펀트 ALD 막 층을 제공하는 단일의 도펀트 ALD 사이클을 나타낸다. 도 6 의 동작 K 에 의해 개략적으로 나타낸 바와 같이, 동작들 G 내지 J 로 나타낸 ALD 사이클은 1회 이상 반복되어, 다중의 도펀트 소스 함유 컨포멀 막 층들을 형성할 수도 있다.After the first set of dielectric film layers are deposited and (if necessary) the reaction chamber is prepared, a first dopant ALD cycle is performed in operations G, H, I, and J. Operations G to J correspond to operations (i) to (iv) of FIG. 1, and the discussion related to operations (i) to (iv) of FIG. 1 also applies here to operations G to J. As described above with respect to operations (i)-(iv), in operation G, under conditions that the dopant precursor may form an adsorption limiting layer, a suitable dopant precursor is introduced into the reaction chamber and on the substrate surface (or Adsorption). After adsorption of the dopant precursor, in operation H, any remaining non-adsorbed dopant precursor still present in the reaction chamber is removed through a pump to the base and optional inert purge. The reaction of the adsorbed dopant precursor then takes place in operation I, where the reaction proceeds through plasma activation using inert plasma activation and/or oxidative plasma activation to form a layer of conformal film containing the dopant source. Finally, in operation J, a pump and/or an inert purge to another base is performed to remove any reaction byproducts. As described above in relation to operations (i) to (iv), operations G to J collectively represent a single dopant ALD cycle providing a single dopant ALD film layer. As schematically indicated by operation K in FIG. 6, the ALD cycle represented by operations G to J may be repeated one or more times to form multiple dopant source containing conformal film layers.

도 6에 개략적으로 예시된 베이스라인 컨포멀 스택 구축 (building) 프로세스에서의 이 시점에서, 하부 반도체 기판은 그 위에 성막된 일 세트의 유전체 CFD 층들 및 유전체 CFD 층들의 세트 위에 성막된 또 다른 세트의 도펀트 ALD 층들을 갖는다. 유전체 CFD 층들의 세트 및 도펀트 ALD 층들의 세트는 각각, 전술된 바와 같이, 동일한 수의 층들을 가질 수도 있거나, 또는 몇몇 실시형태들에서, 상이한 수의 층들을 가질 수도 있다. 어느 경우든, 이 도펀트 ALD 층들의 세트는 컨포멀 스택에 존재하는 도펀트 소스들의 범위 (extent) 를 구성할 수도 있다. 다시 말해서, 몇몇 실시형태들에서, 추가 도펀트 ALD 층들이 성막되지 않을 수도 있다. 하지만, 다른 실시형태들은 다중 세트들의 도펀트 ALD 층들을 가질 수도 있다. 따라서, 예를 들면, 동작 L 에서, 동작들 A 내지 K 의 시퀀스는 1회 이상 반복될 수도 있으며, 이는 하나 이상의 추가적인 세트들의 도펀트 ALD 층들과 교번하는 하나 이상의 추가적인 세트들의 유전체 CFD 층들을 초래한다. 동작들 A 내지 K 가 반복되는 실제 횟수는 컨포멀 막 스택의 원하는 전체 두께, 유전체 및 도펀트 층들의 세트들의 원하는 두께, 그리고 스택에 포함되는 것으로 의도되는 도펀트의 양/농도에 의존한다. 또한, 도 6의 개략적인 프로세스를 엄밀하게 따른다면, 제 2 세트의 유전체 CFD 층들은 제 1 세트의 유전체 CFD 층들과 동일한 수의 층들을 가질 것이고, 그리고 유사하게 제 2 세트의 도펀트 ALD 층들은 제 1 세트의 도펀트 ALD 층들과 동일한 수의 층들을 가질 것이라는 것에 유의한다. 하지만, 연속적인 유전체 CFD 및 도펀트 ALD 층들의 각 세트에서의 층들의 수는 달라질 수도 있고, 그리고 몇몇 실시형태들에서, 이 방식으로 층들의 수를 변화시키는 것은 바람직한 접근법일 수도 있다는 것을 이해할 것이다.At this point in the baseline conformal stack building process schematically illustrated in FIG. 6, the lower semiconductor substrate has a set of dielectric CFD layers deposited thereon and another set of dielectric CFD layers deposited thereon. Dopant ALD layers. The set of dielectric CFD layers and the set of dopant ALD layers may each have the same number of layers, as described above, or, in some embodiments, a different number of layers. In either case, this set of dopant ALD layers may constitute the extent of dopant sources present in the conformal stack. In other words, in some embodiments, additional dopant ALD layers may not be deposited. However, other embodiments may have multiple sets of dopant ALD layers. Thus, for example, in operation L, the sequence of operations A to K may be repeated one or more times, resulting in one or more additional sets of dielectric CFD layers alternating with one or more additional sets of dopant ALD layers. The actual number of times operations A through K are repeated depends on the desired overall thickness of the conformal film stack, the desired thickness of the dielectric and dopant layer sets, and the amount/concentration of the dopant intended to be included in the stack. Also, if the schematic process of FIG. 6 is strictly followed, the second set of dielectric CFD layers will have the same number of layers as the first set of dielectric CFD layers, and similarly the second set of dopant ALD layers will Note that it will have the same number of layers as one set of dopant ALD layers. However, it will be understood that the number of layers in each set of successive dielectric CFD and dopant ALD layers may vary, and in some embodiments, changing the number of layers in this manner may be a desirable approach.

유전체 및 도펀트 컨포멀 막 층들의 성막이 완료된 후에, 선택적인 캡핑 층이 도 6의 동작 M 에서 제공 (apply) 될 수도 있다. 잠재적인 캡핑 층들은 전술되어 있다. 도 6에 나타낸 바와 같이, 몇몇 실시형태들에서, 캡핑 층은 동작들 A 내지 D 의 유전체 CFD 사이클을 1회 이상 반복시킴으로써 형성될 수도 있다. 하지만, 캡핑 층이 반드시 동작들 A 내지 D 를 채용함으로써 형성될 필요가 있는 것은 아님에 유의한다. 몇몇 실시형태들에서, 캡핑 층은 동작들 A 내지 D 에서 채용되는 것과 완전히 상이한 CFD 산화물 화학물질을 채용함으로써 형성될 수 있다; 예를 들면, 전구체 및/또는 플라즈마가 상이할 수도 있다. 몇몇 실시형태들에서, CFD 성막된 캡핑 층은 하나 이상의 CFD 질화물 또는 산질화물 막 층들을 채용할 수도 있다.After deposition of the dielectric and dopant conformal film layers is completed, an optional capping layer may be applied in operation M of FIG. 6. Potential capping layers are described above. As shown in Figure 6, in some embodiments, the capping layer may be formed by repeating the dielectric CFD cycle of operations A to D one or more times. Note, however, that the capping layer need not necessarily be formed by employing operations A to D. In some embodiments, the capping layer can be formed by employing a CFD oxide chemical that is completely different from that employed in operations A to D; For example, precursors and/or plasmas may be different. In some embodiments, the CFD deposited capping layer may employ one or more CFD nitride or oxynitride film layers.

캡핑 층이 성막된 후에, 도 6에 따른 도펀트 소스 함유 컨포멀 스택이 구축/성막되었다. 몇몇 실시형태들에서, 예를 들면, 컨포멀 스택은, 도펀트 소스가 B2O3 이고 유전체가 하나 이상의 산화물들인 도 3에서 개략적으로 표시된 컴포멀 스택과 개략적으로 유사할 수도 있다. 마지막으로, 컨포멀 스택이 동작 N 에서 완전히 어셈블리된 채로, 하부 기판은 컨포멀 스택으로부터 하부 반도체 기판속으로 도펀트를 드라이빙함으로써 도핑될 수도 있다. 몇몇 실시형태들에서, 이것은, 예를 들면, 아래에 상세되는 바와 같이, 열 매개 확산 프로세스에 의해 달성될 수도 있다. After the capping layer was deposited, a conformal stack containing the dopant source according to FIG. 6 was built/deposited. In some embodiments, for example, the conformal stack may be schematically similar to the conformal stack shown schematically in FIG. 3 where the dopant source is B 2 O 3 and the dielectric is one or more oxides. Finally, with the conformal stack fully assembled in operation N, the lower substrate may be doped by driving the dopant from the conformal stack into the lower semiconductor substrate. In some embodiments, this may be accomplished by a heat mediated diffusion process, for example, as detailed below.

마지막으로, CFD 유전체 (예를 들면, 실리콘 산화물) 층 성막과 ALD 도펀트 층 성막을 조합하기 위해 이용될 수도 있는 또 다른 스택 전략은 도 4(d)에 나타낸 바와 같이 "합금층들"을 포함하는 "스택"을 구성하는 것이다. 예를 들면, 합금층들은 반응 챔버 내에서 공동 유동 (co-flow) 되고 동시에 산화되는 유전체 전구체 BTBAS 및 도펀트 전구체 TMB 를 가짐으로써 구성될 수도 있다. 즉, 몇몇 실시형태들에서, 합금층은, 도펀트 전구체 및 유전체 전구체를 반응 챔버 내로 도입하고, 도펀트 전구체 및 유전체 전구체가 흡착 제한층을 형성하는 조건하에서 양 전구체들을 기판 상에 (또는 기판에 접착된 재료 상에) 공동 흡착시키고, 그리고 합금층을 형성하기 위해 흡착된 도펀트 전구체 및 흡착된 유전체 전구체를 반응시킴으로써 형성될 수도 있다. 특정 이러한 실시형태들에서, 합금층은 도펀트 및 유전체 전구체들과 반응 챔버 내로 도입되는 산화제일 수도 있는 제 3 반응물과 흡착된 유전체 전구체를 반응시킴으로써 형성된다. 특정 이러한 실시형태들에서, 흡착된 유전체 전구체와 산화제의 반응은 플라즈마를 이용한 활성화를 포함할 수도 있다. 다른 실시형태들에서, 도 4(d)에 도시된 "합금층들"은 각각 도 4(b) 및 4(c)에 도시된 나노라미네이트들 또는 단층들을 성막하고, 그리고 (통상적으로 보다 높은 온도이지만, 몇몇 실시형태들에서 복합의 균질한 유리 층을 초래하는, "합금층들"을 형성하기 위한 "드라이브" 온도 미만인 온도에서) 그 층들을 어닐링함으로써 형성될 수도 있다. Finally, another stack strategy that may be used to combine the CFD dielectric (eg, silicon oxide) layer deposition with the ALD dopant layer deposition is to include “alloy layers” as shown in FIG. 4(d). It is what constitutes the "stack". For example, the alloy layers may be constructed by having a dielectric precursor BTBAS and a dopant precursor TMB that co-flow and oxidize simultaneously in the reaction chamber. That is, in some embodiments, the alloy layer introduces both precursors onto the substrate (or adhered to the substrate) under conditions that introduce a dopant precursor and a dielectric precursor into the reaction chamber and the dopant precursor and the dielectric precursor form an adsorption limiting layer. On the material), and may be formed by reacting the adsorbed dopant precursor and the adsorbed dielectric precursor to form an alloy layer. In certain such embodiments, the alloy layer is formed by reacting the adsorbed dielectric precursor with a dopant and dielectric precursors and a third reactant, which may be an oxidant introduced into the reaction chamber. In certain such embodiments, the reaction of the adsorbed dielectric precursor and the oxidant may include plasma activation. In other embodiments, the “alloy layers” shown in FIG. 4(d) deposits the nanolaminates or monolayers shown in FIGS. 4(b) and 4(c), respectively, and (usually at higher temperatures , But in some embodiments may be formed by annealing the layers (at a temperature below the "drive" temperature to form "alloy layers"), which results in a composite homogeneous glass layer.

성막된 컨포멀 막 스택으로부터 하부 기판속으로의 도펀트 드라이빙Dopant driving from the deposited conformal film stack into the underlying substrate

컨포멀 막 스택이 완전히 성막된 후에, 컨포멀 막 스택은 근방의 반도체 구조물들을 위한 도펀트 종들의 소스로서 사용될 수도 있다. 이것은 도펀트를 성막된 막으로부터 디바이스 구조물로 드라이빙함으로써 달성될 수도 있다. 다양한 실시형태들에서, 드라이빙은 어닐과 같은 열 매개 확산 프로세스에 의해 달성된다. 몇몇 경우들에서, 특히 울트라쉘로우 접합들을 채용하는 경우에서, 레이저 스파이크 어닐링이 채용될 수도 있다.After the conformal film stack is completely deposited, the conformal film stack may be used as a source of dopant species for nearby semiconductor structures. This may be achieved by driving the dopant from the deposited film to the device structure. In various embodiments, driving is accomplished by a heat mediated diffusion process such as annealing. In some cases, laser spike annealing may be employed, particularly in the case of employing ultraslow junctions.

장치들Devices

임의의 적합한 장치가, 본 명세서에 기재되는 컨포멀 도펀트 소스 함유 ALD 막 층들을 제조 및 이용하기 위해서 채용될 수도 있다. 예를 들면, 미국 특허 출원 No. 13/224,240 에 기재된 장치가 사용될 수도 있다. 이 특허 출원은 모든 목적들을 위해서 본 명세서에 그 전체가 참조로써 원용된다. Any suitable device may be employed to manufacture and use the ALD film layers containing the conformal dopant source described herein. For example, US Patent Application No. The apparatus described in 13/224,240 may be used. This patent application is incorporated herein by reference in its entirety for all purposes.

몇몇 실시형태들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기는 도펀트 ALD 사이클 또는 유전체 CFD 사이클에 사용되는 프로세스 툴의 모든 활동들을 제어한다. 시스템 제어기는 하나 이상의 프로세서들 상에서 시스템 제어 소프트웨어를 실행한다. 시스템 제어 소프트웨어는 타이밍, 가스들의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타켓 전력 레벨들, RF 전력 레벨들, 기판 페데스탈 (pedestal), 척 및/또는 서셉터 (susceptor) 포지션, 및 프로세스 툴에 의해 수행되는 특정한 ALD/CFD 프로세스의 다른 파라미터들을 제어하기 위한 명령들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들면, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 오브젝트들은 다양한 프로세스 툴 프로세스들을 실행하기에 필요한 프로세스 툴 컴포넌트들의 동작을 제어하기 위해서 작성될 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다. In some embodiments, a system controller (which may include one or more physical or logical controllers) controls all activities of the process tool used in the dopant ALD cycle or dielectric CFD cycle. The system controller runs system control software on one or more processors. System control software includes timing, mixture of gases, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor ( susceptor) positions, and instructions to control other parameters of a particular ALD/CFD process performed by the process tool. The system control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be created to control the operation of process tool components necessary to execute various process tool processes. System control software may be coded in any suitable computer readable programming language.

몇몇 실시형태들에서, 시스템 제어 소프트웨어는 전술된 다양한 파라미터들을 제어하기 위한 입력/출력 제어 (IOC) 시퀀싱 명령들을 포함한다. 예를 들면, ALD/CFD 프로세스의 각 페이즈는 시스템 제어기에 의한 실행을 위한 하나 이상의 명령들을 포함할 수도 있다. ALD/CFD 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 명령들은 대응하는 ALD/CFD 레시피 페이즈에 포함될 수도 있다. 몇몇 실시형태들에서, ALD/CFD 레시피 페이즈들은 순차적으로 배열되어, ALD/CFD 프로세스 페이즈에 대한 모든 명령들이 그 프로세스 페이즈와 동시에 실행되게 할 수도 있다. In some embodiments, the system control software includes input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of the ALD/CFD process may contain one or more instructions for execution by the system controller. Instructions for setting process conditions for the ALD/CFD process phase may be included in the corresponding ALD/CFD recipe phase. In some embodiments, ALD/CFD recipe phases may be arranged sequentially, such that all instructions for the ALD/CFD process phase are executed concurrently with the process phase.

다른 컴퓨터 소프트웨어 및/또는 프로그램들이 몇몇 실시형태들에서 채용될 수도 있다. 이 목적을 위한 프로그램들의 예들 또는 프로그램들의 섹션들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램 및 플라즈마 제어 프로그램을 포함한다.Other computer software and/or programs may be employed in some embodiments. Examples of programs for this purpose or sections of programs include a substrate positioning program, a process gas control program, a pressure control program, a heater control program and a plasma control program.

기판 포지셔닝 프로그램은 기판을 페데스탈 상에 로딩하고 기판과 프로세스 툴의 다른 부분들 사이의 간격을 제어하기 위해 사용되는 프로세스 툴 컴포넌트들을 위한 프로그램 코드를 포함할 수도 있다. The substrate positioning program may include program code for process tool components used to load the substrate on the pedestal and control the spacing between the substrate and other parts of the process tool.

프로세스 가스 제어 프로그램은 가스 조성 및 유량들을 제어하기 위한 코드 및 선택적으로 프로세스 스테이션 내의 압력을 안정화하기 위해 성막 이전에 하나 이상의 프로세스 스테이션들속으로 가스를 유동시키기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은, 예를 들면, 프로세스 스테이션의 배출 시스템 내의 쓰로틀 밸브, 프로세스 스테이션속으로의 가스 유동 등을 조절함으로써 프로세스 스테이션에서의 압력을 제어하기 위한 코드를 포함할 수도 있다.The process gas control program may include code for controlling gas composition and flow rates and, optionally, code for flowing gas into one or more process stations prior to deposition to stabilize pressure in the process station. The pressure control program may include code for controlling pressure at the process station, for example, by regulating throttle valves in the process station's exhaust system, gas flow into the process station, and the like.

히터 제어 프로그램은 기판을 가열하기 위해 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안으로, 히터 제어 프로그램은 기판으로 (헬륨과 같은) 열 전달 가스의 공급을 제어할 수도 있다.The heater control program may include code for controlling the current to the heating unit used to heat the substrate. Alternatively, the heater control program may control the supply of heat transfer gas (such as helium) to the substrate.

플라즈마 제어 프로그램은 하나 이상의 프로세스 스테이션들에서의 프로세스 전극들에 인가되는 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.The plasma control program may include code to set RF power levels applied to process electrodes at one or more process stations.

몇몇 실시형태들에서, 시스템 제어기와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽 소프트웨어 디스플레이들 및/또는 프로세스 조건들, 및 사용자 입력 디바이스들, 예컨대 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등을 포함할 수도 있다.In some embodiments, there may be a user interface associated with the system controller. The user interface may include a display screen, graphics software displays and/or process conditions of the apparatus, and user input devices, such as pointing devices, keyboards, touch screens, microphones, and the like.

몇몇 실시형태들에서, 시스템 제어기에 의해 조절되는 파라미터들은 프로세스 조건들과 관련될 수 있다. 비한정적인 예들은 프로세스 가스 조성 및 유량, 온도, 압력, 플라즈마 조건들 (예컨대, RF 바이어스 전력 레벨들), 압력, 온도 등을 포함한다. 이 파라미터들은 레시피의 형태로 사용자에게 제공되고, 이는 사용자 인터페이스를 이용하여 입력될 수도 있다.In some embodiments, parameters adjusted by the system controller can be related to process conditions. Non-limiting examples include process gas composition and flow rate, temperature, pressure, plasma conditions (eg, RF bias power levels), pressure, temperature, and the like. These parameters are provided to the user in the form of a recipe, which may also be entered using a user interface.

프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기의 아날로그 및/또는 디지털 입력 접속들에 의해 제공될 수 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴의 아날로그 및 디지털 출력 접속부들 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비한정적인 예들은 질량 흐름 제어기들, 압력 센서들 (예컨대, 마노미터들), 열전쌍들 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘은 이 센서들로부터의 데이터와 함께 사용되어 프로세스 조건들을 유지할 수도 있다. Signals for monitoring the process can be provided by analog and/or digital input connections of the system controller from various process tool sensors. Signals for controlling the process may be output on analog and digital output connections of the process tool. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (eg, manometers), thermocouples, and the like. Properly programmed feedback and control algorithms may be used in conjunction with data from these sensors to maintain process conditions.

시스템 제어기는 전술된 도펀트 ALD 및 유전체 CFD 사이클들 및 프로세스들을 구현하기 위한 프로그램 명령들을 제공할 수도 있다. 프로그램 명령들은 다양한 프로세스 파라미터들, 예컨대, DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도 등을 제어할 수도 있다. 명령들은 이 파라미터들을 제어하여 본 명세서에 기재된 다양한 실시형태들에 따라 컨포멀 막 스택들의 인시츄 성막을 동작시킬 수도 있다.The system controller may provide program instructions for implementing the dopant ALD and dielectric CFD cycles and processes described above. Program instructions may control various process parameters, such as DC power level, RF bias power level, pressure, temperature, and the like. Instructions may control these parameters to operate in situ deposition of conformal film stacks in accordance with various embodiments described herein.

따라서, 기판을 도핑하기 위한 장치에 프로그램 명령들을 제공하기 위한 시스템 제어기는, 반응 챔버 내의 기판 홀더 상에 기판의 존재를 검출하기 위한 명령들, 도펀트 전구체가 기판 상에 또는 기판에 접착된 재료 상에 흡착되도록 도펀트 전구체를 반응 챔버 내로 도입시키도록 하나 이상의 도펀트 전구체 가스 입구들을 동작시키기 위한 명령들, 비흡착된 도펀트 전구체를 반응 챔버로부터 배기하도록 하나 이상의 출구들을 동작시키기 위한 명령들, 및 도펀트 소스를 포함하는 막 층을 형성하기 위해 흡착된 도펀트 전구체를 반응시키기 위한 명령들을 포함할 수도 있는 머신 판독가능 코드를 가질 수도 있다. 몇몇 실시형태들에서, 흡착된 도펀트 전구체를 반응시키기 위한 명령들은, 흡착된 도펀트 전구체로 하여금 반응되게 하는 플라즈마를 여기시키도록 RF 생성기를 동작시키기 위한 명령들을 포함한다. 몇몇 실시형태들에서, 머신 판독가능 코드는 막으로부터의 도펀트 소스의 일부를 기판속으로 드라이브하기 위한 명령들을 더 포함할 수도 있고, 그리고 특정 이러한 실시형태들에서, 이 "드라이브" 명령들은 막을 가열시켜 막으로부터 기판속으로 도펀트의 열 매개 확산을 야기하도록 히터를 동작시키기 위한 명령들을 더 포함할 수도 있다. Thus, the system controller for providing program instructions to the device for doping the substrate includes instructions for detecting the presence of the substrate on the substrate holder in the reaction chamber, a material with a dopant precursor on the substrate or adhered to the substrate. Instructions for operating one or more dopant precursor gas inlets to introduce the dopant precursor into the reaction chamber to adsorb, instructions for operating one or more outlets to evacuate the non-adsorbed dopant precursor from the reaction chamber, and dopant source May have machine readable code that may include instructions to react the adsorbed dopant precursor to form a film layer. In some embodiments, instructions for reacting the adsorbed dopant precursor include instructions for operating the RF generator to excite the plasma that causes the adsorbed dopant precursor to react. In some embodiments, the machine readable code may further include instructions to drive a portion of the dopant source from the film into the substrate, and in certain such embodiments, these “drive” instructions heat the film It may further include instructions to operate the heater to cause thermally mediated diffusion of the dopant from the film into the substrate.

상기에 기재된 장치/프로세스는, 예를 들면, 반도체 디바이스들, 디스플레이들, LED들, 광기전 패널들 등의 제작 또는 제조를 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 이용될 수도 있다. 통상적으로, 반드시 그런것은 아니지만, 이러한 툴들/프로세스들은 보통의 제작 시설에서 함께 이용 또는 시행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 하기의 동작들 중 일부 또는 전부를 포함하며, 각 동작은 다수의 가능한 툴들에 의해 가능해진다: (1) 워크피스, 즉, 기판 상에 스핀-온 또는 스프레이-온 툴을 이용한 포토레지스트 도포; (2) 핫 플레이트 또는 퍼니스 또는 UV 경화 툴을 이용한 포토레지스트 경화; (3) 웨이퍼 스테퍼와 같은 툴을 이용하여 가시선 또는 UV 또는 x-선 광에 포토레지스트 노출; (4) 레지스트를 선택적으로 제거하여 그것을 패터닝하도록 습식 벤치와 같은 툴을 이용하여 레지스트 현상; (5) 건식 또는 플라즈마 보조 에칭 툴을 이용함으로써 하부 막 또는 워크피스로 레지스트 패턴 전사; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트리퍼와 같은 툴을 이용하여 레지스트 제거.  The apparatus/process described above may be used in conjunction with lithographic patterning tools or processes, for example, for the manufacture or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, but not necessarily, these tools/processes will be used or implemented together in an ordinary production facility. Lithographic patterning of a film typically includes some or all of the following actions, each action being made possible by a number of possible tools: (1) a workpiece, ie a spin-on or spray-on tool on a substrate. Photoresist coating using; (2) photoresist curing using a hot plate or furnace or UV curing tool; (3) photoresist exposure to visible or UV or x-ray light using a tool such as a wafer stepper; (4) resist development using a tool such as a wet bench to selectively remove and pattern the resist; (5) transfer of the resist pattern to the underlying film or workpiece by using a dry or plasma assisted etching tool; And (6) removal of the resist using a tool such as an RF or microwave plasma resist stripper.

실험들 및 예들Experiments and examples

도 7은 여러가지 상이한 방법들을 이용하여 성막된 여러가지 B2O3 스택들 사이의 웨이퍼 내 (WiW) 두께 불균일성 (NU) 을 비교한다. 각 스택은 유전체 CFD 층들로 개재된 ALD 성막된 도펀트 소스 B2O3 의 컨포멀 막 층들을 포함한다. 처음 4개의 B2O3 스택들, MOD1 내지 MOD4 는, 성막 온도들 및 B2H6 유량들이 가변하는 CVD 프로세스들을 통해 성막되었고, 이 스택들은 ~8-16% 의 NU 범위를 나타낸다. ALD1 프로세스가 비활성 플라즈마를 채용하고 ALD2 프로세스가 산화 플라즈마를 채용하는, ALD 를 통해 성막된 2개의 B2O3 스택들은 ~1.3-1.6 % 의 급격하게 개선된 두께 NU 범위를 나타낸다. 도 7의 플롯에 도시된 "% STDDEV" 라벨의 바들은 다중의 웨이퍼들에 걸쳐 측정된 NU 에서의 퍼센트 표준 편차를 나타내는 것임에 유의한다. 7 compares (WiW) thickness non-uniformity (NU) in a wafer between various B 2 O 3 stacks deposited using various different methods. Each stack includes conformal film layers of ALD deposited dopant source B 2 O 3 interposed with dielectric CFD layers. The first four B 2 O 3 stacks, MOD1 to MOD4, were deposited through CVD processes with varying deposition temperatures and B 2 H 6 flow rates, which stacks exhibit a NU range of ˜8-16%. The two B 2 O 3 stacks deposited through ALD, with the ALD1 process employing an inert plasma and the ALD2 process employing an oxidized plasma, exhibit a sharply improved thickness NU range of ˜1.3-1.6%. Note that the bars of the “% STDDEV” label shown in the plot of FIG. 7 represent the percent standard deviation at NU measured across multiple wafers.

도 7은 또한 CVD 를 통해 형성된 보론 함유 컨포멀 막들에 상대적인, ALD 를 이용하여 형성된 보론 함유 컨포멀 막들에 의해 달성가능한 WiW NU 의 감소를 나타낸다. 도 8에는, ALD 대 CFD 를 이용하여 형성된 B2O3 막들의 13 포인트 푸리에 변환 적외 분광법 (FTIR, Fourier transform infrared spectroscopy) 측정들의 결과들이 표시된다. CVD 막에 대한 결과들은 좌측 그래프에 플로팅되고 ALD 막들에 대한 결과들은 우측에 플로팅된다. 2개의 곡선들이 각 그래프를 차지하는데, 하나는 웨이퍼의 센터에서 취해진 FTIR 흡착 스펙트럼에 대응하고, 다른 것은 웨이퍼 에지 근처에서 취해진 흡착 스펙트럼에 대응한다. CVD 막에 있어서, (특히 보론-산소 신축 진동 근처의) 센터 및 에지 흡착 곡선들에서의 가시적인 차이는 10.3% 의 NU 에 대응하는 도 8에서 볼 수 있다. 반대로, ALD 막으로부터 취해진 센터 및 에지 흡착 곡선들은 거의 중첩되며, 단지 2.5 % 의 NU 를 발휘하며, 다시 ALD 막들을 이용하여 달성가능한 개선된 WiW 균일성을 나타낸다. 이 개선들은, 특히 관심있는 두께 레짐 (regime) (약 5 - 10nm) 에서, ALD 프로세스들을 통해 잠재적으로 달성가능한 실질적으로 완벽한 표면 포화에 주로 기인한다.7 also shows the reduction in WiW NU achievable by boron containing conformal films formed using ALD, relative to boron containing conformal films formed via CVD. In FIG. 8, the results of 13 point Fourier transform infrared spectroscopy (FTIR) measurements of B 2 O 3 films formed using ALD versus CFD are shown. Results for the CVD film are plotted on the left graph and results for the ALD films are plotted on the right. Two curves occupy each graph, one corresponding to the FTIR adsorption spectrum taken at the center of the wafer, and the other to the adsorption spectrum taken near the wafer edge. For a CVD film, a visible difference in center and edge adsorption curves (especially near the boron-oxygen stretching vibrations) can be seen in FIG. 8 corresponding to 10.3% NU. Conversely, the center and edge adsorption curves taken from the ALD membrane almost overlap, exhibiting only 2.5% NU, again showing improved WiW uniformity achievable with ALD membranes. These improvements are mainly due to the substantially perfect surface saturation potentially achievable through ALD processes, especially at thickness regimes of interest (about 5-10 nm).

막 스택 두께에서의 웨이퍼 내 불균일성 (WiW NU) 을 측정하는 다른 방법은 스택으로부터 실리콘 기판속으로 (예컨대, 스파이크 어닐에 의해) 도펀트를 드라이빙하고 실리콘 기판의 결과적인 시트 저항 (Rs) 을 측정하는 것이다. 기판속으로 드라이빙되는데 이용가능한 도펀트의 양은 스택 두께와 상관되기 때문에, 균일한 시트 저항은 균일한 스택 두께를 나타낸다. 또한, 어닐 이후의 도펀트 농도의 WiW 균일성은 명백하게 디바이스 제작시 중요한 고려사항이다. 표 1은 CVD 방법론을 이용하여 성막된 보론 함유 스택 (CVD-B) 및 ALD 방법론을 이용하여 성막된 보론 함유 스택 (ALD-B) 에 대응하는 스택 성막 및 스파이크 어닐 이후의 실리콘 기판의 평균 시트 저항 (평균 Rs) 및 퍼센트 표준 편차 (% Std Dev) 를 열거한다. 표 1은, 특히 반도체 제작시 통상적으로 채용되는 원하는 도펀트 드라이브 값들 내에서, 즉 100 - 5000 ohm/sq 에서, ALD 막이 CVD 막에 비해 시트 저항에 대해 급격하게 개선된 WiW NU 를 발휘하는 것을 나타낸다. ALD 를 이용한 경우, 평균 시트 저항의 웨이퍼 내 변화는, CVD 를 이용한 경우 표준 편차가 거의 195% 인 것과 대조적으로, 4% 미만의 표준 편차 아래로 달성될 수 있다. Another method of measuring in-wafer non-uniformity (WiW NU) at film stack thickness is to drive the dopant from the stack into the silicon substrate (e.g., by spike annealing) and to measure the resulting sheet resistance (R s ) of the silicon substrate. will be. Since the amount of dopant available for driving into the substrate correlates with the stack thickness, uniform sheet resistance indicates uniform stack thickness. In addition, WiW uniformity of dopant concentration after annealing is clearly an important consideration in device fabrication. Table 1 shows the average sheet resistance of the silicon substrate after stack deposition and spike annealing corresponding to boron-containing stacks (CVD-B) deposited using CVD methodology and boron-containing stacks (ALD-B) deposited using ALD methodology. (Mean R s ) and percent standard deviation (% Std Dev) are listed. Table 1 shows that the ALD film exhibits a sharply improved WiW NU for sheet resistance compared to the CVD film, particularly within the desired dopant drive values typically employed in semiconductor fabrication, ie 100-5000 ohm/sq. With ALD, in-wafer variation of average sheet resistance can be achieved below a standard deviation of less than 4%, as compared to a standard deviation of almost 195% when using CVD.

Figure 112013044387587-pat00002
Figure 112013044387587-pat00002

표 2는, 도펀트 농도를 조정 및 제어하기 위해서 전술된 다양한 스택 전략들이 이용될 수도 있는 범위를 나타낸다. 이 특정 예에서, 보론 농도는 ALD B2O3 및 CFD 실리콘 산화물의 대략 100 Å 두께의 컨포멀 스택들에서 측정되었다. Table 2 shows the ranges in which the various stack strategies described above may be used to adjust and control the dopant concentration. In this particular example, boron concentration was measured in conformal stacks approximately 100 mm thick of ALD B 2 O 3 and CFD silicon oxide.

Figure 112013044387587-pat00003
Figure 112013044387587-pat00003

이로인해, 상이한 스택 전략들을 채용함으로써, 도펀트 농도가 두자릿 수에 걸친 레짐에서 효과적으로 제어될 수도 있다. 스택 전략들 및 스택 엔지니어링, 그리고 캡핑 층 화학물질의 엔지니어링의 조절도 또한 시트 저항 및 그 대응하는 WiW NU 의 정도를 조정 및 제어하기 위해서 이용될 수도 있다. 예컨대, 표 3은 ALD 도펀트 소스 층 대 CFD 산화물 층 성막의 비 및 시퀀스를 조절하는 효과를 나타낸다. 구체적으로, 표 3은 성막된 그대로의 ALD 막들의 두께 불균일성 (Thx NU) 및 시트 저항 WiW 불균일성 (Rs (드라이브) NU) 에 대한 층 비 및 시퀀스의 조절 효과를 나타낸다. 최저 시트 저항 WiW NU 를 갖는 구성에 대응하는 표에서 "2:2" 비는, 2개의 B2O3 층들이 성막된 이후 2개의 SiO2 층들 등이 성막되었다는 것을 의미함에 유의한다. 이 ALD 막 스택들은 대략 200 ℃ 에서 성막되었고, 이것은 예를 들면, 400 ℃ 와 같은 더 높은 온도에서 성막되는 ALD 막 스택들과 비교하여 일관되게 더 낮은 Rs NU 들을 초래하고 있음에 유의한다. Due to this, by employing different stack strategies, the dopant concentration may be effectively controlled in a regime over a two-digit number. Stack strategies and stack engineering, as well as adjustment of the capping layer chemical engineering, may also be used to adjust and control the sheet resistance and the corresponding degree of WiW NU. For example, Table 3 shows the effect of controlling the ratio and sequence of ALD dopant source layer to CFD oxide layer deposition. Specifically, Table 3 shows the effect of controlling the layer ratio and sequence on the thickness non-uniformity (Thx NU) and sheet resistance WiW non-uniformity (R s (drive) NU) of the ALD films as they are deposited. Note that the "2:2" ratio in the table corresponding to the configuration with the lowest sheet resistance WiW NU means that two SiO 2 layers, etc. were deposited after the two B 2 O 3 layers were deposited. Note that these ALD film stacks were deposited at approximately 200°C, which resulted in consistently lower R s NUs compared to ALD film stacks deposited at higher temperatures, such as 400°C.

Figure 112013044387587-pat00004
Figure 112013044387587-pat00004

표 4는 캡핑 층 화학물질을 변경함으로써, WiW NU 가 41% 에서 21% 로 개선될 수도 있음을 나타낸다.Table 4 shows that by changing the capping layer chemistry, WiW NU may be improved from 41% to 21%.

Figure 112013044387587-pat00005
Figure 112013044387587-pat00005

특별한 이론에 한정되지 않고, 적합한 캡핑 층을 성막하는 것에 의해 도펀트 소스의 역확산 (back-diffusion) (즉, 막-기판 계면으로부터 멀리 도펀트의 확산) 이 캡핑 층에 의해 차단되어, 스택에서의 도펀트의 무한 저장소를 효과적으로 시뮬레이션할 수도 있음이 가정된다. 도 8은 실리콘 기판 상에 성막된 교번하는 ALD 도펀트 소스 및 산화물 층들을 패턴 위에 성막된 캡핑 층을 갖는 컨포멀 막 스택을 개략적으로 예시한다.Without being limited to a particular theory, back-diffusion of the dopant source (i.e., diffusion of the dopant away from the membrane-substrate interface) is blocked by the capping layer by depositing a suitable capping layer, so that the dopant in the stack It is assumed that it is possible to effectively simulate the infinite storage of. 8 schematically illustrates a conformal film stack with alternating ALD dopant source and oxide layers deposited on a silicon substrate with a capping layer deposited over the pattern.

스파이크 어닐을 통한 컨포멀 막 스택으로부터의 전달 이후 하부 기판에서의 도펀트 균일성은 도 9 및 도 10에 도시된 보론 농도 프로파일들에 의해 예시된다. 양 도면들은 기판의 센터 및 에지 모두에서 측정된 기판 깊이의 함수로서 하부 실리콘 기판에서의 보론 농도를 플로팅한다. 도 9는 (WiW NU 가 13.2% 인) 표 3의 2:1 비 스택을 갖는 기판을 도핑한 결과들을 표시한다. 도면에서, 깊이의 함수로서 센터와 에지 도펀트 농도 프로파일들 사이의 일부 약간의 차이가 명확하게 보여진다. 도 10 은 (WiW NU 가 5.3% 인) 표 3의 2:2 비 스택을 갖는 기판을 도핑한 결과들을 표시한다. 도면에서 도시된 바와 같이, 2:2 스택의 경우, 보론 농도 프로파일들은 대략 200 Å 의 깊이까지 거의 오버랩된다. 양 도면들은 기판 표면 근처에서 1E22 atoms/cm3 정도의 고농도 보론을 나타내며, 이것은 컨포멀 막 스택들로부터의 양호한 전달을 나타낸다. 총 보론 도우즈는 8.4E14 atoms/cm2 내지 4E15 atoms/cm2 의 범위이다.The dopant uniformity in the underlying substrate after delivery from the conformal film stack through spike annealing is illustrated by the boron concentration profiles shown in FIGS. 9 and 10. Both figures plot boron concentration in the underlying silicon substrate as a function of substrate depth measured at both the center and edge of the substrate. 9 shows the results of doping a substrate with a 2:1 ratio stack of Table 3 (WiW NU is 13.2%). In the figure, some slight differences between center and edge dopant concentration profiles as a function of depth are clearly seen. 10 shows the results of doping a substrate with a 2:2 ratio stack of Table 3 (where WiW NU is 5.3%). As shown in the figure, for a 2:2 stack, boron concentration profiles nearly overlap to a depth of approximately 200 mm 2. Both figures show a high concentration boron of around 1E22 atoms/cm 3 near the substrate surface, which shows good transfer from conformal film stacks. The total boron dose is in the range of 8.4E14 atoms/cm 2 to 4E15 atoms/cm 2 .

상기에서 논의된 바와 같이, 비소 또는 인 계의 도펀트 소스가 또한 하부 반도체 기판을 도핑하기 위해 컨포멀 막 스택에 사용될 수도 있다. 예를 들면, 도 11(a)는 비소 실리케이트 유리 (ASG, arsenic silicate glass) 계 도펀트 층을 갖는 컨포멀 스택을 이용하여 하부 반도체 기판을 도핑하기 위한 동작들의 시퀀스의 개략도이다. 이 특정 실시형태에서, ASG 계 컨포멀 스택은, 먼저 ALD 프로세스를 통해 ASG 컨포멀 막을 성막하고, 이후 CFD 프로세스를 통해 비도핑 실리케이트 유리 (USG, undoped silicate glass) 캡핑 층을 성막함으로써 형성된다. 실시형태에 따라, 다양한 비소 또는 인 계 컨포멀 막들이, 예를 들면, 본 명세서에 개시된 다양한 종류의 캡핑 층들과 같은 다양한 종류의 캡핑 층들과 조합될 수도 있음에 유의한다. 또한, 도 11(a)에 개략적으로 나타낸 것과 유사한 테스트 비히클들이 인 실리케이트 유리 (PSG) 또는 보론 실리케이트 유리 (BSG) 를 이용하여 채용될 수도 있음에 유의한다.As discussed above, an arsenic or phosphorus-based dopant source may also be used in the conformal film stack to dope the underlying semiconductor substrate. For example, FIG. 11(a) is a schematic diagram of a sequence of operations for doping a lower semiconductor substrate using a conformal stack having an arsenic silicate glass (ASG) based dopant layer. In this particular embodiment, the ASG-based conformal stack is formed by first depositing an ASG conformal film through an ALD process, and then depositing an undoped silicate glass (USG) capping layer through a CFD process. Note that, depending on the embodiment, various arsenic or phosphorus-based conformal films may be combined with various types of capping layers, such as various types of capping layers disclosed herein. It is also noted that test vehicles similar to those schematically shown in FIG. 11(a) may be employed using phosphorus silicate glass (PSG) or boron silicate glass (BSG).

적소의 스택으로, 열적 어닐이 비소 도펀트를 하부 기판속으로 드라이빙하기 위해 수행된다. 도 11(b)은 개략적으로 어닐 온도 프로파일을 나타낸다. 몇몇 실시형태들에서, 어닐을 위한 온도 범위들은 800 ℃ 와 1100 ℃ 사이 또는 그 정도, 또는 약 850 ℃ 와 1000 ℃ 사이 또는 그 정도, 또는 약 875 ℃ 와 925 ℃ 사이 또는 그 정도일 수도 있다. 몇몇 실시형태들에서, 어닐 온도 및 어닐 시간 (즉, 어닐 온도를 유지하기 위한 기간) 은 하부 기판에서의 특정 타켓 시트 저항을 달성하기 위해서 선택될 수도 있다. 몇몇 실시형태들에서, 대략 600 - 2000 ohm/sq 의 시트 저항을 달성하기 위해서 대략 900 ℃ 의 어닐 온도 및 5 초 미만의 어닐 시간이 선택될 수도 있다. 도 11(b)는 개략적으로, 어닐 과정에 거쳐서, 온도가 어닐의 온도 프로파일 특징을 정의하는 일부 지속 기간에 대해 최대 온도까지 상방으로 (그리고 최대 온도로부터 하방으로) 램프 (ramp) 된다. 다시, 몇몇 실시형태들에서, 이 온도 프로파일이 하부 기판에서 소정의 시트 저항을 도출해내기 위해서 선택될 수도 있다.With the stack in place, thermal annealing is performed to drive the arsenic dopant into the underlying substrate. 11(b) schematically shows the annealing temperature profile. In some embodiments, the temperature ranges for annealing may be between 800° C. and 1100° C. or higher, or between about 850° C. and 1000° C. or higher, or between about 875° C. and 925° C. or higher. In some embodiments, the annealing temperature and the annealing time (ie, the period for maintaining the annealing temperature) may be selected to achieve a specific target sheet resistance at the lower substrate. In some embodiments, an annealing temperature of approximately 900° C. and an annealing time of less than 5 seconds may be selected to achieve a sheet resistance of approximately 600-2000 ohm/sq. 11(b) schematically, through an annealing process, the temperature is ramped upwards (and downwards from the maximum temperature) to a maximum temperature for some duration defining the temperature profile characteristics of the annealing. Again, in some embodiments, this temperature profile may be selected to derive the desired sheet resistance at the lower substrate.

어닐이 컨포멀 스택으로부터 기판속으로 도펀트의 전달을 초래한 후에, 몇몇 실시형태들에서, 스택은 스트립핑 동작을 통해 제거된다. 몇몇 실시형태들에서, 도 11(a)에 나타낸 바와 같이, 스트립핑 동작은 묽은 플루오르화 수소산 (HF) 을 이용한 컨포멀 스택의 처리를 포함한다. After annealing results in the transfer of dopant from the conformal stack into the substrate, in some embodiments, the stack is removed through a stripping operation. In some embodiments, as shown in FIG. 11(a), the stripping operation involves treatment of a conformal stack with dilute hydrofluoric acid (HF).

다른 실시형태들Other embodiments

이 베이스라인 프로세스에 대한 다수의 변형들이 실현될 수도 있다. 이 변형들의 몇몇은 그 목표로서 인접하는 반도체 구조속으로의 확산에 이용가능한 도펀트의 양의 증가를 갖는다. 다른 변형들은 도펀트가 소스 막으로부터 근방의 반도체 구조속으로 전달되는 유량을 제어하도록 설계된다. 또 다른 변형들은 도펀트 종들이 확산하는 방향을 제어한다. 빈번하게는, 도펀트를 디바이스 구조쪽으로 그리고 막의 반대측으로부터 멀리 확산시키는 것을 돕는 것이 바람직하다. 소정의 변형들은, 이전에 참조에 의해 원용된 미국 특허 출원 번호 13/242,084 에 기재되어 있다. Multiple variations of this baseline process may be realized. Some of these variants have an increase in the amount of dopant available for diffusion into adjacent semiconductor structures as the goal. Other variations are designed to control the flow rate of the dopant delivered from the source film into the nearby semiconductor structure. Other variations control the direction in which the dopant species diffuse. Frequently, it is desirable to help diffuse the dopant towards the device structure and away from the opposite side of the membrane. Certain variations are described in U.S. Patent Application No. 13/242,084, previously incorporated by reference.

또한, 본 명세서에 기재된 구성들 및/또는 접근법들은 성질상 예시적인 것이고, 이 특정 실시형태들 또는 예들은, 다양한 변형들이 가능하기 때문에 한정적인 의미로 고려되지 않아야 함을 이해해야 한다. 본 명세서에 기재된 구체적인 루틴들 또는 방법들은 임의의 개수의 프로세싱 전략들 중 하나 이상을 나타낼 수도 있다. 그래서, 예시된 다양한 행위들은, 예시된 시퀀스로, 다른 시퀀스들로, 병행하여 수행될 수도 있거나, 또는 몇몇 경우들에서는 생략될 수도 있다. 마찬가지로, 전술한 프로세스들의 순서는 변화될 수도 있다.
In addition, it should be understood that the configurations and/or approaches described herein are exemplary in nature, and that these particular embodiments or examples should not be considered in a limiting sense because various modifications are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. Thus, the various acts illustrated may be performed in parallel, in other sequences, in the illustrated sequence, or may be omitted in some cases. Likewise, the order of the above-described processes may be changed.

Claims (47)

반응 챔버에서 패턴화된 기판을 도핑하는 방법으로서,
3차원 피쳐들을 갖는 패턴화된 기판의 표면 상에 직접적으로 또는 상기 표면에 접착된 재료 상에, 보론인 도펀트를 포함하는 도펀트 소스를 포함하는 제 1 컨포멀 막 층을 형성하는 단계로서,
(a) 알킬 보레이트인 도펀트 전구체를 상기 반응 챔버 내로 도입하는 단계;
(b) 상기 도펀트 전구체가 흡착 제한 층 (adsorption-limited layer) 을 형성하는 조건들 하에서 상기 표면 상에 직접적으로 또는 상기 표면에 접착된 재료 상에 상기 도펀트 전구체를 흡착시키는 단계; 및
(c) 상기 도펀트 소스를 형성하기 위하여 흡착된 상기 도펀트 전구체를 반응시키는 단계를 포함하는, 상기 제 1 컨포멀 막 층을 형성하는 단계; 및
상기 제 1 컨포멀 막 층을 형성한 후, 상기 도펀트 소스를 포함하는 제 2 컨포멀 막 층을 형성하는 단계로서, 상기 단계 (a) 내지 상기 단계 (c) 를 포함하는 상기 제 2 컨포멀 막 층을 형성하는 단계;
상기 제 2 컨포멀 막 층을 형성한 후, 유전체 재료를 포함하는 제 3 컨포멀 막 층을 형성하는 단계로서,
(i) 상기 반응 챔버 내로 유전체 전구체를 도입하는 단계;
(ii) 흡착된 유전체 전구체를 포함하는 흡착 제한 층을 형성하는 조건들 하에서 상기 패턴화된 기판에 접착된 재료 상으로 상기 유전체 전구체를 흡착시키는 단계; 및
(iii) 상기 유전체 재료를 형성하도록 상기 흡착된 유전체 전구체를 반응시키는 단계를 포함하는, 상기 제 3 컨포멀 막 층을 형성하는 단계;
상기 제 3 컨포멀 막 층을 형성한 후, 유전체 재료를 포함하는 제 4 컨포멀 막 층을 형성하는 단계로서, 상기 단계 (i) 내지 상기 단계 (iii) 를 포함하는, 상기 제 4 컨포멀 막 층을 형성하는 단계;
상기 제 4 컨포멀 막 층을 형성한 후, 상기 도펀트 소스를 포함하는 제 5 컨포멀 막 층을 형성하는 단계로서, 상기 단계 (a) 내지 상기 단계 (c) 를 포함하는 상기 제 5 컨포멀 막 층을 형성하는 단계;
상기 제 5 컨포멀 막 층을 형성한 후, 상기 도펀트 소스를 포함하는 제 6 컨포멀 막 층을 형성하는 단계로서, 상기 단계 (a) 내지 상기 단계 (c) 를 포함하는 상기 제 6 컨포멀 막 층을 형성하는 단계; 및
상기 패턴화된 기판에 컨포멀 도핑 프로파일 (conformal doping profile) 을 형성하도록 상기 제 1 컨포멀 막 층, 상기 제 2 컨포멀 막 층, 상기 제 5 컨포멀 막 층 및 제 6 컨포멀 막 층으로부터 상기 패턴화된 기판 내로 상기 도펀트의 일부를 드라이빙하는 단계를 포함하는, 패턴화된 기판을 도핑하는 방법.
A method of doping a patterned substrate in a reaction chamber,
Forming a first conformal film layer comprising a dopant source comprising a dopant that is boron, either directly on a surface of a patterned substrate having three-dimensional features or on a material adhered to the surface,
(a) introducing a dopant precursor that is an alkyl borate into the reaction chamber;
(b) adsorbing the dopant precursor directly on the surface or on a material adhered to the surface under conditions where the dopant precursor forms an adsorption-limited layer; And
(c) forming the first conformal film layer, comprising reacting the adsorbed dopant precursor to form the dopant source; And
After forming the first conformal film layer, forming a second conformal film layer including the dopant source, the second conformal film comprising the steps (a) to (c) Forming a layer;
After forming the second conformal film layer, forming a third conformal film layer comprising a dielectric material,
(i) introducing a dielectric precursor into the reaction chamber;
(ii) adsorbing the dielectric precursor onto a material adhered to the patterned substrate under conditions to form an adsorption limiting layer comprising the adsorbed dielectric precursor; And
(iii) forming the third conformal film layer, comprising reacting the adsorbed dielectric precursor to form the dielectric material;
After forming the third conformal film layer, forming a fourth conformal film layer comprising a dielectric material, comprising the steps (i) to (iii), the fourth conformal film Forming a layer;
After forming the fourth conformal film layer, forming a fifth conformal film layer including the dopant source, the fifth conformal film comprising the steps (a) to (c) Forming a layer;
After forming the fifth conformal film layer, forming a sixth conformal film layer including the dopant source, the sixth conformal film comprising the steps (a) to (c) Forming a layer; And
From the first conformal film layer, the second conformal film layer, the fifth conformal film layer and the sixth conformal film layer to form a conformal doping profile on the patterned substrate A method of doping a patterned substrate, comprising driving a portion of the dopant into a patterned substrate.
제 1 항에 있어서,
상기 제 1 컨포멀 막 층, 상기 제 2 컨포멀 막 층, 상기 제 5 컨포멀 막 층 및 제 6 컨포멀 막 층을 형성하는 단계는 원자층 성막 (atomic layer deposition) 을 포함하는, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
The step of forming the first conformal film layer, the second conformal film layer, the fifth conformal film layer, and the sixth conformal film layer includes atomic layer deposition. Method of doping a substrate.
제 2 항에 있어서,
상기 제 1 컨포멀 막 층, 상기 제 2 컨포멀 막 층, 상기 제 5 컨포멀 막 층 및 제 6 컨포멀 막 층을 형성하는 단계는: (d) 상기 반응 챔버로부터 비흡착된 도펀트 전구체를 제거하는 단계를 더 포함하는, 패턴화된 기판을 도핑하는 방법.
According to claim 2,
The step of forming the first conformal film layer, the second conformal film layer, the fifth conformal film layer and the sixth conformal film layer is: (d) removing the non-adsorbed dopant precursor from the reaction chamber And further comprising the step of doping.
제 3 항에 있어서,
상기 단계 (d) 는 상기 단계 (a) 후에 그러나 상기 단계 (c) 전에 일어나는, 패턴화된 기판을 도핑하는 방법.
The method of claim 3,
Wherein step (d) occurs after step (a) but before step (c).
제 4 항에 있어서,
상기 단계 (d) 는, 진공 펌프를 사용하여 베이스 압력으로 상기 반응 챔버를 펌핑하는 것에 의해 상기 반응 챔버로부터 비흡착된 도펀트 전구체를 펌핑하는 단계를 포함하는, 패턴화된 기판을 도핑하는 방법.
The method of claim 4,
The step (d) includes pumping a non-adsorbed dopant precursor from the reaction chamber by pumping the reaction chamber to a base pressure using a vacuum pump.
제 4 항에 있어서,
상기 단계 (d) 는 비활성 가스로 상기 반응 챔버를 퍼징하는 단계를 포함하는, 패턴화된 기판을 도핑하는 방법.
The method of claim 4,
The step (d) comprises purging the reaction chamber with an inert gas, the method of doping a patterned substrate.
제 1 항에 있어서,
상기 단계 (c) 는 플라즈마를 사용한 활성화를 포함하는, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
The step (c) is a method of doping a patterned substrate comprising activation using plasma.
제 7 항에 있어서,
상기 플라즈마는 산화성 플라즈마인, 패턴화된 기판을 도핑하는 방법.
The method of claim 7,
Wherein the plasma is an oxidative plasma.
제 7 항에 있어서,
상기 플라즈마는 비활성 플라즈마인, 패턴화된 기판을 도핑하는 방법.
The method of claim 7,
Wherein the plasma is an inert plasma.
제 1 항에 있어서,
상기 제 1 컨포멀 막 층, 상기 제 2 컨포멀 막 층, 상기 제 5 컨포멀 막 층 및 제 6 컨포멀 막 층으로부터 상기 도펀트의 일부를 드라이빙하는 것은 열 매개 확산 프로세스를 포함하는, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
Driving a portion of the dopant from the first conformal film layer, the second conformal film layer, the fifth conformal film layer, and the sixth conformal film layer comprises a heat mediated diffusion process. Method of doping a substrate.
제 10 항에 있어서,
상기 열 매개 확산 프로세스는 어닐링을 수반하는, 패턴화된 기판을 도핑하는 방법.
The method of claim 10,
The method of doping a patterned substrate, wherein the thermally mediated diffusion process involves annealing.
제 11 항에 있어서,
상기 어닐링은 레이저 스파이크 어닐링 (laser spike annealing) 인, 패턴화된 기판을 도핑하는 방법.
The method of claim 11,
The annealing is laser spike annealing, a method of doping a patterned substrate.
제 1 항에 있어서,
상기 알킬 보레이트는 트리메틸 보레이트인, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
Wherein the alkyl borate is trimethyl borate.
제 1 항에 있어서,
상기 도펀트 소스는 보론 산화물 또는 보론 옥시하이드라이드인, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
Wherein the dopant source is boron oxide or boron oxyhydride.
제 14 항에 있어서,
상기 보론 산화물은 B2O3인, 패턴화된 기판을 도핑하는 방법.
The method of claim 14,
The boron oxide is B 2 O 3 , a method of doping a patterned substrate.
제 1 항에 있어서,
상기 제 2 컨포멀 막 층의 상기 유전체 재료는 SiO2인, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
The method of doping a patterned substrate, wherein the dielectric material of the second conformal film layer is SiO 2 .
제 1 항에 있어서,
상기 제 6 컨포멀 막 층을 형성한 후, 상기 유전체 재료를 함유하는 제 7 컨포멀 막 층을 형성하는 단계로서, 상기 단계 (i) 내지 상기 단계 (iii) 를 포함하는, 상기 제 7 컨포멀 막 층을 형성하는 단계;
상기 제 7 컨포멀 막 층을 형성한 후, 유전체 재료를 포함하는 제 8 컨포멀 막 층을 형성하는 단계로서, 상기 단계 (i) 내지 상기 단계 (iii) 를 포함하는, 상기 제 8 컨포멀 막 층을 형성하는 단계;
상기 제 8 컨포멀 막 층을 형성한 후, 상기 도펀트 소스를 포함하는 제 9 컨포멀 막 층을 형성하는 단계로서, 상기 단계 (a) 내지 상기 단계 (c) 를 포함하는 상기 제 9 컨포멀 막 층을 형성하는 단계;
상기 제 9 컨포멀 막 층을 형성한 후, 상기 도펀트 소스를 포함하는 제 10 컨포멀 막 층을 형성하는 단계로서, 상기 단계 (a) 내지 상기 단계 (c) 를 포함하는 상기 제 10 컨포멀 막 층을 형성하는 단계; 및
상기 패턴화된 기판에 컨포멀 도핑 프로파일을 형성하도록 상기 제 5 컨포멀 막 층으로부터 상기 패턴화된 기판 내로 상기 도펀트의 일부를 드라이빙하는 단계를 더 포함하는, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
After forming the sixth conformal film layer, forming a seventh conformal film layer containing the dielectric material, the step (i) to the step (iii) comprising the seventh conformal film Forming a film layer;
After forming the seventh conformal film layer, forming an eighth conformal film layer comprising a dielectric material, the step (i) to the step (iii) comprising the eighth conformal film Forming a layer;
After forming the eighth conformal film layer, forming a ninth conformal film layer including the dopant source, the ninth conformal film comprising the steps (a) to (c) Forming a layer;
After forming the ninth conformal film layer, forming a tenth conformal film layer including the dopant source, the tenth conformal film comprising steps (a) to (c) Forming a layer; And
And driving a portion of the dopant from the fifth conformal film layer into the patterned substrate to form a conformal doping profile on the patterned substrate.
제 1 항에 있어서,
캡핑 층인 제 7 컨포멀 막 층을 형성하는 단계를 더 포함하고, 상기 캡핑 층은 상기 패턴화된 기판에 대해 상기 제 1 컨포멀 막 층 내지 상기 제 6 컨포멀 막 층의 최외각 막 층인, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
And forming a seventh conformal film layer that is a capping layer, the capping layer being the outermost film layer of the first to sixth conformal film layers to the patterned substrate. To doped substrate.
제 18 항에 있어서,
상기 캡핑 층은 SiO2를 포함하는, 패턴화된 기판을 도핑하는 방법.
The method of claim 18,
The method for doping a patterned substrate, wherein the capping layer comprises SiO 2 .
제 1 항에 있어서,
상기 단계 (c) 는 상기 흡착된 도펀트 전구체를 산화제에 노출하는 것을 포함하는, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
The step (c) comprises exposing the adsorbed dopant precursor to an oxidizing agent, the method of doping a patterned substrate.
제 20 항에 있어서,
상기 산화제는 원소 산소, 아산화질소, 물, 알킬 알코올, 일산화 탄소 또는 이산화 탄소인, 패턴화된 기판을 도핑하는 방법.
The method of claim 20,
The method of doping a patterned substrate, wherein the oxidizing agent is elemental oxygen, nitrous oxide, water, alkyl alcohol, carbon monoxide or carbon dioxide.
제 1 항에 있어서,
상기 단계 (iii) 는 플라즈마를 사용한 활성화를 포함하는, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
The step (iii) is a method of doping a patterned substrate comprising activation using plasma.
제 1 항에 있어서,
상기 유전체 전구체는
알킬아미노 실란 (SiHx(NR2)4-x) (식중에서 x = 1 내지 3이고, R은 알킬기를 포함한다) 및 할로실란 (SiHxY4-x) (식중에서 x = 1 내지 3이고, Y는 Cl, Br, 및 I를 포함한다) 으로 이루어지는 군으로부터 선택되는, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
The dielectric precursor
Alkylamino silane (SiH x (NR 2 ) 4-x ) (where x = 1 to 3, R includes an alkyl group) and halosilane (SiH x Y 4-x ) (where x = 1 to 3 And Y is selected from the group consisting of Cl, Br, and I).
제 1 항에 있어서,
상기 유전체 전구체는 BTBAS인, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
The dielectric precursor is BTBAS, a method of doping a patterned substrate.
제 1 항에 있어서,
상기 제 1 컨포멀 막 층 내지 상기 제 6 컨포멀 막 층의 각각은 단층 (monolayer) 인, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
A method of doping a patterned substrate, wherein each of the first conformal film layer to the sixth conformal film layer is a monolayer.
제 1 항에 있어서,
상기 제 1 컨포멀 막 층 내지 상기 제 6 컨포멀 막 층의 각각의 평균 두께는 0.1 과 2 옹스트롬 사이인, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
A method of doping a patterned substrate, wherein the average thickness of each of the first conformal film layer to the sixth conformal film layer is between 0.1 and 2 Angstroms.
제 1 항에 있어서,
상기 3차원 피쳐들의 적어도 하나는 40 나노미터 이하의 폭을 갖는, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
A method of doping a patterned substrate, wherein at least one of the three-dimensional features has a width of 40 nanometers or less.
제 1 항에 있어서,
상기 제 1 컨포멀 막 층 내지 상기 제 6 컨포멀 막 층 상의 도펀트의 평균 농도는 0.01 과 10 중량% 사이인, 패턴화된 기판을 도핑하는 방법.
According to claim 1,
A method of doping a patterned substrate, wherein the average concentration of dopants on the first conformal film layer to the sixth conformal film layer is between 0.01 and 10% by weight.
반응 챔버에서 패턴화된 기판을 도핑하는 방법으로서,
3차원 피쳐들을 갖는 상기 패턴화된 기판의 표면 상에 직접적으로 또는 상기 표면에 접착된 재료 상에, 도펀트를 포함하는 도펀트 소스 및 유전체를 포함하는 합금을 형성하는 단계로서,
도펀트 전구체 및 유전체 전구체를 상기 반응 챔버 내로 도입하고, 상기 도펀트 전구체 및 상기 유전체 전구체가 흡착된 도펀트 전구체 및 흡착된 유전체 전구체를 포함하는 흡착 제한 층 (adsorption-limited layer) 을 형성하는 조건들 하에서 상기 패턴화된 기판 상에 또는 상기 패턴화된 기판에 접착된 재료 상에 상기 도펀트 전구체 및 상기 유전체 전구체를 공동 흡착시키는 단계, 및
상기 도펀트 소스 및 상기 도펀트 소스를 갖는 합금을 형성하기 위하여 상기 흡착된 도펀트 전구체 및 상기 흡착된 유전체 전구체를 반응시키는 단계를 포함하는, 상기 합금을 형성하는 단계; 및
상기 패턴화된 기판에 컨포멀 도핑 프로파일을 형성하도록 상기 합금으로부터 상기 패턴화된 기판 내로 상기 도펀트의 일부를 드라이빙하는 단계를 포함하는, 패턴화된 기판을 도핑하는 방법.
A method of doping a patterned substrate in a reaction chamber,
Forming an alloy comprising a dopant source comprising a dopant and a dielectric, either directly on the surface of the patterned substrate having three-dimensional features or on a material adhered to the surface,
The pattern under conditions that introduce a dopant precursor and a dielectric precursor into the reaction chamber and form an adsorption-limited layer comprising the dopant precursor and the adsorbed dielectric precursor adsorbed by the dopant precursor and the dielectric precursor Co-adsorbing the dopant precursor and the dielectric precursor on a substrate or on a material adhered to the patterned substrate, and
Forming the alloy, comprising reacting the adsorbed dopant precursor and the adsorbed dielectric precursor to form the dopant source and the alloy having the dopant source; And
And driving a portion of the dopant from the alloy into the patterned substrate to form a conformal doping profile on the patterned substrate.
제 29 항에 있어서,
상기 합금을 형성하는 단계는, 제 3 반응물을 상기 반응 챔버 내로 도입하고 상기 제 3 반응물을 상기 흡착된 유전체 전구체와 반응시키는 단계를 더 포함하는, 패턴화된 기판을 도핑하는 방법.
The method of claim 29,
Forming the alloy further comprises introducing a third reactant into the reaction chamber and reacting the third reactant with the adsorbed dielectric precursor.
제 30 항에 있어서,
상기 제 3 반응물은 산화제인, 패턴화된 기판을 도핑하는 방법.
The method of claim 30,
The third reactant is an oxidant, a method of doping a patterned substrate.
제 31 항에 있어서,
상기 제 3 반응물을 상기 흡착된 유전체 전구체와 반응시키는 단계는 플라즈마를 사용한 활성화를 더 포함하는, 패턴화된 기판을 도핑하는 방법.
The method of claim 31,
The step of reacting the third reactant with the adsorbed dielectric precursor further comprises activation using plasma, a method of doping a patterned substrate.
기판을 도핑하기 위한 장치로서,
반응 챔버;
상기 반응 챔버 내의 기판 홀더;
상기 반응 챔버에 연결된 하나 이상의 도펀트 전구체 가스 입구들;
하나 이상의 진공 펌프들;
상기 반응 챔버를 상기 하나 이상의 진공 펌프들에 연결시키는 하나 이상의 가스 출구들; 및
머신 판독가능 코드를 갖는 제어기를 포함하고, 상기 머신 판독가능 코드는:
기판이 상기 기판 홀더 상에 존재하는 것을 결정하기 위한 명령들 (instructions);
제 1 컨포멀 막 층의 형성을 유발하는 명령들로서,
(a) 흡착된 도펀트 전구체를 형성하기 위해 도펀트 전구체가 상기 기판 상에 또는 상기 기판에 접착된 재료 상에 흡착되도록 상기 반응 챔버 내로 상기 도펀트 전구체를 도입하기 위하여 상기 하나 이상의 도펀트 전구체 가스 입구들을 동작시키기 위한 명령들;
(b) 상기 반응 챔버로부터 비흡착된 도펀트 전구체를 배출 (evacuate) 시키기 위하여 상기 하나 이상의 가스 출구들을 동작시키기 위한 명령들; 및
(c) 도펀트 소스를 함유하는 막 층을 형성하기 위하여 상기 흡착된 도펀트 전구체를 반응시키기 위한 명령들을 포함하는, 상기 제 1 컨포멀 막 층의 형성을 유발하는 명령들;
상기 제 1 컨포멀 막 층을 형성하기 위한 명령들을 실행한 후 실행될 제 2 컨포멀 막 층의 형성을 유발하기 위한 명령들로서, 상기 명령 (a) 내지 상기 명령 (c) 를 포함하는, 상기 제 2 컨포멀 막 층의 형성을 유발하기 위한 명령들;
상기 제 2 컨포멀 막 층을 형성하기 위한 명령들 후에 실행될 제 3 컨포멀 막 층의 형성을 유발하기 위한 명령들로서,
(i) 흡착된 유전체 전구체를 형성하기 위해 유전체 전구체가 상기 기판에 접착된 재료 상에 흡착되도록 상기 반응 챔버 내로 상기 유전체 전구체를 도입하기 위해 상기 하나 이상의 도펀트 전구체 가스 입구들의 동작을 유발하기 위한 명령들;
(ii) 상기 반응 챔버로부터 비흡착 유전체 전구체를 배출하도록 상기 하나 이상의 가스 출구들의 동작을 유발하기 위한 명령들; 및
(iii) 유전체 재료를 함유하는 막 층을 형성하도록 상기 흡착된 유전체 전구체의 반응을 유발하기 위한 명령들을 포함하는, 상기 제 3 컨포멀 막 층의 형성을 유발하기 위한 명령들; 및
상기 제 3 컨포멀 막 층을 형성하기 위한 명령들 후에 실행될 제 4 컨포멀 막 층의 형성을 유발하기 위한 명령들로서, 상기 명령 (i) 내지 상기 명령 (iii) 를 포함하는, 상기 제 4 컨포멀 막 층의 형성을 유발하기 위한 명령들을 포함하는, 기판을 도핑하기 위한 장치.
An apparatus for doping a substrate,
Reaction chamber;
A substrate holder in the reaction chamber;
One or more dopant precursor gas inlets connected to the reaction chamber;
One or more vacuum pumps;
One or more gas outlets connecting the reaction chamber to the one or more vacuum pumps; And
A controller having machine readable code, the machine readable code comprising:
Instructions for determining that a substrate is present on the substrate holder;
Instructions causing the formation of a first conformal film layer,
(a) operating the one or more dopant precursor gas inlets to introduce the dopant precursor into the reaction chamber such that the dopant precursor is adsorbed onto the substrate or on a material adhered to the substrate to form an adsorbed dopant precursor. Commands for;
(b) instructions for operating the one or more gas outlets to evacuate a non-adsorbed dopant precursor from the reaction chamber; And
(c) instructions for causing the formation of the first conformal film layer, including instructions for reacting the adsorbed dopant precursor to form a film layer containing a dopant source;
Instructions for causing the formation of a second conformal film layer to be executed after executing the instructions for forming the first conformal film layer, comprising the instructions (a) to (c), the second Instructions for causing the formation of a conformal film layer;
Instructions for causing the formation of a third conformal film layer to be executed after the instructions for forming the second conformal film layer,
(i) instructions to trigger operation of the one or more dopant precursor gas inlets to introduce the dielectric precursor into the reaction chamber such that the dielectric precursor is adsorbed onto a material adhered to the substrate to form an adsorbed dielectric precursor. ;
(ii) instructions to trigger operation of the one or more gas outlets to discharge a non-adsorbing dielectric precursor from the reaction chamber; And
(iii) instructions for triggering the formation of the third conformal film layer, including instructions for triggering the reaction of the adsorbed dielectric precursor to form a film layer containing a dielectric material; And
Instructions for causing the formation of a fourth conformal film layer to be executed after the instructions for forming the third conformal film layer, comprising the instructions (i) to the instruction (iii), the fourth conformal An apparatus for doping a substrate, comprising instructions for causing the formation of a film layer.
제 33 항에 있어서,
상기 반응 챔버 내에서 플라즈마를 여기시키도록 구성된 RF 생성기를 더 포함하고, 상기 (c) 상기 흡착된 도펀트 전구체의 반응을 유발하기 위한 명령들은 상기 흡착된 도펀트 전구체가 반응하게 하는 플라즈마를 여기시키도록 상기 RF 생성기를 동작시키기 위한 명령들을 포함하는, 기판을 도핑하기 위한 장치.
The method of claim 33,
Further comprising an RF generator configured to excite the plasma in the reaction chamber, and (c) instructions for triggering the reaction of the adsorbed dopant precursor to excite the plasma causing the adsorbed dopant precursor to react. An apparatus for doping a substrate, comprising instructions for operating an RF generator.
제 33 항에 있어서,
상기 머신 판독가능 코드는 상기 제 1 컨포멀 막 층 또는 상기 제 3 컨포멀 막 층으로부터 상기 기판 내로 상기 도펀트 소스의 일부의 드라이빙을 유발하기 위한 명령들을 더 포함하는, 기판을 도핑하기 위한 장치.
The method of claim 33,
And the machine readable code further comprises instructions for causing driving of a portion of the dopant source from the first conformal film layer or the third conformal film layer into the substrate.
제 35 항에 있어서,
상기 도펀트 소스를 함유하는 상기 제 1 컨포멀 막 층 또는 상기 제 3 컨포멀 막 층을 가열하도록 구성된 가열기를 더 포함하고, 상기 기판 내로 상기 도펀트의 일부의 드라이빙을 유발하기 위한 명령들은, 상기 제 1 컨포멀 막 층 또는 상기 제 3 컨포멀 막 층을 가열하여 상기 제 1 컨포멀 막 층 또는 상기 제 3 컨포멀 막 층으로부터 상기 기판 내로 도펀트의 열 매개 확산 (thermally mediated diffusion) 을 야기하는 상기 가열기의 동작을 유발하기 위한 명령들을 포함하는, 기판을 도핑하기 위한 장치.
The method of claim 35,
Further comprising a heater configured to heat the first conformal film layer or the third conformal film layer containing the dopant source, and instructions for causing driving of a portion of the dopant into the substrate, the first Of the heater that heats the conformal film layer or the third conformal film layer to cause thermally mediated diffusion of the dopant from the first conformal film layer or the third conformal film layer into the substrate. An apparatus for doping a substrate, comprising instructions for causing an action.
제 29 항에 있어서,
상기 도펀트 소스는 비소인 도펀트를 포함하고,
상기 도펀트 전구체는 알킬아르신 (alkylarsine), 알콕시아르신, 및 아미노아르신 화학족들로 구성된 그룹으로부터 선택되는, 패턴화된 기판을 도핑하는 방법.
The method of claim 29,
The dopant source comprises arsenic dopant,
Wherein the dopant precursor is selected from the group consisting of alkylarsine, alkoxyarsine, and aminoarsine chemical groups.
제 37 항에 있어서,
상기 도펀트 소스는 아르세노-실리케이트, 비소 도핑 실리케이트 유리, 비소 산화물 또는 비소 옥시하이드라이드인, 패턴화된 기판을 도핑하는 방법.
The method of claim 37,
The dopant source is an adeno-silicate, arsenic doped silicate glass, arsenic oxide or arsenic oxyhydride, a method of doping a patterned substrate.
제 37 항에 있어서,
상기 도펀트 전구체는 아르신, 트리에틸아르세네이트, 트리메틸아르신, 트리에틸아르신, 트리페닐아르신, 트리페닐아르신 산화물, 에틸렌비스(디페닐아르신), 트리스(디메틸아미노)아르신, 또는 화학식 As(OR)3 (식중에서 R은 -CH3 또는 -C2H5이다) 를 갖는 비소 함유 화합물인, 패턴화된 기판을 도핑하는 방법.
The method of claim 37,
The dopant precursor is arsine, triethyl arsenate, trimethyl arsine, triethyl arsine, triphenyl arsine, triphenyl arsine oxide, ethylene bis (diphenyl arsine), tris (dimethylamino) arsine, Or a method of doping a patterned substrate, which is an arsenic-containing compound having the formula As(OR) 3 (wherein R is -CH 3 or -C 2 H 5 ).
제 38 항에 있어서,
상기 도펀트 소스는 As2O3 및/또는 As2O5인, 패턴화된 기판을 도핑하는 방법.
The method of claim 38,
Wherein the dopant source is As 2 O 3 and/or As 2 O 5 , a method of doping a patterned substrate.
제 23 항 또는 제 29 항에 있어서,
상기 유전체 전구체는 디이소프로필아미노실란 (diisopropylaminosilane) 인, 패턴화된 기판을 도핑하는 방법.
The method of claim 23 or 29,
The dielectric precursor is diisopropylaminosilane, a method of doping a patterned substrate.
제 23 항 또는 제 29 항에 있어서,
상기 유전체 전구체는 비스디에틸아미노실란 (bisdiethylaminosilane) 인, 패턴화된 기판을 도핑하는 방법.
The method of claim 23 or 29,
The dielectric precursor is bisdiethylaminosilane, a method of doping a patterned substrate.
제 23 항 또는 제 29 항에 있어서,
상기 유전체 전구체는 x는 2이고, R은 프로필인 알킬아미노 실란 (SiHx(NR2)4-x) 인, 패턴화된 기판을 도핑하는 방법.
The method of claim 23 or 29,
The method of doping a patterned substrate, wherein the dielectric precursor is x and R is propyl alkylamino silane (SiH x (NR 2 ) 4-x ).
삭제delete 삭제delete 삭제delete 삭제delete
KR1020130056776A 2012-05-18 2013-05-20 Conformal doping via plasma activated atomic layer deposition and conformal film deposition KR102122612B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261649114P 2012-05-18 2012-05-18
US61/649,114 2012-05-18
US13/607,386 US8956983B2 (en) 2010-04-15 2012-09-07 Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US13/607,386 2012-09-07

Publications (2)

Publication Number Publication Date
KR20130129149A KR20130129149A (en) 2013-11-27
KR102122612B1 true KR102122612B1 (en) 2020-06-15

Family

ID=49856026

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130056776A KR102122612B1 (en) 2012-05-18 2013-05-20 Conformal doping via plasma activated atomic layer deposition and conformal film deposition

Country Status (3)

Country Link
KR (1) KR102122612B1 (en)
SG (1) SG195501A1 (en)
TW (1) TWI636571B (en)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9263350B2 (en) 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
JP6629312B2 (en) * 2014-07-03 2020-01-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method and apparatus for selective deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10032628B2 (en) * 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) * 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
FI130211B (en) * 2020-10-29 2023-04-24 Beneq Oy Semiconductor doping method and an intermediate semiconductor device
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115117198A (en) * 2022-05-16 2022-09-27 上海交通大学 Preparation method of delta doping layer and electronic device

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05226279A (en) * 1992-02-10 1993-09-03 Toshiba Corp Manufacture of semiconductor device
US6069058A (en) * 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition

Also Published As

Publication number Publication date
TWI636571B (en) 2018-09-21
SG195501A1 (en) 2013-12-30
KR20130129149A (en) 2013-11-27
TW201411845A (en) 2014-03-16

Similar Documents

Publication Publication Date Title
KR102122612B1 (en) Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8956983B2 (en) Conformal doping via plasma activated atomic layer deposition and conformal film deposition
KR101975071B1 (en) Plasma activated conformal dielectric film deposition
US10043655B2 (en) Plasma activated conformal dielectric film deposition
TWI595112B (en) Sub-saturated atomic layer deposition and conformal film deposition
KR102031377B1 (en) Precursors for plasma activated conformal film deposition
TWI606136B (en) Method for depositing doped silicon oxide and atomic layer deposition process for depositing doped silicon oxide on substrate
US8728956B2 (en) Plasma activated conformal film deposition
TW201603120A (en) Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
KR20140016201A (en) High pressure, high power plasma activated conformal film deposition
KR20140071402A (en) Plasma activated conformal dielectric film deposition
KR20220006663A (en) In-situ control of film properties during atomic layer deposition
CN113330141A (en) Method for depositing silicon nitride

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant