KR100829925B1 - Apparatus and method for processing substrate - Google Patents

Apparatus and method for processing substrate Download PDF

Info

Publication number
KR100829925B1
KR100829925B1 KR1020070020719A KR20070020719A KR100829925B1 KR 100829925 B1 KR100829925 B1 KR 100829925B1 KR 1020070020719 A KR1020070020719 A KR 1020070020719A KR 20070020719 A KR20070020719 A KR 20070020719A KR 100829925 B1 KR100829925 B1 KR 100829925B1
Authority
KR
South Korea
Prior art keywords
substrate
source gas
wafer
injection
plasma
Prior art date
Application number
KR1020070020719A
Other languages
Korean (ko)
Inventor
정순빈
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020070020719A priority Critical patent/KR100829925B1/en
Application granted granted Critical
Publication of KR100829925B1 publication Critical patent/KR100829925B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

A substrate processing apparatus and method is provided to increase the process uniformity of a wafer by supplying sufficient amounts of source gas and plasma onto an edge of the wafer. A process chamber(100) provides an internal space for processing a substrate. A support member(200) is installed in the process chamber to support the substrate. A shower head(400) is positioned over the support member to supply a source gas onto the support member. A plasma generating member generates a plasma using the source gas supplied from the shower head. The shower head has a spray plate(420) having plural spray holes spraying the source gas, and plural spray nozzles(424) protruding from the spray plate towards the substrate.

Description

기판을 처리하는 장치 및 방법{apparatus and method for processing substrate}Apparatus and method for processing substrate

도 1a 및 1b는 금속라인들 사이에 형성된 갭을 채우는 모습을 나타내는 웨이퍼의 단면도이다.1A and 1B are cross-sectional views of a wafer showing a gap formed between metal lines.

도 2는 본 발명에 따른 기판처리장치를 포함하는 반도체 제조설비를 개략적으로 나타내는 도면이다.2 is a schematic view showing a semiconductor manufacturing apparatus including a substrate processing apparatus according to the present invention.

도 3은 도 2의 기판처리장치를 개략적으로 나타내는 도면이다.3 is a diagram schematically illustrating the substrate processing apparatus of FIG. 2.

도 4 및 도 5는 도 3의 지지부재를 개략적으로 나타내는 도면이다.4 and 5 are views schematically showing the support member of FIG.

도 6은 도 3의 지지부재를 개략적으로 나타내는 사시도이다.6 is a perspective view schematically illustrating the support member of FIG. 3.

도 7는 도 5의 Ⅰ-Ⅰ'을 따라 구성한 단면도이다.FIG. 7 is a cross-sectional view taken along the line II ′ of FIG. 5.

도 8은 도 3의 분사판 및 분사노즐을 나타내는 사시도이다.8 is a perspective view illustrating the jet plate and the jet nozzle of FIG. 3.

도 9는 지지플레이트에 놓여진 분사판 및 분사노즐과 웨이퍼 사이의 거리를 각각 나타내는 도면이다.9 is a view showing the distance between the jet plate and the jet nozzle and the wafer placed on the support plate, respectively.

도 10은 본 발명에 따른 기판처리방법을 나타내는 흐름도이다.10 is a flowchart illustrating a substrate processing method according to the present invention.

도 11은 도 3의 기판처리장치가 작동하는 모습을 나타내는 도면이다.11 is a view illustrating a state in which the substrate processing apparatus of FIG.

< 도면의 주요 부분에 대한 부호의 설명 ><Description of Symbols for Main Parts of Drawings>

1 : 반도체 제조설비 10 : 기판처리장치(공정챔버)1: semiconductor manufacturing equipment 10: substrate processing apparatus (process chamber)

100 : 공정챔버 200 : 지지부재100: process chamber 200: support member

220 : 지지플레이트 240 : 구동축220: support plate 240: drive shaft

300 : 상부전극 400 : 샤워헤드300: upper electrode 400: shower head

420 : 분사판 424 : 분사노즐420: jet plate 424: jet nozzle

본 발명은 기판을 처리하는 장치 및 방법에 관한 것으로, 더욱 상세하게는 플라스마를 이용하여 기판을 처리하는 장치 및 방법에 관한 것이다.The present invention relates to an apparatus and method for processing a substrate, and more particularly, to an apparatus and method for processing a substrate using plasma.

반도체 장치는 실리콘 기판 상에 많은 층들(layers)을 가지고 있으며, 이와 같은 층들은 증착공정을 통하여 기판 상에 증착된다. 이와 같은 증착공정은 몇가지 중요한 이슈들을 가지고 있으며, 이와 같은 이슈들은 증착된 막들을 평가하고 증착방법을 선택하는 데 있어서 중요하다.The semiconductor device has many layers on a silicon substrate, and these layers are deposited on the substrate through a deposition process. This deposition process has several important issues, which are important in evaluating the deposited films and selecting the deposition method.

첫번째는 증착된 막의 '질'(qulity)이다. 이는 조성(composition), 오염도(contamination levels), 손실도(defect density), 그리고 기계적·전기적 특성(mechanical and electrical properties)을 의미한다. 막들의 조성은 증착조건에 따라 변할 수 있으며, 이는 특정한 조성(specific composition)을 얻기 위하여 매우 중요하다.The first is the 'qulity' of the deposited film. This means composition, contamination levels, defect density, and mechanical and electrical properties. The composition of the films can vary depending on the deposition conditions, which is very important for obtaining a specific composition.

두번째는, 웨이퍼를 가로지르는 균일한 두께(uniform thickness)이다. 특히, 단차(step)가 형성된 비평면(nonplanar) 형상의 패턴 상부에 증착된 막의 두께가 매우 중요하다. 증착된 막의 두께가 균일한지 여부는 단차진 부분에 증착된 최소 두께를 패턴의 상부면에 증착된 두께로 나눈 값으로 정의되는 스텝 커버리지(step coverage)를 통하여 판단할 수 있다.The second is uniform thickness across the wafer. In particular, the thickness of the film deposited on the nonplanar pattern on which the step is formed is very important. Whether the thickness of the deposited film is uniform may be determined through step coverage defined by dividing the minimum thickness deposited on the stepped portion by the thickness deposited on the upper surface of the pattern.

증착과 관련된 또 다른 이슈는 공간을 채우는 것(filling space)이다. 이는 금속라인들 사이를 산화막을 포함하는 절연막으로 채우는 갭 필링(gap filling)을 포함한다. 갭은 금속라인들을 물리적 및 전기적으로 절연시키기 위하여 제공된다.Another issue with deposition is filling space. This includes gap filling between the metal lines with an insulating film including an oxide film. The gap is provided to physically and electrically insulate the metal lines.

도 1a 및 1b는 금속라인들(a) 사이에 형성된 갭을 채우는 모습을 나타내는 웨이퍼의 단면도이다. 도 1a 및 도 1b는 불완전한(incomplete) 갭 필링 과정을 보여주고 있다. 금속라인들(a) 사이의 갭은 절연막(b)으로 채워진다. 이때, 갭 내에 절연막(b)이 채워짐과 동시에, 갭 내의 상부에는 오버행들(overhang)(h)이 빵덩어리(breadloafing) 형태로 성장하며, 오버행(h)의 성장속도는 갭 내에 채워지는 절연막(b)의 성장속도보다 빠르다. 결국, 오버행(h)들은 서로 만나 갭의 상부를 폐쇄하여 갭 내에 보이드(void)를 형성하며, 절연막(b)이 갭 내에 증착되는 것을 방해한다. 형성된 보이드는 높은 접촉저항(contact resistance) 및 높은 면저항(sheet resistance)을 가져오며, 파손을 일으키기도 한다. 또한, 보이드는 처리액 또는 수분을 함유하여, 안정성 문제를 일으키기도 한다.1A and 1B are cross-sectional views of a wafer showing a gap formed between metal lines a. 1A and 1B show an incomplete gap filling process. The gap between the metal lines a is filled with the insulating film b. At this time, while the insulating film b is filled in the gap, an overhang h grows in the form of breadloafing in the upper portion of the gap, and the growth rate of the overhang h is an insulating film filled in the gap. It is faster than the growth rate of b). As a result, the overhangs h meet with each other to close the top of the gap to form voids in the gap, preventing the insulating film b from being deposited in the gap. The formed voids result in high contact resistance and high sheet resistance, and also cause breakage. In addition, the voids may contain a treatment liquid or water, causing stability problems.

고밀도 플라스마 화학기상증착(High-Density Plasma Chemical Vapor Deposition:HDPCVD) 방법은 플라스마를 이용하여 갭 내에 막을 증착하고, 막의 증착시 성장한 오버행을 에칭하며, 이후 다시 막을 증착하는 증착/에칭/증착 방법을 사용하여 보이드가 형성되는 것을 방지한다. 즉, 부분적으로 채워진 갭을 재형상화 하여 갭을 개방시키고, 갭 내에 보이드가 형성되기 이전에 갭 내에 막을 증착시킨다. 이와 같은 방법은 큰 종횡비(Aspect Ratio:AR)를 가지는 갭 내에 보이드 없이 막을 증착시킬 수 있다.The High-Density Plasma Chemical Vapor Deposition (HDPCVD) method uses a deposition / etching / deposition method that deposits a film in a gap using plasma, etches overhang grown during deposition of the film, and then deposits the film again. To prevent the formation of voids. That is, the partially filled gap is reshaped to open the gap, and a film is deposited in the gap before voids are formed in the gap. This method can deposit a film without voids in a gap having a large Aspect Ratio (AR).

그러나, 이와 같은 종래의 기판처리장치는 균일도(uniformity) 문제를 고려하지 않았으므로, 생성된 플라스마는 웨이퍼 상에 불균일하게 공급되었으며, 웨이퍼 상에 증착된 막의 두께는 균일하지 않았다. 특히, 소스가스 및 소스가스로부터 생성된 플라스마가 웨이퍼(W)의 가장자리부에는 충분하게 공급되지 않았으므로, 웨이퍼(W)의 중심부에 비하여 웨이퍼(W)의 가장자리부에 대한 공정은 충분히 이루어지지 않았다.However, such a conventional substrate processing apparatus did not take into account uniformity problems, so that the resulting plasma was unevenly supplied on the wafer, and the thickness of the film deposited on the wafer was not uniform. In particular, since the source gas and the plasma generated from the source gas were not sufficiently supplied to the edge of the wafer W, the process for the edge of the wafer W was not sufficiently performed compared to the center of the wafer W. .

앞서 본 바와 같이, 균일도는 증착공정과 관련된 중요한 이슈 중 하나이며, 불균일한 막은 금속배선(metal line) 상에서 높은 전기저항(electrical resistance)을 가져왔으며, 기계적인 파손의 가능성을 증가시켰다.As previously seen, uniformity is one of the important issues associated with the deposition process, and non-uniform films resulted in high electrical resistance on the metal line and increased the probability of mechanical failure.

본 발명은 상술한 문제점을 해결하기 위한 것으로, 본 발명의 목적은 웨이퍼의 전면에 대하여 공정균일도를 증가시킬 수 있는 기판을 처리하는 방법 및 장치를 제공하는 데 있다.The present invention has been made to solve the above problems, and an object of the present invention is to provide a method and apparatus for processing a substrate that can increase the process uniformity with respect to the entire surface of the wafer.

본 발명의 다른 목적은 웨이퍼 상에 균일한 두께의 막을 증착할 수 있는 기판을 처리하는 방법 및 장치를 제공하는 데 있다.Another object of the present invention is to provide a method and apparatus for processing a substrate capable of depositing a film of uniform thickness on a wafer.

본 발명의 또 다른 목적은 반도체 장치의 성능을 향상시킬 수 있는 기판을 처리하는 방법 및 장치를 제공하는 데 있다.Another object of the present invention is to provide a method and apparatus for processing a substrate that can improve the performance of a semiconductor device.

본 발명의 또 다른 목적들은 다음의 상세한 설명과 첨부한 도면으로부터 보다 명확해질 것이다.Still other objects of the present invention will become more apparent from the following detailed description and the accompanying drawings.

본 발명의 일 실시예에 의하면, 기판에 대한 공정이 이루어지는 내부공간을 제공하는 공정챔버, 상기 공정챔버 내부에 설치되며 상기 기판을 지지하는 지지부재, 상기 지지부재의 상부에 위치하며 상기 지지부재를 향하여 소스가스를 공급하는 샤워헤드, 그리고 상기 샤워헤드로부터 공급된 상기 소스가스로부터 플라스마를 생성하는 플라스마 생성부재를 포함하되, 상기 샤워헤드는 상기 소스가스를 분사하는 복수의 분사구들이 형성된 분사판, 상기 분사판으로부터 상기 기판을 향하여 돌출되도록 상기 분사판의 에지부에 결합되며 상기 소스가스를 분사하는 복수의 분사노즐들을 포함한다.According to an embodiment of the present invention, a process chamber providing an internal space in which a process is performed on a substrate, a support member installed in the process chamber and supporting the substrate, and positioned on an upper portion of the support member, Shower head for supplying a source gas toward the head, and a plasma generating member for generating a plasma from the source gas supplied from the shower head, wherein the shower head is a spray plate formed with a plurality of injection holes for injecting the source gas, the It includes a plurality of injection nozzles coupled to the edge portion of the injection plate to protrude toward the substrate from the injection plate for injecting the source gas.

상기 복수의 분사구들은 상기 분사판의 센터부에 형성된 센터분사구들 및 상기 분사판의 에지부에 형성된 에지분사구들을 포함하며, 상기 에지분사구들은 상기 분사노즐들과 각각 연통될 수 있다.The plurality of injection holes may include center injection holes formed in the center portion of the injection plate and edge injection holes formed in the edge portion of the injection plate, and the edge injection holes may be in communication with the injection nozzles, respectively.

상기 샤워헤드는 상기 분사판을 홀딩하는 고정홀더 및 상기 고정홀더의 상부에 연결된 지지축을 더 포함할 수 있다.The shower head may further include a fixing holder holding the jet plate and a support shaft connected to an upper portion of the fixing holder.

상기 플라스마 생성부재는 상기 지지부재의 상부에 놓여진 상부전극 및 상기 상부전극에 대향되도록 배치되는 하부전극을 포함하되, 상기 상부전극은 상기 분사판과 나란하도록 상기 고정홀더에 결합될 수 있다.The plasma generating member may include an upper electrode disposed on an upper portion of the support member and a lower electrode disposed to face the upper electrode, wherein the upper electrode may be coupled to the fixed holder to be parallel to the jet plate.

본 발명에 의하면, 플라스마를 이용하여 기판을 처리하는 방법은 상기 기판 을 공정챔버의 내부에 설치된 지지부재 상에 올려 놓는 단계, 상기 공정챔버의 내부에 상기 기판을 향하여 소스가스를 공급하고 상기 소스가스로부터 상기 플라스마를 생성하는 단계, 상기 플라스마를 이용하여 상기 기판을 처리하는 단계를 포함하되, 상기 기판을 향하여 소스가스를 공급하는 단계는 상기 기판의 상부에 상기 기판과 나란하게 배치된 분사판의 복수의 분사구들 및 상기 분사판의 에지부에 결합된 복수의 분사노즐들을 이용하여 상기 소스가스를 공급하는 단계를 포함한다.According to the present invention, a method of treating a substrate using plasma includes placing the substrate on a supporting member installed in the process chamber, supplying a source gas toward the substrate in the process chamber, and supplying the source gas. Generating the plasma from the substrate; and treating the substrate using the plasma, wherein supplying source gas toward the substrate comprises: a plurality of jet plates disposed side by side with the substrate on top of the substrate; And supplying the source gas by using injection holes of the plurality of injection nozzles and a plurality of injection nozzles coupled to the edge portion of the injection plate.

상기 분사노즐들은 상기 분사판으로부터 상기 기판을 향하여 돌출되며, 상기 분사노즐들의 하단은 상기 분사구들의 하단보다 상기 기판에 근접할 수 있다.The injection nozzles may protrude from the injection plate toward the substrate, and lower ends of the injection nozzles may be closer to the substrate than lower ends of the injection holes.

이하, 본 발명의 바람직한 실시예를 첨부된 도 2 내지 도 11을 참고하여 더욱 상세히 설명한다. 본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 설명하는 실시예에 한정되는 것으로 해석되어서는 안 된다. 본 실시예는 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 상세하게 설명하기 위해서 제공되는 것이다. 따라서 도면에 나타난 각 요소의 형상은 보다 분명한 설명을 강조하기 위하여 과장될 수 있다.Hereinafter, exemplary embodiments of the present invention will be described in more detail with reference to FIGS. 2 to 11. Embodiment of the present invention may be modified in various forms, the scope of the present invention should not be construed as limited to the embodiments described below. This embodiment is provided to explain in detail the present invention to those skilled in the art. Accordingly, the shape of each element shown in the drawings may be exaggerated to emphasize a more clear description.

이하에서는 기판의 일례로 웨이퍼(W)를 들어 설명하나, 본 발명은 이에 한정되지 않는다. 또한, 이하에서는 증착 공정을 수행하는 기판처리장치(또는 공정챔버)(10)를 가지는 반도체 제조설비(1)를 예로 들어 설명한다. 그러나, 본 발명의 기술적 사상과 범위는 이에 한정되지 않으며, 본 발명은 애싱 공정, 에칭 공정, 또는 세정 공정에 응용될 수 있다. 또한, 이하에서는 축전 결합 플라스 마(Capacitively Coupled Plasma:CCP) 타입의 플라스마장치를 예로 들어 설명하고 있으나, 유도 결합 플라스마(Inductively Coupled Plasma:ICP) 타입 또는 전자 사이클로트론 공명(Electron Cyclotron Resonance:ECR) 타입을 포함하는 다양한 플라스마 장치에 응용될 수 있다.Hereinafter, the wafer W will be described as an example of the substrate, but the present invention is not limited thereto. In addition, hereinafter, a semiconductor manufacturing apparatus 1 having a substrate processing apparatus (or process chamber) 10 performing a deposition process will be described as an example. However, the spirit and scope of the present invention is not limited thereto, and the present invention may be applied to an ashing process, an etching process, or a cleaning process. In addition, hereinafter, a capacitively coupled plasma (CCP) type plasma apparatus has been described as an example, but an inductively coupled plasma (ICP) type or an electron cyclotron resonance (ECR) type is described. It can be applied to various plasma devices including.

도 2는 본 발명에 따른 기판처리장치(10)를 포함하는 반도체 제조설비(1)를 개략적으로 나타내는 도면이다.2 is a diagram schematically showing a semiconductor manufacturing facility 1 including a substrate processing apparatus 10 according to the present invention.

도 2를 살펴보면, 반도체 제조설비(1)는 공정설비(2), 설비 전방 단부 모듈(Equipment Front End Module:EFEM)(3), 그리고 경계벽(interface wall)(4)을 포함한다. 설비 전방 단부 모듈(3)은 공정설비(2)의 전방에 장착되어, 웨이퍼들(W)이 수용된 용기(도시안됨)와 공정설비(2) 간에 웨이퍼(W)를 이송한다. 설비 전방 단부 모듈(3)은 복수의 로드포트들(loadports)(60)과 프레임(frame)(50)을 가진다. 프레임(50)은 로드포트(60)와 공정 설비(2) 사이에 위치한다. 웨이퍼(W)를 수용하는 용기는 오버헤드 트랜스퍼(overhead transfer), 오버헤드 컨베이어(overhead conveyor), 또는 자동 안내 차량(automatic guided vehicle)과 같은 이송 수단(도시안됨)에 의해 로드포트(60) 상에 놓여진다. 용기는 전면 개방 일체식 포드(Front Open Unified Pod:FOUP)와 같은 밀폐용 용기가 사용될 수 있다. 프레임(50) 내에는 로드포트(60)에 놓여진 용기와 공정설비(2) 간에 웨이퍼(W)를 이송하는 프레임 로봇(70)이 설치된다. 프레임(50) 내에는 용기의 도어를 자동으로 개폐하는 도어 오프너(도시안됨)가 설치될 수 있다. 또한, 프레임(50)에는 청정 공기가 프레임(50) 내 상부에서 하부로 흐르도록 청정 공기를 프레임(50) 내로 공급하는 팬필터 유닛(Fan Filter Unit:FFU)(도시안됨)이 제공될 수 있다.Referring to FIG. 2, the semiconductor manufacturing facility 1 includes a process facility 2, an Equipment Front End Module (EFEM) 3, and an interface wall 4. The plant front end module 3 is mounted in front of the process plant 2 to transfer the wafer W between the vessel (not shown) in which the wafers W are housed and the process plant 2. The facility front end module 3 has a plurality of loadports 60 and a frame 50. The frame 50 is located between the load port 60 and the process equipment 2. The container containing the wafer W is placed on the load port 60 by a transfer means (not shown), such as an overhead transfer, an overhead conveyor, or an automatic guided vehicle. Is put on. The container may be a closed container such as a front open unified pod (FOUP). In the frame 50, a frame robot 70 for transferring the wafer W is installed between the vessel placed in the load port 60 and the process facility 2. In the frame 50, a door opener (not shown) for automatically opening and closing the door of the container may be installed. In addition, the frame 50 may be provided with a fan filter unit (FFU) (not shown) for supplying clean air into the frame 50 so that clean air flows from the top to the bottom in the frame 50. .

웨이퍼(W)는 공정설비(20) 내에서 소정의 공정이 수행된다. 공정설비(2)는 로드록 챔버(loadlock chamber)(20), 트랜스퍼 챔버(transfer chamber)(30), 그리고 공정챔버(process chamber)(10)를 가진다. 트랜스퍼 챔버(30)는 상부에서 바라볼 때 대체로 다각의 형상을 가진다. 트랜스퍼 챔버(30)의 측면에는 로드록 챔버(20) 또는 공정챔버(10)가 위치된다. 로드록 챔버(20)는 트랜스퍼 챔버(30)의 측부들 중 설비 전방 단부 모듈(3)과 인접한 측부에 위치되고, 공정챔버(10)는 다른 측부에 위치된다. 로드록 챔버(20)는 공정 진행을 위해 공정설비(2)로 유입되는 웨이퍼들(W)이 일시적으로 머무르는 로딩 챔버(20a)와 공정이 완료되어 공정설비(2)로부터 유출되는 웨이퍼들(W)이 일시적으로 머무르는 언로딩 챔버(20b)를 가진다. 트랜스퍼 챔버(30) 및 공정챔버(10) 내부는 진공으로 유지되고, 로드록 챔버(20) 내부는 진공 및 대기압으로 전환된다. 로드록 챔버(20)는 외부 오염물질이 트랜스퍼 챔버(30) 및 공정챔버(10)로 유입되는 것을 방지한다. 로드록 챔버(20)와 트랜스퍼 챔버(30) 사이, 그리고 로드록 챔버(20)와 설비 전방 단부 모듈(3) 사이에는 게이트 밸브(도시안됨)가 설치된다. 설비 전방 단부 모듈(3)과 로드록 챔버(20) 간에 웨이퍼(W)가 이동하는 경우, 로드록 챔버(20)와 트랜스퍼 챔버(30) 사이에 제공된 게이트 밸브가 닫히고, 로드록 챔버(20)와 트랜스퍼 챔버(30) 간에 웨이퍼(W)가 이동되는 경우, 로드록 챔버(20)와 설비 전방 단부 모듈(3) 사이에 제공되는 게이트 밸브가 닫힌다.The wafer W is subjected to a predetermined process in the process facility 20. The process facility 2 has a loadlock chamber 20, a transfer chamber 30, and a process chamber 10. The transfer chamber 30 has a generally polygonal shape when viewed from the top. The load lock chamber 20 or the process chamber 10 is located at the side of the transfer chamber 30. The loadlock chamber 20 is located on the side adjacent to the facility front end module 3 of the sides of the transfer chamber 30, and the process chamber 10 is located on the other side. The load lock chamber 20 includes a loading chamber 20a in which the wafers W flowing into the process facility 2 temporarily stay in order to proceed with the process, and wafers W exiting the process facility 2 after the process is completed. ) Has an unloading chamber 20b that temporarily stays. The interior of the transfer chamber 30 and the process chamber 10 is maintained at a vacuum, and the interior of the load lock chamber 20 is converted to a vacuum and atmospheric pressure. The load lock chamber 20 prevents foreign contaminants from entering the transfer chamber 30 and the process chamber 10. A gate valve (not shown) is installed between the load lock chamber 20 and the transfer chamber 30 and between the load lock chamber 20 and the facility front end module 3. When the wafer W moves between the facility front end module 3 and the load lock chamber 20, the gate valve provided between the load lock chamber 20 and the transfer chamber 30 is closed and the load lock chamber 20 is closed. When the wafer W is moved between the transfer chamber 30 and the transfer chamber 30, the gate valve provided between the load lock chamber 20 and the facility front end module 3 is closed.

트랜스퍼 챔버(30) 내에는 이송 로봇(40)이 장착된다. 이송 로봇(40)은 공정챔버(10)로 웨이퍼(W)를 로딩하거나 공정챔버(10)로부터 웨이퍼(W)를 언로딩한다. 또한, 이송 로봇(40)은 공정챔버(10)와 로드록 챔버(20) 간에 웨이퍼(W)를 이송한다.The transfer robot 40 is mounted in the transfer chamber 30. The transfer robot 40 loads the wafer W into the process chamber 10 or unloads the wafer W from the process chamber 10. In addition, the transfer robot 40 transfers the wafer W between the process chamber 10 and the load lock chamber 20.

공정챔버(10)는 웨이퍼(W)에 대하여 소정의 공정, 예컨대 증착, 에칭과 같은 공정을 수행하며, 이하에서는 공정챔버(10)를 기판처리장치(10)로 부르기로 한다. 기판처리장치(10)에 대한 상세한 설명은 후술하기로 한다.The process chamber 10 performs a process such as deposition or etching on the wafer W. Hereinafter, the process chamber 10 will be referred to as a substrate processing apparatus 10. Detailed description of the substrate processing apparatus 10 will be described later.

도 3은 본 발명에 따른 기판처리장치(10)를 개략적으로 나타내는 정면도이다. 도 3에 도시한 바와 같이, 웨이퍼(W)에 대한 공정을 수행하기 위한 기판처리장치(10)는 공정챔버(100)를 포함한다.3 is a front view schematically showing a substrate processing apparatus 10 according to the present invention. As shown in FIG. 3, the substrate processing apparatus 10 for performing a process on the wafer W includes a process chamber 100.

본 실시예에서 기판처리장치(10)를 이용하여 수행하는 공정은 증착 공정이며, 이하에서는 고밀도 플라스마 화학 기상 증착(High Density Plasma Chemical Vapor Deposition:HDPCVD) 공정을 예로 들어 설명한다. 앞서 본 바와 같이, 고밀도 플라스마 화학 기상 증착 공정은 높은 밀도의 플라스마를 형성하여 금속배선들 사이에 형성된 갭 내에 막을 증착시키는 증착(deposition) 공정과, 갭 상부의 오버행들(overhang)을 에칭하는 에칭(etching) 공정을 포함한다. 갭의 상부에서 성장한 오버행들은 갭의 입구를 폐쇄하여 갭 내에 보이드(void)를 형성한다. 따라서, 에칭 공정을 통하여 오버행들을 제거함으로써, 갭 내에 보이드가 형성되는 것을 방지한다.In the present embodiment, the process performed using the substrate processing apparatus 10 is a deposition process, and hereinafter, a high density plasma chemical vapor deposition (HDPCVD) process will be described as an example. As previously seen, the high density plasma chemical vapor deposition process involves the deposition process of depositing a film in a gap formed between metal lines by forming a plasma of high density, and etching etching overhangs on the gap. etching) process. Overhangs growing at the top of the gap close the inlet of the gap to form voids in the gap. Thus, by removing overhangs through the etching process, voids are prevented from forming in the gap.

공정챔버(100)의 내부공간에는 웨이퍼(W)를 지지하기 위한 지지부재(200)가 설치된다. 지지부재(200)는 정전기력을 이용하여 웨이퍼(W)를 고정할 수 있는 정전척(ESC)이 사용될 수 있으며, 선택적으로 기계적인 구조를 통하여 클램핑이 가능한 기계척 또는 진공으로 웨이퍼(W)를 흡착하는 진공척이 사용될 수 있다. 한편, 지지부재(200)에는 플라즈마 상태의 소스가스를 웨이퍼(W)로 유도할 수 있도록 바이어스 전원이 인가될 수 있다.The support member 200 for supporting the wafer W is installed in the internal space of the process chamber 100. The support member 200 may use an electrostatic chuck (ESC) that can fix the wafer (W) using electrostatic force, and selectively absorb the wafer (W) by a mechanical chuck or a vacuum that can be clamped through a mechanical structure. A vacuum chuck can be used. On the other hand, the biasing power may be applied to the support member 200 to guide the source gas in the plasma state to the wafer (W).

공정챔버(100)의 내부공간에는 웨이퍼(W)를 지지하기 위한 지지부재(200)가 설치된다. 지지부재(200)는 정전기력을 이용하여 웨이퍼(W)를 고정할 수 있는 정전척(ESC)이 사용될 수 있으며, 선택적으로 기계적인 구조를 통하여 클램핑이 가능한 기계척 또는 진공으로 웨이퍼(W)를 흡착하는 진공척이 사용될 수 있다. 한편, 지지부재(200)에는 플라즈마 상태의 소스가스를 웨이퍼(W)로 유도할 수 있도록 바이어스 전원이 인가될 수 있다.The support member 200 for supporting the wafer W is installed in the internal space of the process chamber 100. The support member 200 may use an electrostatic chuck (ESC) that can fix the wafer (W) using electrostatic force, and selectively absorb the wafer (W) by a mechanical chuck or a vacuum that can be clamped through a mechanical structure. A vacuum chuck can be used. On the other hand, the biasing power may be applied to the support member 200 to guide the source gas in the plasma state to the wafer (W).

도 4 및 도 5는 도 3의 지지부재(200)를 개략적으로 나타내는 도면이다. 도 6은 도 3의 지지부재를 개략적으로 나타내는 사시도이며, 도 7은 도 5의 Ⅰ-Ⅰ'을 따라 구성한 단면도이다.4 and 5 are views schematically showing the support member 200 of FIG. 6 is a perspective view schematically illustrating the support member of FIG. 3, and FIG. 7 is a cross-sectional view taken along the line II ′ of FIG. 5.

도 4 및 도 5에 도시한 바와 같이, 지지부재(200)는 지지플레이트(220), 구동축(240), 구동기(260), 그리고 제어기(280)를 포함한다.As shown in FIGS. 4 and 5, the support member 200 includes a support plate 220, a drive shaft 240, a driver 260, and a controller 280.

웨이퍼(W)는 지지플레이트(220)의 상부에 지지플레이트(220)와 나란하게 놓여진다. 지지플레이트(220)는 알루미늄 재질이며, 이로 인하여 웨이퍼(W) 상에 형성된 패턴은 지지플레이트(220)와 반응할 가능성이 있다. 따라서, 세라믹 재질의 보호층(221)을 지지플레이트(220)의 상부면에 형성할 수 있으며, 세라믹 재질은 산화알루미늄(aluminium oxide:Al2O3)를 포함한다.The wafer W is placed side by side with the support plate 220 on the support plate 220. The support plate 220 is made of aluminum, so that the pattern formed on the wafer W may react with the support plate 220. Accordingly, the protective layer 221 of a ceramic material may be formed on the upper surface of the support plate 220, and the ceramic material may include aluminum oxide (Al 2 O 3 ).

지지플레이트(220)의 하부에는 구동축(240)의 일단이 연결되며, 구동축(240)의 타단은 구동기(260)에 연결된다. 구동기(260)는 모터를 포함하는 회전장치이며, 외부로부터 인가된 전류에 의하여 회전력을 발생시킨다. 발생된 회전력은 구동축(240)에 전달되며, 구동축(240)은 지지플레이트(220)와 함께 회전한다.One end of the drive shaft 240 is connected to the lower portion of the support plate 220, and the other end of the drive shaft 240 is connected to the driver 260. The driver 260 is a rotating device including a motor, and generates a rotating force by a current applied from the outside. The generated rotation force is transmitted to the drive shaft 240, the drive shaft 240 rotates together with the support plate 220.

구동축(240)과 공정챔버(100)의 바닥벽 사이에는 씰링부재(241)가 제공된다. 씰링부재(241)는 공정챔버(100) 내부의 기밀을 유지함과 동시에 구동축(240)의 회전이 가능하도록 돕는다. 씰링부재(241)는 마그네틱 씰(magnetic seal)을 포함한다.A sealing member 241 is provided between the drive shaft 240 and the bottom wall of the process chamber 100. The sealing member 241 maintains the airtightness inside the process chamber 100 and at the same time helps the rotation of the drive shaft 240. The sealing member 241 includes a magnetic seal.

구동기(260)는 제어기(280)에 연결되며, 제어기(280)는 구동기(260)의 동작을 제어한다. 제어기(280)는 구동기(260)의 회전속도, 회전량, 회전방향을 포함한 구동기(260)의 동작을 모두 제어할 수 있다.The driver 260 is connected to the controller 280, which controls the operation of the driver 260. The controller 280 may control all operations of the driver 260 including the rotation speed, the rotation amount, and the rotation direction of the driver 260.

도 5에 도시한 바와 같이, 지지플레이트(220)의 내부에는 냉각가스가 흐르는 제1 냉각라인 및 냉각유체가 흐르는 제2 냉각라인(232)이 형성된다.As shown in FIG. 5, the first cooling line through which the cooling gas flows and the second cooling line through which the cooling fluid flows are formed in the support plate 220.

제1 냉각라인은 지지플레이트(220)의 상부에 놓여진 웨이퍼(W)의 배면에 냉각가스를 공급하며, 웨이퍼(W)는 냉각가스에 의하여 기설정된 온도로 냉각된다. 공정 중에는 고온의 열이 발생하며, 특히, 고밀도 플라스마 화학 기상 증착공정 중의 스퍼터링에 의한 에칭 공정에서 고온의 열이 발생한다. 이로 인하여 웨이퍼(W)의 온도가 상승할 수 있으며, 제1 냉각라인은 냉각가스를 이용하여 웨이퍼(W)를 냉각시킨다.The first cooling line supplies a cooling gas to the rear surface of the wafer W placed on the support plate 220, and the wafer W is cooled to a predetermined temperature by the cooling gas. High temperature heat is generated during the process, and particularly high temperature heat is generated in the etching process by sputtering during the high density plasma chemical vapor deposition process. As a result, the temperature of the wafer W may increase, and the first cooling line cools the wafer W using a cooling gas.

제1 냉각라인은 냉각가스유로(222), 분배라인(224), 그리고 복수의 분기라인들(226)을 포함한다. 도 7에 도시한 바와 같이, 냉각가스유로(222)는 지지플레이트(220)의 중심에 형성되며, 냉각가스유로(222)의 하단은 구동축(240)의 중심에 형성된 냉각가스유로(242)의 상단과 연결된다. 분배라인(224)은 냉각가스유로(222)로부터 지지플레이트(220)의 반경방향으로 연장된다. 분기라인들(226)은 분배라인(224)으로부터 분기되어 지지플레이트(220)의 상부를 향하여 연장되며, 보호층(221) 상에 형성된 복수의 분출구들(228)에 각각 연결된다.The first cooling line includes a cooling gas flow path 222, a distribution line 224, and a plurality of branch lines 226. As shown in FIG. 7, the cooling gas flow path 222 is formed at the center of the support plate 220, and the lower end of the cooling gas flow path 222 is formed of the cooling gas flow path 242 formed at the center of the driving shaft 240. Connected to the top. The distribution line 224 extends in the radial direction of the support plate 220 from the cooling gas flow path 222. The branch lines 226 branch from the distribution line 224 and extend toward the upper portion of the support plate 220, and are connected to the plurality of ejection openings 228 formed on the protective layer 221, respectively.

구동축(240)의 중심에 형성된 냉각가스유로(242)의 하단은 냉각가스라인(244)에 연결되며, 냉각가스라인(244) 내에는 웨이퍼(W)의 배면에 공급되는 냉각가스가 흐른다. 냉각가스는 불활성기체(inert gas)를 포함하며, 불활성기체는 헬륨(He)을 포함한다.A lower end of the cooling gas flow path 242 formed at the center of the drive shaft 240 is connected to the cooling gas line 244, and the cooling gas supplied to the rear surface of the wafer W flows in the cooling gas line 244. The cooling gas contains an inert gas, and the inert gas contains helium (He).

냉각가스라인(244)을 통하여 냉각가스유로(242)에 공급된 냉각가스는 냉각가스유로(222) 및 분배라인(224)을 통하여 각각의 분기라인(226)으로 공급되며, 공급된 냉각가스는 분출구들(228)을 통하여 웨이퍼(W)의 배면에 공급된다.The cooling gas supplied to the cooling gas passage 242 through the cooling gas line 244 is supplied to each branch line 226 through the cooling gas passage 222 and the distribution line 224, and the supplied cooling gas is It is supplied to the back surface of the wafer W through the jet holes 228.

도 6에 도시한 바와 같이, 복수의 지지돌기들(229)은 보호층(221)의 상부에 설치된다. 복수의 지지돌기들(229)은 지지플레이트(220)의 중심 및 중심을 기준으로 네방향에 등간격으로 배치되며, 지지플레이트(220)의 상부에 놓여진 웨이퍼(W)의 배면을 지지한다.As shown in FIG. 6, the plurality of support protrusions 229 are provided on the protective layer 221. The plurality of support protrusions 229 are disposed at equal intervals in four directions with respect to the center and the center of the support plate 220, and support the rear surface of the wafer W placed on the support plate 220.

따라서, 웨이퍼(W)는 복수의 지지돌기들(229)에 의하여 지지되어 보호층(221)의 상부면으로부터 일정거리 이격된 상태를 유지하며, 웨이퍼(W)는 배면에 공급된 냉각가스에 의하여 일정한 온도로 조절된다.Accordingly, the wafer W is supported by the plurality of support protrusions 229 to be kept at a predetermined distance from the upper surface of the protective layer 221, and the wafer W is supported by the cooling gas supplied to the rear surface. Controlled to a constant temperature.

제2 냉각라인(232)은 분배라인(224)의 하부에 위치하며, 도 7에 도시한 바와 같이, 제2 냉각라인(232)은 냉각가스유로(222)를 감싸도록 배치된 나선 형상이다. 제2 냉각라인(232)은 지지플레이트(220)의 온도를 기설정된 온도로 냉각한다. 앞서 말한 바와 같이, 증착공정, 특히 고밀도 플라스마 화학기상증착공정에서 발생한 고온의 열로 인하여 지지플레이트(220)의 온도가 상승할 수 있다. 따라서, 제2 냉각라인(232)을 이용하여 지지플레이트(220)를 냉각시킨다.The second cooling line 232 is located below the distribution line 224, and as shown in FIG. 7, the second cooling line 232 is spirally arranged to surround the cooling gas flow path 222. The second cooling line 232 cools the temperature of the support plate 220 to a predetermined temperature. As mentioned above, the temperature of the support plate 220 may increase due to the high temperature heat generated in the deposition process, particularly the high density plasma chemical vapor deposition process. Therefore, the support plate 220 is cooled using the second cooling line 232.

도 5에 도시한 바와 같이, 제2 냉각라인(232)의 일단은 냉각유체공급라인(234)에 연결되며, 제2 냉각라인(232)의 타단은 냉각유체회수라인(236)에 연결된다. 냉각유체공급라인(234)은 냉각유체공급라인(234) 상에 설치된 밸브(234a)에 의하여 개폐된다. 냉각유체공급라인(234) 내에는 냉각유체가 흐르며, 제2 냉각라인(232)에 냉각유체를 공급한다. 냉각유체공급라인(234)을 통하여 공급된 냉각유체는 제2 냉각라인(232)을 따라 냉각유체회수라인(236)이 연결된 끝단까지 이동하면 서 지지플레이트(220)를 기설정된 온도로 냉각한다. 이후, 냉각유체는 냉각유체회수라인(236)을 통해 회수되며, 회수된 냉각유체는 칠러(chiller)(도시안됨)를 통하여 일정 온도로 냉각된 이후에 냉각유체공급라인(234)으로 재공급될 수 있다.As shown in FIG. 5, one end of the second cooling line 232 is connected to the cooling fluid supply line 234, and the other end of the second cooling line 232 is connected to the cooling fluid recovery line 236. The cooling fluid supply line 234 is opened and closed by a valve 234a installed on the cooling fluid supply line 234. Cooling fluid flows in the cooling fluid supply line 234, and supplies cooling fluid to the second cooling line 232. The cooling fluid supplied through the cooling fluid supply line 234 cools the support plate 220 to a predetermined temperature while moving to the end to which the cooling fluid recovery line 236 is connected along the second cooling line 232. Thereafter, the cooling fluid is recovered through the cooling fluid recovery line 236, and the recovered cooling fluid is cooled to a predetermined temperature through a chiller (not shown) and then supplied to the cooling fluid supply line 234 again. Can be.

공정챔버(100)의 측벽에는 웨이퍼(W)가 드나들 수 있는 통로(122)가 형성된다. 웨이퍼(W)는 통로(122)를 통하여 공정챔버(100)의 내부로 진입하거나 공정챔버(100)의 외부로 빠져나간다.The sidewall of the process chamber 100 is formed with a passage 122 through which the wafer W can enter. The wafer W enters into the process chamber 100 through the passage 122 or exits to the outside of the process chamber 100.

통로(122) 상에는 통로(122)를 개폐하는 도어(130)가 설치된다. 도어(130)는 구동기(132)에 연결되며, 구동기(132)의 작동에 의하여 통로(122)의 길이방향과 대체로 수직한 방향으로 이동하면서 통로(122)를 개폐한다.On the passage 122, a door 130 for opening and closing the passage 122 is installed. The door 130 is connected to the driver 132 and opens and closes the passage 122 while moving in a direction substantially perpendicular to the longitudinal direction of the passage 122 by the operation of the driver 132.

공정챔버(100)의 바닥벽에는 복수의 배기홀들(102)이 형성되며, 배기홀들(102)에는 각각 배기라인들(104)이 연결된다. 배기라인(104) 상에는 펌프(도시안됨)가 설치될 수 있다. 배기라인들(104)은 공정챔버(100) 내부의 가스를 외부로 배출하기 위한 통로가 된다. 공정챔버(100)의 내부에서 발생된 반응가스 및 미반응가스, 그리고 반응부산물 등은 배기라인들(104)을 통하여 공정챔버(100)의 외부로 배출되며, 공정챔버(100) 내부의 압력을 진공 상태로 유지하기 위하여 배기라인들(104)을 통하여 공정챔버(100) 내부의 가스를 외부로 배출할 수 있다.A plurality of exhaust holes 102 are formed in the bottom wall of the process chamber 100, and exhaust lines 104 are connected to the exhaust holes 102, respectively. A pump (not shown) may be installed on the exhaust line 104. The exhaust lines 104 serve as a passage for discharging the gas inside the process chamber 100 to the outside. The reaction gas, the unreacted gas, and the reaction by-product generated in the process chamber 100 are discharged to the outside of the process chamber 100 through the exhaust lines 104, and the pressure inside the process chamber 100 is discharged. In order to maintain the vacuum state, the gas inside the process chamber 100 may be discharged to the outside through the exhaust lines 104.

공정챔버(100) 내의 상부에는 증착 또는 식각공정을 수행할 수 있도록 공정챔버(100)의 내부에 소스가스를 공급하는 가스공급부재(400)가 제공된다. 가스공급 부재(400)는 샤워헤드 및 샤워헤드를 지지하는 지지축(460), 그리고 가스공급라인(460)을 포함한다.In the upper portion of the process chamber 100, a gas supply member 400 is provided to supply a source gas into the process chamber 100 so as to perform a deposition or etching process. The gas supply member 400 includes a shower head, a support shaft 460 for supporting the shower head, and a gas supply line 460.

샤워헤드는 지지플레이트(220)에 놓여진 웨이퍼(W)를 향하여 소스가스를 분사한다. 샤워헤드는 복수의 분사구들(422)이 형성된 분사판(420) 및 분사판(420)의 가장자리부에 결합된 복수의 분사노즐들(424), 그리고 분사판(420)을 고정하는 고정홀더(440)를 포함한다. 고정홀더(440)는 하부가 개방된 형상이며, 하부에는 분사판(420)이 고정된다. 고정홀더(440)와 분사판(420) 사이에는 버퍼공간(426)이 형성된다. 지지축(460)은 고정홀더(440)의 상부면에 연결된다.The shower head sprays the source gas toward the wafer W placed on the support plate 220. The shower head includes a spray plate 420 having a plurality of spray holes 422, a plurality of spray nozzles 424 coupled to an edge of the spray plate 420, and a fixing holder fixing the spray plate 420 ( 440). The fixed holder 440 has a shape in which the lower part is open, and the injection plate 420 is fixed to the lower part. A buffer space 426 is formed between the fixed holder 440 and the jet plate 420. The support shaft 460 is connected to the upper surface of the fixed holder 440.

지지축(460)의 일단에는 가스공급라인(460)이 연결되며, 가스공급라인(460)의 내부에는 소스가스가 흐른다. 가스공급라인(460)은 밸브(660a)에 의하여 개폐된다. 소스가스는 실란(silane)(SiH4)을 포함하는 실리콘-함유 가스 및 산소(O2)를 포함하는 산소-포함(oxygen-containing) 가스이다.A gas supply line 460 is connected to one end of the support shaft 460, and a source gas flows inside the gas supply line 460. The gas supply line 460 is opened and closed by the valve 660a. The source gas is a silicon-containing gas comprising silane (SiH 4 ) and an oxygen-containing gas comprising oxygen (O 2 ).

도 8은 도 3의 분사판(420) 및 분사노즐(424)을 나타내는 사시도이며, 도 9는 지지플레이트(220)에 놓여진 분사판(420) 및 분사노즐(424)과 웨이퍼(W)와 사이의 거리를 각각 나타내는 도면이다.FIG. 8 is a perspective view illustrating the jet plate 420 and the jet nozzle 424 of FIG. 3, and FIG. 9 is between the jet plate 420 and the jet nozzle 424 and the wafer W placed on the support plate 220. It is a figure which shows the distance of each.

도 8에 도시한 바와 같이, 분사판(420)은 대체로 웨이퍼(W)와 대응되는 원판 형상이며, 분사판(420)에는 복수의 분사구들(422)이 형성된다.As shown in FIG. 8, the jet plate 420 generally has a disk shape corresponding to the wafer W, and the jet plate 420 is provided with a plurality of jet holes 422.

분사판(420)의 가장자리부에는 복수의 분사노즐들(424)이 연결된다. 도 9에 도시한 바와 같이, 분사노즐(424)은 분사판(420)의 바닥면에 연결되며, 분사판(420)의 하부에 위치하는 지지플레이트(220)를 향하여 돌출된다. 분사노즐의 내부에는 내부유로(424a)가 형성되며, 내부유로(424a)는 분사노즐(424)이 연결된 분사구(422)와 연통한다. 따라서, 가스공급라인(460)을 통해 유입된 소스가스는 지지축(460)의 내부유로를 통해 버퍼공간(426)에 유입되며, 분사판(420)에 형성된 분사구들(422) 및 분사노즐들(424)을 통해 외부로 배출된다.A plurality of jetting nozzles 424 are connected to the edge of the jetting plate 420. As shown in FIG. 9, the spray nozzle 424 is connected to the bottom surface of the spray plate 420 and protrudes toward the support plate 220 positioned under the spray plate 420. An internal flow path 424a is formed inside the injection nozzle, and the internal flow path 424a communicates with the injection hole 422 to which the injection nozzle 424 is connected. Therefore, the source gas introduced through the gas supply line 460 flows into the buffer space 426 through the internal flow path of the support shaft 460, and the injection holes 422 and the injection nozzles formed in the injection plate 420. It is discharged to the outside through 424.

앞서 살펴본 바와 같이, 분사판(420)의 가장자리부에 연결된 분사노즐(424)은 지지플레이트(220)를 향하여 돌출된다. 따라서, 분사노즐(424)의 하단은 분사구(422)의 하단에 비하여 지지플레이트(220)에 근접해 있다. 즉, 도 9에 도시한 바와 같이, 분사노즐(424)의 하단으로부터 웨이퍼(W)의 상부면에 이르는 거리(de)는 분사구(422)의 하단으로부터 웨이퍼(W)의 상부면에 이르는 거리(dc)보다 작다.As described above, the spray nozzle 424 connected to the edge of the spray plate 420 protrudes toward the support plate 220. Accordingly, the lower end of the injection nozzle 424 is closer to the support plate 220 than the lower end of the injection port 422. That is, as shown in FIG. 9, the distance d e from the lower end of the injection nozzle 424 to the upper surface of the wafer W is the distance from the lower end of the injection port 422 to the upper surface of the wafer W. As shown in FIG. is less than (d c ).

도 9에 도시한 바와 같이, 가스공급라인(460)을 통해 공급된 소스가스는 지지축(460)의 내부유로를 통해 버퍼공간(426)에 유입된다. 이후 소스가스는 분사판(420)에 형성된 분사구들(422)을 통해 웨이퍼(W)의 중심부를 향해 분사되며, 분사노즐(424)을 통해 웨이퍼(W)의 가장자리부를 향해 분사된다. 이때, 앞서 살펴본 바와 같이, 분사노즐(424)의 하단은 분사구(422)의 하단에 비하여 지지플레이트(220)에 근접해 있으므로, 분사노즐(424)로부터 분사된 소스가스는 웨이퍼(W)의 가장자리부에 집중될 수 있다. 따라서, 분사노즐(424)은 충분한 양의 소스가스를 웨이퍼(W)의 가장자리부에 공급할 수 있으며, 이로 인하여 충분한 양의 플라스마를 웨이퍼(W)의 가장자리부에 공급할 수 있다.As shown in FIG. 9, the source gas supplied through the gas supply line 460 flows into the buffer space 426 through an internal flow path of the support shaft 460. Thereafter, the source gas is injected toward the center of the wafer W through the injection holes 422 formed in the injection plate 420, and is injected toward the edge portion of the wafer W through the injection nozzle 424. At this time, as described above, since the lower end of the injection nozzle 424 is closer to the support plate 220 than the lower end of the injection hole 422, the source gas injected from the injection nozzle 424 is the edge of the wafer (W) Can be focused on. Therefore, the injection nozzle 424 can supply a sufficient amount of source gas to the edge of the wafer W, thereby supplying a sufficient amount of plasma to the edge of the wafer W.

한편, 공정챔버(100)의 내부에 공급된 소스가스는 상부전극(300)에 의해 방전되며, 방전에 의해 플라스마가 생성된다. 상부전극(300)은 고정홀더(440)의 내부에 설치된다. 상부전극(300)은 분사판(420)과 나란하도록 배치되며, 버퍼공간(426)의 상부에 배치된다. 상부전극(300)에는 고주파 전원(RF power)이 연결되며, 상부전극(300)은 축전 결합 플라스마(Capacitively Coupled Plasma:CCP) 소스가 된다. 한편, 도 3에 도시한 바와 같이, 지지플레이트(220)는 접지되며, 상부전극(300)과 대응되는 하부전극의 역할을 한다. 따라서, 상부전극(300)에 고주파 전원이 인가되면, 상부전극(300)과 지지플레이트(220) 사이에는 전자기장이 형성되며, 전자기장에 의해 공정챔버(100) 내부의 소스가스는 방전된다.On the other hand, the source gas supplied into the process chamber 100 is discharged by the upper electrode 300, the plasma is generated by the discharge. The upper electrode 300 is installed inside the fixed holder 440. The upper electrode 300 is disposed to be parallel to the jet plate 420 and is disposed above the buffer space 426. An RF power is connected to the upper electrode 300, and the upper electrode 300 becomes a capacitively coupled plasma (CCP) source. On the other hand, as shown in Figure 3, the support plate 220 is grounded, and serves as a lower electrode corresponding to the upper electrode 300. Therefore, when a high frequency power is applied to the upper electrode 300, an electromagnetic field is formed between the upper electrode 300 and the support plate 220, and the source gas inside the process chamber 100 is discharged by the electromagnetic field.

도 10은 본 발명에 따른 기판처리방법을 나타내는 흐름도이며, 도 11은 도 3의 기판처리장치(10)가 작동하는 모습을 나타내는 도면이다. 이하, 도 10 및 도 11을 참고하여 본 발명에 따른 기판처리방법을 설명하기로 한다.10 is a flowchart illustrating a substrate processing method according to the present invention, and FIG. 11 is a view illustrating a state in which the substrate processing apparatus 10 of FIG. 3 operates. Hereinafter, a substrate treating method according to the present invention will be described with reference to FIGS. 10 and 11.

먼저, 웨이퍼(W)를 공정챔버(100) 내의 지지부재(200) 상에 로딩한다(S10). 구동기(132)에 의하여 도어(130)가 개방되면, 웨이퍼(W)는 통로(122)를 통하여 공정챔버(100)의 내부로 유입되며, 지지부재(200) 상의 지지돌기(229) 상에 놓여진다. 앞서 설명한 바와 같이, 웨이퍼(W)는 정전기력에 의하여 지지플레이트(220) 상 에 고정될 수 있다.First, the wafer W is loaded on the support member 200 in the process chamber 100 (S10). When the door 130 is opened by the driver 132, the wafer W is introduced into the process chamber 100 through the passage 122 and placed on the support protrusion 229 on the support member 200. Lose. As described above, the wafer W may be fixed on the support plate 220 by electrostatic force.

다음, 공정챔버(100) 내에 플라스마를 생성한다(S20). 플라스마를 생성하는 구체적인 방법은 다음과 같다. 첫번째로, 가스공급부재(400)를 이용하여 웨이퍼(W)의 상부에 소스가스를 공급한다. 가스공급라인(460) 내부를 흐르는 소스가스는 지지축(460)의 내부 및 버퍼공간(426)을 통해 웨이퍼(W)의 상부에 공급된다. 앞서 살펴본 바와 같이, 센터분사구들(422)을 통해 분사된 소스가스는 웨이퍼(W)의 중심부를 향하며, 에지분사구들(424)을 통해 분사된 소스가스는 웨이퍼(W)의 가장자리부를 향한다. 두번째로, 공급된 소스가스를 방전시킨다. 상부전극(300)에 고주파 전원을 인가하면 상부전극(300)과 지지플레이트(220) 사이에 전자기장이 형성되며, 공정챔버(100) 내부의 소스가스는 방전되어 플라스마를 생성한다. 이는 축전 결합 플라스마 소스 방식이다. 이때, 앞서 살펴본 바와 같이, 분사노즐(424)의 하단은 분사구(422)의 하단에 비하여 지지플레이트(220)에 근접해 있으므로, 분사노즐(424)로부터 분사된 소스가스는 웨이퍼(W)의 가장자리부에 집중될 수 있다. 또한, 분사노즐(424)은 충분한 양의 소스가스를 웨이퍼(W)의 가장자리부에 공급할 수 있으므로, 웨이퍼(W)의 가장자리부에는 충분한 양의 플라스마가 공급될 수 있다.Next, plasma is generated in the process chamber 100 (S20). Specific methods for generating plasma are as follows. First, the source gas is supplied to the upper portion of the wafer W by using the gas supply member 400. Source gas flowing in the gas supply line 460 is supplied to the upper portion of the wafer W through the interior of the support shaft 460 and the buffer space 426. As described above, the source gas injected through the center injection holes 422 is directed toward the center of the wafer W, and the source gas injected through the edge injection holes 424 is toward the edge of the wafer W. Secondly, the supplied source gas is discharged. When a high frequency power is applied to the upper electrode 300, an electromagnetic field is formed between the upper electrode 300 and the support plate 220, and the source gas inside the process chamber 100 is discharged to generate plasma. This is a capacitively coupled plasma source method. At this time, as described above, since the lower end of the injection nozzle 424 is closer to the support plate 220 than the lower end of the injection hole 422, the source gas injected from the injection nozzle 424 is the edge of the wafer (W) Can be focused on. In addition, the injection nozzle 424 can supply a sufficient amount of source gas to the edge of the wafer (W), a sufficient amount of plasma can be supplied to the edge of the wafer (W).

다음, 생성된 플라스마를 이용하여 웨이퍼(W)의 갭 내에 막을 증착한다(S30). 생성된 플라스마는 웨이퍼(W) 상에 공급되며, 웨이퍼(W)의 갭 내에는 막이 증착된다. 이후, 앞서 설명한 바와 같이, 갭 상부에서 성장한 오버행을 제거하기 위한 에칭이 이루어지며, 에칭이 완료되면 동일한 방법으로 증착과정이 반복된다. 이와 같은 방법을 통하여 웨이퍼(W)의 갭은 채워진다.Next, a film is deposited in the gap of the wafer W using the generated plasma (S30). The resulting plasma is supplied onto the wafer W, and a film is deposited in the gap of the wafer W. Thereafter, as described above, etching is performed to remove the overhang grown on the gap, and when the etching is completed, the deposition process is repeated in the same manner. Through this method, the gap of the wafer W is filled.

상술한 방법에 의하면, 웨이퍼(W)의 가장자리부에 충분한 소스가스 및 플라스마를 공급할 수 있다. 웨이퍼의 전면에 대하여 공정균일도를 증가시킬 수 있다. 또한, 웨이퍼 상에 균일한 두께의 막을 증착할 수 있다.According to the method mentioned above, sufficient source gas and plasma can be supplied to the edge part of the wafer W. As shown in FIG. Process uniformity can be increased for the entire surface of the wafer. It is also possible to deposit a film of uniform thickness on the wafer.

본 발명을 바람직한 실시예들을 통하여 상세하게 설명하였으나, 이와 다른 형태의 실시예들도 가능하다. 그러므로, 이하에 기재된 청구항들의 기술적 사상과 범위는 바람직한 실시예들에 한정되지 않는다.Although the present invention has been described in detail with reference to preferred embodiments, other forms of embodiments are possible. Therefore, the spirit and scope of the claims set forth below are not limited to the preferred embodiments.

본 발명에 의하면, 웨이퍼의 가장자리부에 충분한 소스가스 및 플라스마를 공급할 수 있다. 또한, 웨이퍼의 전면에 대하여 공정균일도를 증가시킬 수 있다. 또한, 웨이퍼 상에 균일한 두께의 막을 증착할 수 있다.According to the present invention, sufficient source gas and plasma can be supplied to the edge portion of the wafer. In addition, process uniformity can be increased with respect to the entire surface of the wafer. It is also possible to deposit a film of uniform thickness on the wafer.

Claims (9)

기판에 대한 공정이 이루어지는 내부공간을 제공하는 공정챔버;A process chamber providing an internal space in which a process is performed on the substrate; 상기 공정챔버 내부에 설치되며, 상기 기판을 지지하는 지지부재;A support member installed inside the process chamber and supporting the substrate; 상기 지지부재의 상부에 위치하며, 상기 지지부재를 향하여 소스가스를 공급하는 샤워헤드; 및A shower head positioned at an upper portion of the support member and configured to supply a source gas toward the support member; And 상기 샤워헤드로부터 공급된 상기 소스가스로부터 플라스마를 생성하는 플라스마 생성부재를 포함하되,Including a plasma generating member for generating a plasma from the source gas supplied from the shower head, 상기 샤워헤드는,The shower head, 상기 소스가스를 분사하는 복수의 분사구들이 형성된 분사판; 및A jet plate having a plurality of injection holes for injecting the source gas; And 상기 분사판으로부터 상기 기판을 향하여 돌출되도록 결합되며, 상기 소스가스를 분사하는 복수의 분사노즐들을 포함하는 것을 특징으로 하는 기판처리장치.And a plurality of injection nozzles coupled to protrude from the jet plate toward the substrate and for injecting the source gas. 제1항에 있어서,The method of claim 1, 상기 분사노즐들은 상기 지지부재에 놓여진 상기 기판의 가장자리부에 대응되도록 배치되는 것을 특징으로 하는 기판처리장치.And the spray nozzles are disposed to correspond to an edge portion of the substrate placed on the support member. 제1항 또는 제2항에 있어서,The method according to claim 1 or 2, 상기 분사노즐들은 상기 분사판의 에지부에 결합되는 것을 특징으로 하는 기판처리장치.And the spray nozzles are coupled to an edge portion of the spray plate. 제3항에 있어서,The method of claim 3, 상기 복수의 분사구들은 상기 분사판의 센터부에 형성된 센터분사구들 및 상기 분사판의 에지부에 형성된 에지분사구들을 포함하며,The plurality of injection holes include center injection holes formed in the center portion of the injection plate and edge injection holes formed in the edge portion of the injection plate, 상기 에지분사구들은 상기 분사노즐들과 각각 연통되는 것을 특징으로 하는 기판처리장치.And the edge injection ports are in communication with the injection nozzles, respectively. 제1항 내지 제4항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 4, 상기 샤워헤드는,The shower head, 상기 분사판을 홀딩하는 고정홀더; 및A fixed holder holding the jet plate; And 상기 고정홀더의 상부에 연결된 지지축을 더 포함하는 것을 특징으로 하는 기판처리장치.And a support shaft connected to an upper portion of the fixed holder. 제5항에 있어서,The method of claim 5, 상기 플라스마 생성부재는,The plasma generating member, 상기 지지부재의 상부에 놓여진 상부전극; 및An upper electrode disposed on the support member; And 상기 상부전극에 대향되도록 배치되는 하부전극을 포함하되,A lower electrode disposed to face the upper electrode, 상기 상부전극은 상기 분사판과 나란하도록 상기 고정홀더에 결합되는 것을 특징으로 하는 기판처리장치.And the upper electrode is coupled to the fixed holder to be parallel to the jet plate. 플라스마를 이용하여 기판을 처리하는 방법에 있어서,In the method of processing a substrate using a plasma, 상기 기판을 공정챔버의 내부에 설치된 지지부재 상에 올려 놓는 단계;Placing the substrate on a support member installed in the process chamber; 상기 공정챔버의 내부에 상기 기판을 향하여 소스가스를 공급하고, 상기 소스가스로부터 상기 플라스마를 생성하는 단계; 및Supplying a source gas toward the substrate in the process chamber and generating the plasma from the source gas; And 상기 플라스마를 이용하여 상기 기판을 처리하는 단계를 포함하되,Treating the substrate using the plasma, 상기 기판을 향하여 소스가스를 공급하는 단계는 상기 기판의 상부에 상기 기판과 나란하게 배치된 분사판의 복수의 분사구들 및 상기 분사판에 결합된 복수의 분사노즐들을 이용하여 상기 소스가스를 공급하는 단게를 포함하는 것을 특징으로 하는 기판 처리 방법.The supplying of the source gas toward the substrate may include supplying the source gas by using a plurality of injection holes of a jet plate disposed in parallel with the substrate and a plurality of injection nozzles coupled to the jet plate on the substrate. Substrate processing method comprising the step. 제7항에 있어서,The method of claim 7, wherein 상기 분사노즐들은 상기 지지부재에 놓여진 상기 기판의 가장자리부에 대응되도록 배치되는 것을 특징으로 하는 기판 처리 방법.The spray nozzles are disposed to correspond to the edge portion of the substrate placed on the support member. 제7항 또는 제8항에 있어서,The method according to claim 7 or 8, 상기 분사노즐들은 상기 분사판의 에지부에 결합되는 것을 특징으로 하는 기판 처리 방법.And the injection nozzles are coupled to an edge portion of the injection plate.
KR1020070020719A 2007-03-02 2007-03-02 Apparatus and method for processing substrate KR100829925B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070020719A KR100829925B1 (en) 2007-03-02 2007-03-02 Apparatus and method for processing substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070020719A KR100829925B1 (en) 2007-03-02 2007-03-02 Apparatus and method for processing substrate

Publications (1)

Publication Number Publication Date
KR100829925B1 true KR100829925B1 (en) 2008-05-16

Family

ID=39664414

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070020719A KR100829925B1 (en) 2007-03-02 2007-03-02 Apparatus and method for processing substrate

Country Status (1)

Country Link
KR (1) KR100829925B1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010129783A1 (en) * 2009-05-06 2010-11-11 3M Innovative Properties Company Apparatus and method for plasma treatment of containers
US8304976B2 (en) 2009-06-30 2012-11-06 3M Innovative Properties Company Electroluminescent devices with color adjustment based on current crowding
US8541803B2 (en) 2009-05-05 2013-09-24 3M Innovative Properties Company Cadmium-free re-emitting semiconductor construction
US8629611B2 (en) 2009-06-30 2014-01-14 3M Innovative Properties Company White light electroluminescent devices with adjustable color temperature
US8994071B2 (en) 2009-05-05 2015-03-31 3M Innovative Properties Company Semiconductor devices grown on indium-containing substrates utilizing indium depletion mechanisms
US9293622B2 (en) 2009-05-05 2016-03-22 3M Innovative Properties Company Re-emitting semiconductor carrier devices for use with LEDs and methods of manufacture

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050076070A (en) * 2004-01-19 2005-07-26 주성엔지니어링(주) Shower head of manufacturing apparatus for lcd

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050076070A (en) * 2004-01-19 2005-07-26 주성엔지니어링(주) Shower head of manufacturing apparatus for lcd

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8541803B2 (en) 2009-05-05 2013-09-24 3M Innovative Properties Company Cadmium-free re-emitting semiconductor construction
US8994071B2 (en) 2009-05-05 2015-03-31 3M Innovative Properties Company Semiconductor devices grown on indium-containing substrates utilizing indium depletion mechanisms
US9293622B2 (en) 2009-05-05 2016-03-22 3M Innovative Properties Company Re-emitting semiconductor carrier devices for use with LEDs and methods of manufacture
WO2010129783A1 (en) * 2009-05-06 2010-11-11 3M Innovative Properties Company Apparatus and method for plasma treatment of containers
US10410838B2 (en) 2009-05-06 2019-09-10 3M Innovative Properties Company Apparatus and method for plasma treatment of containers
US8304976B2 (en) 2009-06-30 2012-11-06 3M Innovative Properties Company Electroluminescent devices with color adjustment based on current crowding
US8629611B2 (en) 2009-06-30 2014-01-14 3M Innovative Properties Company White light electroluminescent devices with adjustable color temperature

Similar Documents

Publication Publication Date Title
KR100839190B1 (en) Apparatus and method for processing substrate
KR100385532B1 (en) Plasma processing method and apparatus
KR100829925B1 (en) Apparatus and method for processing substrate
US8821641B2 (en) Nozzle unit, and apparatus and method for treating substrate with the same
KR100819096B1 (en) Semiconductor manufacturing device for processing peox process and method for cleaning use remote plasma semiconductor manufacturing device
KR100605884B1 (en) Surface treatment method and surface treatment apparatus
US8052887B2 (en) Substrate processing apparatus
JPH07312348A (en) Method and apparatus for treatment
KR100905899B1 (en) Substrate lifting unit, appratus and method for treating substrate using the same
KR100855879B1 (en) Jig for sealing member and method for inserting the sealing member
KR100857232B1 (en) Substrate processing apparatus and method for opening and closing the passage formed in process chamber of the substrate processing apparatus, method for processing substrate
KR100888651B1 (en) Method and apparatus for treating the substrate
KR100873150B1 (en) apparatus and method for processing substrate
KR100857231B1 (en) apparatus and method for treating substrate
KR100839188B1 (en) Method and apparatus for processing substrate
KR100860588B1 (en) Nozzle assembly and substrate processing apparatus including the nozzle, assembly and method for processing the substrate
KR100839189B1 (en) Semiconductor manufacturing apparatus and method for transporting substrate to process chamber of the semiconductor manufacturing apparatus
KR100855878B1 (en) Equipment for manufacturing semiconductor
KR20080062339A (en) Apparatus for treating substrate and method for manufaturing the apparatus
KR20090051984A (en) Apparatus for treating a substrate
KR20080062211A (en) Apparatus and method for treating substrate
KR100819159B1 (en) Substrate treating apparatus and method for supplying process chamber of the substrate treating apparatus with processing gas
KR20080062338A (en) Semiconductor manufacturing apparatus including multiple processing chambers and method for cleaning the multiple processing chambers
KR20080062340A (en) Apparatus for treating substrate
KR20080062210A (en) Adapter and apparatus for treating substrate including the same, and connecting method using the adapter

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee