KR100773755B1 - A method for depositing thin film using ALD - Google Patents

A method for depositing thin film using ALD Download PDF

Info

Publication number
KR100773755B1
KR100773755B1 KR1020040094603A KR20040094603A KR100773755B1 KR 100773755 B1 KR100773755 B1 KR 100773755B1 KR 1020040094603 A KR1020040094603 A KR 1020040094603A KR 20040094603 A KR20040094603 A KR 20040094603A KR 100773755 B1 KR100773755 B1 KR 100773755B1
Authority
KR
South Korea
Prior art keywords
thin film
plasma
chamber
feeding
purge
Prior art date
Application number
KR1020040094603A
Other languages
Korean (ko)
Other versions
KR20060055138A (en
Inventor
임홍주
이상규
서태욱
장호승
Original Assignee
주식회사 아이피에스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 아이피에스 filed Critical 주식회사 아이피에스
Priority to KR1020040094603A priority Critical patent/KR100773755B1/en
Priority to PCT/KR2005/003860 priority patent/WO2006054854A1/en
Priority to TW094140193A priority patent/TW200617199A/en
Publication of KR20060055138A publication Critical patent/KR20060055138A/en
Application granted granted Critical
Publication of KR100773755B1 publication Critical patent/KR100773755B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 플라즈마 ALD 박막증착방법에 관한 것으로서, 기판이 안착되어 있는 챔버 내부로 제1반응원을 피딩하는 제1피딩단계와, 제1반응원을 챔버로부터 퍼지하는 제1퍼지단계와, 챔버로 제2반응원을 피딩하는 제2피딩단계와, 챔버로부터 제1반응원과 반응하지 않거나 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계로 구성되는 싸이클을 반복함으로써 기판 상에 박막을 증착하는 ALD 박막증착방법에 있어서, 제1퍼지단계와 제2퍼지단계 중 적어도 하나 이상이 진행되는 동안 챔버 내부로 플라즈마를 인가하는 것을 특징으로 한다. The present invention relates to a plasma ALD thin film deposition method, the first feeding step of feeding a first reaction source into the chamber on which the substrate is seated, the first purge step of purging the first reaction source from the chamber, ALD for depositing a thin film on a substrate by repeating a cycle consisting of a second feeding step of feeding a second reactant and a second purge step of purging by-products generated by not reacting or reacting with the first reactant from the chamber. In the thin film deposition method, the plasma is applied into the chamber while at least one of the first purge step and the second purge step is in progress.

Description

플라즈마 ALD 박막증착방법{A method for depositing thin film using ALD}A method for depositing thin film using ALD

도 1은 종래의 ALD 박막증착방법의 공정 시퀀스를 그래프로 도시한 도면,1 is a graph showing a process sequence of a conventional ALD thin film deposition method,

도 2는 본 발명에 따른 플라즈마 ALD 박막증착방법의 제1실시예의 공정 시퀀스를 그래프로 도시한 도면,2 is a graph showing a process sequence of a first embodiment of the plasma ALD thin film deposition method according to the present invention;

도 3은 본 발명에 따른 플라즈마 ALD 박막증착방법의 제2실시예의 공정 시퀀스를 그래프로 도시한 도면.3 is a graph showing a process sequence of a second embodiment of the plasma ALD thin film deposition method according to the present invention.

<도면의 주요부분에 대한 부호 설명><Description of Signs of Major Parts of Drawings>

S1 ... 제1피딩단계S1 ... first feeding step

S2 ... 제1퍼지단계S2 ... first purge step

S3 ... 제2피딩단계S3 ... second feeding step

S4 ... 제2퍼지단계S4 ... second purge step

S2' ... 제1플라즈마인가단계S2 '... first plasma application stage

S4' ... 제2플라즈마인가단계S4 '... Second Plasma Approval Stage

S2a, S2b, S2c ... 제1서브퍼지단계S2a, S2b, S2c ... first sub purge step

S4a, S4b, S4c ... 제2서브퍼지단계S4a, S4b, S4c ... second sub purge step

S2b' ... 제1플라즈마인가단계S2b '... first plasma application step

S4b' ... 제2플라즈마인가단계S4b '... second plasma applying step

본 발명은 플라즈마를 이용한 플라즈마 ALD 박막증착방법에 관한 것이다. The present invention relates to a plasma ALD thin film deposition method using plasma.

ALD 박막증착방법이란, 기판이 내장된 챔버 내부에 다른 종류의 반응원을 교호적으로 피딩 및 퍼지함으로써, 기판상에 원자층 단위로 박막을 증착하는 방법이다. ALD 박막증착방법이 개발된 초기에는 주로 기판을 수백도 이상으로 가열함으로써 반응원에 분해에너지를 인가하는 방식을 채택하였다. The ALD thin film deposition method is a method of depositing thin films in atomic layer units on a substrate by alternately feeding and purging different kinds of reaction sources in a chamber in which the substrate is embedded. In the early days of the development of ALD thin film deposition method, a method of applying decomposition energy to a reaction source was mainly adopted by heating a substrate to several hundred degrees or more.

그러나, 기판을 고온으로 가열할 경우, transistor 를 구성하고 있는 박막의 특성의 변화로 인해 take-off voltage의 변화나 leakage current의 증가 등 소자의 transiter 특성이 변화가 생길 수 있으며, 또한 높은 온도로 인해 이전에 증착된 박막의 결정화로 인해 박막 특성의 변화가 발생하는 등의 문제점이 발생한다.However, when the substrate is heated to a high temperature, the transiter characteristics of the device may change due to a change in the characteristics of the thin film constituting the transistor, such as a change in take-off voltage or an increase in leakage current. The crystallization of the previously deposited thin film causes problems such as changes in thin film properties.

따라서, 증착 온도를 낮추고 다양한 종류의 박막을 증착하기 위하여, 반응원으로 금속유기화합물(metalorganic precursor)을 사용하는 MOCVD( metalorganic chemical vapor deposition) 또는 MOALD(metalorganic atomic layer deposition)가 개발되었다. 그러나, 금속유기화합물은 대부분 증기압이 낮고 분자 사이즈가 크기 때문에, 단위 시간당 증착매수가 적고 고온을 인가하기에 제약이 있었다. 따라서, 보조에너지를 인가하여 반응원의 분해를 도움으로써, 증착온도를 낮추고 증착 속도를 향상시키는 방법이 연구되고 있다.  Therefore, in order to lower the deposition temperature and deposit various types of thin films, metalorganic chemical vapor deposition (MOCVD) or metalorganic atomic layer deposition (MOALD) using a metalorganic precursor (metalorganic precursor) as a reaction source has been developed. However, most of metal organic compounds have a low vapor pressure and a large molecular size, so that the number of depositions per unit time is small and high temperature is applied. Therefore, a method of lowering the deposition temperature and improving the deposition rate by applying auxiliary energy to assist in decomposition of the reaction source has been studied.

이러한 연구결과, 박막을 증착할 때, 보조에너지로서 챔버 내부에 플라즈마를 인가하는 PEALD(plasma-enhanced atomic layer deposition) 나 PAALD(Plasma-assisted ALD)등이 개발되었다. PEALD 나 PAALD 는, 도 1에 도시된 바와 같이, 기판이 안착되어 있는 챔버 내부로 제1반응원을 피딩하는 제1피딩단계(S1), 제1반응원을 챔버로부터 퍼지하는 제1퍼지단계(S2)와, 제2반응원을 피딩하는 제2피딩단계(S3)와, 제1반응원과 반응하지 않은 제2반응원이나 생성된 부산물을 퍼지하는 제2퍼지단계(S4)를 한 싸이클로서 여러번 반복하는 ALD 박막증착방법에 있어서, 제1피딩단계(S1) 및/또는 제2피딩단계(S2)에 진행되는 동안에, 챔버에 플라즈마를 인가하는 제1플라즈마인가단계(S1')나 제2플라즈마인가단계(S3')를 수행함으로써 반응원의 분해가 잘 일어나도록 한 방법이었다. As a result of this research, plasma-enhanced atomic layer deposition (PEALD) or plasma-assisted ALD (PAALD) has been developed to apply plasma to the chamber as auxiliary energy when depositing thin films. PEALD or PAALD, as shown in Figure 1, the first feeding step (S1) for feeding the first reaction source into the chamber on which the substrate is seated, the first purge step for purging the first reaction source from the chamber ( S2), a second feeding step (S3) for feeding the second reactant, and a second purge step (S4) for purging the second reactant or the generated by-products that did not react with the first reactant as one cycle. In the ALD thin film deposition method which is repeated several times, during the first feeding step S1 and / or the second feeding step S2, the first plasma applying step S1 'or the second plasma applying step is applied. The plasma application step (S3 ') was performed to cause decomposition of the reaction source.

그러나, 챔버에 인가된 플라즈마는, 반응원을 기판 표면이 아닌 챔버 내부 공간에서 분해시킴으로써, 완전한 ALD 특성보다는 CVD 특성을 보이게 되는 경우가 많았다.However, the plasma applied to the chamber often exhibited CVD characteristics rather than complete ALD characteristics by decomposing the reaction source in the chamber interior space, not the substrate surface.

또한, 플라즈마가 초기 인가될 때 플라즈마는 불안전한 상태이기 때문에 반응원의 분해상태가 고르게 되지 않았으며, 이에 따라 반응원의 피딩시 인가되는 플라즈마에 의하여 박막의 특성이 나빠진다는 문제점이 있었다.In addition, since the plasma is unstable when the plasma is initially applied, the decomposition state of the reaction source is not uniform. Accordingly, there is a problem that the characteristics of the thin film are deteriorated by the plasma applied when the reaction source is fed.

본 발명은 상기와 같은 문제점을 해결하기 위하여 창출된 것으로서, 기판 표면 이외의 챔버 공간에서 반응원이 분해되지 않도록 함으로써 완벽한 ALD 박막증착을 구현할 수 있도록 하는 플라즈마 ALD 박막증착방법에 관한 것이다. The present invention has been made to solve the above problems, and relates to a plasma ALD thin film deposition method that can implement a perfect ALD thin film deposition by preventing the reaction source is decomposed in the chamber space other than the substrate surface.                         

본 발명의 다른 초기 플라즈마를 인가할 때 플라즈마가 불안전하더라도 기판에 증착되는 박막의 특성이 나빠지는 것을 방지할 수 있는 플라즈마 ALD 박막증착방법을 제공하는 것을 목적으로 한다. Another object of the present invention is to provide a plasma ALD thin film deposition method capable of preventing deterioration of properties of a thin film deposited on a substrate even when the plasma is unstable when the initial plasma is applied.

상기와 같은 목적을 달성하기 위하여, 본 발명에 따른 플라즈마 ALD 박막증착방법은, In order to achieve the above object, the plasma ALD thin film deposition method according to the present invention,

기판이 안착되어 있는 챔버 내부로 제1반응원을 피딩하는 제1피딩단계와, 상기 제1반응원을 상기 챔버로부터 퍼지하는 제1퍼지단계와, 상기 챔버로 제2반응원을 피딩하는 제2피딩단계와, 상기 챔버로부터 상기 제1반응원과 반응하지 않거나 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계로 구성되는 싸이클을 반복함으로써 상기 기판상에 박막을 증착하는 ALD 박막증착방법에 있어서, 상기 제1퍼지단계와 상기 제2퍼지단계 중 적어도 하나 이상이 진행되는 동안 상기 챔버 내부로 플라즈마를 인가하는 것을 특징으로 한다. A first feeding step of feeding a first reaction source into the chamber in which the substrate is seated, a first purging step of purging the first reaction source from the chamber, and a second feeding of a second reaction source into the chamber In the ALD thin film deposition method of depositing a thin film on the substrate by repeating a cycle consisting of a feeding step and a second purge step of purging by-products generated by not reacting or reacting with the first reaction source from the chamber, The plasma is applied into the chamber while at least one of the first purge step and the second purge step is in progress.

본 발명에 있어서. 상기 제1퍼지단계는 1개 또는 적어도 2 개 이상의 제1서브퍼지단계로 구성되고, 상기 플라즈마는 상기 제1서브퍼지단계가 진행되는 동안 상기 챔버 내부로 인가된다. In the present invention. The first purge step is composed of one or at least two first subpurge steps, and the plasma is applied into the chamber during the first subpurge step.

본 발명에 있어서, 상기 제2퍼지단계는 1개 또는 적어도 2 개 이상의 제2서브퍼지단계로 구성되고, 상기 플라즈마는 상기 제2서브퍼지단계가 진행되는 동안 상기 챔버 내부로 인가된다. In the present invention, the second purge step is composed of one or at least two second sub purge steps, and the plasma is applied into the chamber during the second sub purge step.

이하, 본 발명에 따른 플라즈마 ALD 박막증착방법을 첨부된 도면을 참조하여 상세히 설명한다. Hereinafter, a plasma ALD thin film deposition method according to the present invention will be described in detail with reference to the accompanying drawings.

본 발명에 따른 플라즈마 ALD 박막증착방법은, 제1피딩단계와, 제1퍼지단계와, 제2피딩단계와, 제2퍼지단계로 구성되는 싸이클을 반복함으로써 기판상에 박막을 증착하며, 이때, 제1퍼지단계와 제2퍼지단계 중 적어도 하나 이상이 진행되는 동안 챔버 내부로 플라즈마를 인가한다. 이를 상세히 설명하면 다음과 같다. In the plasma ALD thin film deposition method according to the present invention, a thin film is deposited on a substrate by repeating a cycle consisting of a first feeding step, a first purge step, a second feeding step, and a second purge step. The plasma is applied into the chamber while at least one of the first purge step and the second purge step is in progress. This will be described in detail as follows.

도 2는 본 발명에 따른 플라즈마 ALD 박막증착방법의 제1실시예의 공정 시퀀스를 그래프로 도시한 도면이다. 2 is a graph showing a process sequence of the first embodiment of the plasma ALD thin film deposition method according to the present invention.

도시된 바와 같이, 본 발명에 따른 플라즈마 ALD 박막증착방법의 제1실시예는, 기판이 안착되어 있는 챔버 내부로 제1반응원을 피딩하는 제1피딩단계(S1)와, 제1반응원을 챔버로부터 퍼지하는 제1퍼지단계(S2)와, 챔버로 제2반응원을 피딩하는 제2피딩단계(S3)와, 챔버로부터 제1반응원과 반응하지 않거나 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4)로 구성되는 싸이클을 반복함으로써 기판상에 박막을 증착한다. 상기한 공정 시퀀스를 따라 1 싸이클이 진행될 경우, 기판상에 1 원자층 또는 1 분자층이 형성된다. 이때, 챔버를 퍼지시키기 위한 가스로서 Ar 이나 N2 등 불활성가스를 사용한다. As shown, the first embodiment of the plasma ALD thin film deposition method according to the present invention, the first feeding step (S1) for feeding the first reaction source into the chamber on which the substrate is seated, and the first reaction source A first purging step S2 for purging from the chamber, a second feeding step S3 for feeding a second reaction source into the chamber, and a second purging by-product generated by not reacting with or reacting with the first reaction source from the chamber; The thin film is deposited on the substrate by repeating the cycle consisting of two purge steps (S4). When one cycle proceeds according to the above process sequence, one atomic layer or one molecular layer is formed on the substrate. At this time, an inert gas such as Ar or N2 is used as a gas for purging the chamber.

이때, 제1퍼지단계(S2)와 제2퍼지단계(S4) 중 적어도 하나 이상이 진행되는 동안에 챔버 내부로 플라즈마를 인가하는 플라즈마인가단계를 수행한다. 본 실시예에서 플라즈마인가단계는, 제1퍼지단계(S2)와 동시에 진행되는 제1플라즈마인가단계(S2')와, 제2퍼지단계(S4)와 동시에 진행되는 제2플라즈마인가단계(S4')로 구성된다. In this case, a plasma applying step of applying a plasma into the chamber while performing at least one of the first purge step S2 and the second purge step S4 is performed. In the present embodiment, the plasma applying step may include a first plasma applying step S2 ′ that is performed simultaneously with the first purge step S2 and a second plasma applying step S4 ′ that proceeds simultaneously with the second purge step S4. It is composed of

여기서, 제1반응원과 제2반응원은 일반적으로 TMA, TEMAHf, TEMASi, PET 등 대부분의 liquid source나 NH3, H2, SiH4, SiH2Cl2 등 gas등 ALD에 사용되는 모든 반응원을 사용할 수 있다. In this case, the first and second reactants may generally use most of the liquid sources such as TMA, TEMAHf, TEMASi, PET, and all reactants used in ALD such as NH3, H2, SiH4, SiH2Cl2, and gas.

도 3은 본 발명에 따른 플라즈마 ALD 박막증착방법의 제2실시예의 공정 시퀀스를 그래프로 도시한 도면이다. 3 is a graph showing a process sequence of a second embodiment of the plasma ALD thin film deposition method according to the present invention.

도시된 바와 같이, 본 발명에 따른 플라즈마 ALD 박막증착방법의 제2실시예는, 기판이 안착되어 있는 챔버 내부로 제1반응원을 피딩하는 제1피딩단계(S1)와, 제1반응원을 챔버로부터 퍼지하는 제1퍼지단계(S2)와, 챔버로 제2반응원을 피딩하는 제2피딩단계(S3)와, 챔버로부터 제1반응원과 반응하지 않거나 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4)로 구성되는 싸이클을 반복함으로써 기판상에 박막을 증착한다. 상기한 공정 시퀀스를 따라 1 싸이클이 진행될 경우, 기판상에 1 원자층 또는 1 분자층이 형성된다. 이때, 챔버를 퍼지시키기 위한 가스로서 Ar 이나 N2 등 불활성가스를 사용한다. As shown, the second embodiment of the plasma ALD thin film deposition method according to the present invention, the first feeding step (S1) for feeding the first reaction source into the chamber on which the substrate is seated, and the first reaction source A first purging step S2 for purging from the chamber, a second feeding step S3 for feeding a second reaction source into the chamber, and a second purging by-product generated by not reacting with or reacting with the first reaction source from the chamber; The thin film is deposited on the substrate by repeating the cycle consisting of two purge steps (S4). When one cycle proceeds according to the above process sequence, one atomic layer or one molecular layer is formed on the substrate. At this time, an inert gas such as Ar or N2 is used as a gas for purging the chamber.

이때, 제1퍼지단계는 1개 또는 적어도 2 개 이상의 제1서브퍼지단계로 구성되고, 플라즈마는 제1서브퍼지단계가 진행되는 동안 챔버 내부로 인가된다. 또한, 제2퍼지단계도 1개 또는 적어도 2 개 이상의 제2서브퍼지단계로 구성되고, 플라즈마는 제2서브퍼지단계가 진행되는 동안 챔버 내부로 인가된다.At this time, the first purge step is composed of one or at least two first sub-purge steps, and the plasma is applied into the chamber while the first sub-purge step is in progress. In addition, the second purge step is also composed of one or at least two second subpurge steps, and the plasma is applied into the chamber during the second subpurge step.

본 실시예에서는, 제1퍼지단계(S2)는 3 개의 제1서브퍼지단계(S2a)(S2b)(S2c)로 이루어지고, 제2퍼지단계(S4)는 3 개의 제2서브퍼지단계(S4a)(S4b)(S4c)로 이루어진다. 예를 들면, 첫 번째 제1서브퍼지단계(S2a)에 있어 서 500sccm의 Ar 로 퍼지하고, 두 번째 제1서브퍼지단계(S2b)에 있어서 1000sccm의 Ar 으로 퍼지하며, 세 번째 제1서브퍼지단계(S2c)에 있어서 퍼지는 펌핑(pumping)으로 할 수 있다. 그 이외에도 다른 단계 조합도 가능하다. In the present embodiment, the first purge step S2 is composed of three first sub-purge steps S2a, S2b, and S2c, and the second purge step S4 is three second sub-purge steps S4a. ) S4b and S4c. For example, purge with Ar of 500 sccm in the first sub-purge step (S2a), purge with Ar of 1000 sccm in the second first sub-purge step (S2b), and third sub-purge step (S2a). In S2c, the purge can be pumped. Other combinations of steps are also possible.

이때, 플라즈마인가단계는, 제1퍼지단계에 있어서 두 번째 제1서브퍼지단계(S2b)와 동시에 진행되는 제1플라즈마인가단계(S2b')와, 제2퍼지단계에 있어서 두 번째 제2서브퍼지단계(S4b)와 동시에 진행되는 제2플라즈마인가단계(S4b')로 구성된다. In this case, the plasma applying step may include a first plasma applying step (S2b ′) that proceeds simultaneously with the second first sub purge step (S2b) in the first purge step, and a second second sub purge in the second purge step. A second plasma application step S4b 'proceeds simultaneously with the step S4b.

여기서 플라즈마는 서브퍼지단계중 하나가 진행될 때만 인가되었으나, 상기한 여러 퍼지단계중 일부 또는 전체에 대하여 플라즈마를 발생할 수 있음은 물론이다.In this case, the plasma is applied only when one of the sub-purge steps is performed, but it is a matter of course that the plasma may be generated for some or all of the various purge steps.

이와 같이, 각각의 제1퍼지단계와 제2퍼지단계동안에 플라즈마를 인가함으로써, 기판 표면 이외의 챔버 내부 공간에서 반응원이 분해되지 않도록 할 수 있으며, 초기 플라즈마의 불안전성에 의하여 박막의 특성이 나빠지는 것을 방지할 수 있다. In this way, by applying plasma during each of the first purge step and the second purge step, it is possible to prevent the reaction source from being decomposed in the chamber internal space other than the substrate surface, and the characteristics of the thin film are deteriorated due to the instability of the initial plasma. Can be prevented.

이와 같이, 본 발명은 플라즈마를 발생시키는 시기를 반응원의 피딩시가 아닌 퍼지시에 진행시킴으로써, 반응원이 플라즈마에 의해 분해될 수 있는 가능성을 최소화할 수 있다. As described above, the present invention can minimize the possibility that the reaction source can be decomposed by the plasma by advancing the plasma generation time at the time of purging rather than feeding the reaction source.

또, 불활성가스가 플라즈마 영역을 지나 여기되어 기판 위에 공급되게 되고, 이는 기판 위에서 이루어지는 반응원의 표면 반응을 활성화시켜 우수한 박막을 얻을 수 있게 된다. In addition, the inert gas is excited through the plasma region to be supplied onto the substrate, which activates the surface reaction of the reaction source formed on the substrate to obtain an excellent thin film.

또한, 기판 위에 떨어지는 불활성가스는 박막 내의 탄소(C) 등 불순물을 제거해 주게 되고 이는 궁국적으로 박막의 특성을 향상시키게 된다. 그러므로 본 발명에 따른 플라즈마 ALD 박막증착방법에 따라 제작된 박막은 탄소등의 불순물 양이 적고, dense 한 박막을 얻을 수 있다.In addition, the inert gas falling on the substrate removes impurities such as carbon (C) in the thin film, which ultimately improves the characteristics of the thin film. Therefore, the thin film produced by the plasma ALD thin film deposition method according to the present invention can obtain a dense thin film having a small amount of impurities such as carbon.

본 발명은 도면에 도시된 일 실시예를 참고로 설명되었으나 이는 예시적인 것에 불과하며, 본 기술 분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. Although the present invention has been described with reference to one embodiment shown in the drawings, this is merely exemplary, and those skilled in the art will understand that various modifications and equivalent other embodiments are possible therefrom.

상술한 바와 같이 본 발명에 따른 플라즈마 ALD 박막증착방법에 따르면, 피딩단계가 아닌 퍼지단계에서 플라즈마를 챔버에 인가함으로써, 기판 표면 이외의 챔버 내부 공간에서 반응원이 분해되는 것을 막을 수 있어 완벽한 ALD 박막증착을 가능하게 할 수 있으며, 또한 초기 플라즈마의 불안전성에 의하여 박막의 특성이 나빠지는 것을 방지할 수 있다. As described above, according to the plasma ALD thin film deposition method according to the present invention, by applying the plasma to the chamber in the purge step rather than the feeding step, it is possible to prevent the decomposition of the reaction source in the chamber internal space other than the substrate surface, perfect ALD thin film It is possible to enable deposition and to prevent the deterioration of characteristics of the thin film due to the instability of the initial plasma.

또, 불활성가스를 여기시킴으로써 기판 위에서 이루어지는 반응원의 표면 반응을 활성화시켜 줄 뿐아니라 여기된 불활성 가스의 퍼지 능력을 향상시킬 수 있어서 이로 인해 퍼지 효율이 증가하여 최종적으로 우수한 박막을 얻을 수 있다. In addition, by exciting the inert gas, not only the surface reaction of the reaction source formed on the substrate can be activated, but also the purge capacity of the excited inert gas can be improved, thereby increasing the purge efficiency and finally obtaining an excellent thin film.

그리고, 여기된 불활성가스는 박막 내의 탄소등의 불순물을 제거해줌으로써 궁극적으로 박막의 특성을 향상시키게 된다는 효과가 있다.In addition, the excited inert gas has an effect of ultimately improving the characteristics of the thin film by removing impurities such as carbon in the thin film.

Claims (3)

기판이 안착되어 있는 챔버 내부로 제1반응원을 피딩하는 제1피딩단계와, 상기 제1반응원을 상기 챔버로부터 퍼지하는 제1퍼지단계와, 상기 챔버로 제2반응원을 피딩하는 제2피딩단계와, 상기 챔버로부터 상기 제1반응원과 반응하지 않거나 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계로 구성되는 싸이클을 반복함으로써 상기 기판상에 박막을 증착하는 ALD 박막증착방법에 있어서, A first feeding step of feeding a first reaction source into the chamber in which the substrate is seated, a first purging step of purging the first reaction source from the chamber, and a second feeding of a second reaction source into the chamber In the ALD thin film deposition method of depositing a thin film on the substrate by repeating a cycle consisting of a feeding step and a second purge step of purging by-products generated by not reacting or reacting with the first reaction source from the chamber, 상기 제1퍼지단계와 상기 제2퍼지단계 중 적어도 어느 하나의 진행과 동시에 상기 챔버 내부로 플라즈마를 인가하는 플라즈마 인가단계를 더 포함하여, 상기 제1반응원과 제2반응원 중 적어도 어느 하나가 상기 기판 표면에서 분해되도록 하는 것을 특징으로 하는 플라즈마 ALD 박막증착방법.The method may further include applying a plasma into the chamber simultaneously with at least one of the first purge step and the second purge step, wherein at least one of the first and second reactants Plasma ALD thin film deposition method characterized in that the decomposition on the surface of the substrate. 삭제delete 삭제delete
KR1020040094603A 2004-11-18 2004-11-18 A method for depositing thin film using ALD KR100773755B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020040094603A KR100773755B1 (en) 2004-11-18 2004-11-18 A method for depositing thin film using ALD
PCT/KR2005/003860 WO2006054854A1 (en) 2004-11-18 2005-11-15 A method for depositing thin film using ald
TW094140193A TW200617199A (en) 2004-11-18 2005-11-16 A method for depositing thin film using ALD

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040094603A KR100773755B1 (en) 2004-11-18 2004-11-18 A method for depositing thin film using ALD

Publications (2)

Publication Number Publication Date
KR20060055138A KR20060055138A (en) 2006-05-23
KR100773755B1 true KR100773755B1 (en) 2007-11-09

Family

ID=36407366

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040094603A KR100773755B1 (en) 2004-11-18 2004-11-18 A method for depositing thin film using ALD

Country Status (3)

Country Link
KR (1) KR100773755B1 (en)
TW (1) TW200617199A (en)
WO (1) WO2006054854A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210019013A (en) * 2018-06-08 2021-02-19 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and manufacturing method of semiconductor device

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101540077B1 (en) * 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (en) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 Method for forming thin film using radicals generated by plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012012381A1 (en) * 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9685325B2 (en) * 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2021506126A (en) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation Oxidation resistant protective layer in chamber adjustment
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102447467B1 (en) * 2018-01-18 2022-09-26 주성엔지니어링(주) Method of processing a substrate
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010039874A (en) * 1999-10-06 2001-05-15 윤종용 Thin film formation method using atomic layer deposition
KR20010065160A (en) * 1999-12-29 2001-07-11 박종섭 Method of forming a aluminum oxide thin film in a semiconductor device
US20020168553A1 (en) * 2001-05-07 2002-11-14 Lee Jung-Hyun Thin film including multi components and method of forming the same
KR20030011399A (en) * 2001-08-02 2003-02-11 지니텍 주식회사 Plasma enhanced atomic layer deposition equipment and method of forming a thin film using the same
KR20030063635A (en) * 2002-01-23 2003-07-31 주식회사 아이피에스 Method for depositing thin film using magnetic field
KR20040038514A (en) * 2002-11-01 2004-05-08 한국전자통신연구원 Processing method of Ⅱ family sulfide phosphor doped with lanthanide ion
KR20040096402A (en) * 2003-05-09 2004-11-16 삼성전자주식회사 Method of forming a thin film using a plasma enhanced cyclic deposition technique
KR20050113423A (en) * 2004-05-28 2005-12-02 삼성전자주식회사 Method of forming a thin film using an atomic layer deposition process and method of forming a capacitor of a semiconductor device using the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010039874A (en) * 1999-10-06 2001-05-15 윤종용 Thin film formation method using atomic layer deposition
KR20010065160A (en) * 1999-12-29 2001-07-11 박종섭 Method of forming a aluminum oxide thin film in a semiconductor device
US20020168553A1 (en) * 2001-05-07 2002-11-14 Lee Jung-Hyun Thin film including multi components and method of forming the same
KR20030011399A (en) * 2001-08-02 2003-02-11 지니텍 주식회사 Plasma enhanced atomic layer deposition equipment and method of forming a thin film using the same
KR20030063635A (en) * 2002-01-23 2003-07-31 주식회사 아이피에스 Method for depositing thin film using magnetic field
KR20040038514A (en) * 2002-11-01 2004-05-08 한국전자통신연구원 Processing method of Ⅱ family sulfide phosphor doped with lanthanide ion
KR20040096402A (en) * 2003-05-09 2004-11-16 삼성전자주식회사 Method of forming a thin film using a plasma enhanced cyclic deposition technique
KR20050113423A (en) * 2004-05-28 2005-12-02 삼성전자주식회사 Method of forming a thin film using an atomic layer deposition process and method of forming a capacitor of a semiconductor device using the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210019013A (en) * 2018-06-08 2021-02-19 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and manufacturing method of semiconductor device
KR102637749B1 (en) * 2018-06-08 2024-02-19 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor devices and methods of manufacturing semiconductor devices

Also Published As

Publication number Publication date
TW200617199A (en) 2006-06-01
WO2006054854A1 (en) 2006-05-26
KR20060055138A (en) 2006-05-23

Similar Documents

Publication Publication Date Title
KR100773755B1 (en) A method for depositing thin film using ALD
US11164955B2 (en) Methods for forming a semiconductor device structure and related semiconductor device structures
JP7182676B2 (en) Method of forming metallic films on substrates by cyclical deposition and related semiconductor device structures
KR100640550B1 (en) a method for depositing thin film using ALD
EP1238421B1 (en) Apparatus and method for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100734748B1 (en) A method for depositing nitride thin film on wafer by in-situ
KR20190087312A (en) Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
TW202142723A (en) Method for deposition of silicon nitride layer using pretreatment, structure formed using the method, and system for performing the method
US20060210712A1 (en) Method of depositing thin film on substrate using impulse ALD process
US20220139713A1 (en) Molybdenum deposition method
US20120100308A1 (en) Ternary metal alloys with tunable stoichiometries
KR20020001376A (en) Method of forming a Al2O3 layer in a semiconductor device
US20110206862A1 (en) Titanium Nitride Film Deposition by Vapor Deposition Using Cyclopentadienyl Alkylamino Titanium Precursors
US20240014030A1 (en) Method for selective deposition of silicon nitride and structure including selectively-deposited silicon nitride layer
US11885020B2 (en) Transition metal deposition method
US20230084552A1 (en) Topology-selective nitride deposition method and structure formed using same
US20240133032A1 (en) Transition metal deposition method
US20230167544A1 (en) Method and system for forming a conformal silicon carbon nitride layer and structure formed using same
US20230386846A1 (en) Methods and systems for forming a layer comprising a group 13 element on a substrate
US11970769B2 (en) Cyclical deposition methods
US20240096632A1 (en) Transition metal deposition processes and a deposition assembly
KR20060100161A (en) A method for depositing thin film using ald
KR20240038608A (en) Methods and assemblies for selectively depositing transition metals
KR20040003385A (en) Method for atomic layer deposition of tungsten layer

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
E801 Decision on dismissal of amendment
B601 Maintenance of original decision after re-examination before a trial
S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20121031

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130904

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140917

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150909

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160907

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170907

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190909

Year of fee payment: 13