KR100640550B1 - a method for depositing thin film using ALD - Google Patents

a method for depositing thin film using ALD Download PDF

Info

Publication number
KR100640550B1
KR100640550B1 KR1020050007249A KR20050007249A KR100640550B1 KR 100640550 B1 KR100640550 B1 KR 100640550B1 KR 1020050007249 A KR1020050007249 A KR 1020050007249A KR 20050007249 A KR20050007249 A KR 20050007249A KR 100640550 B1 KR100640550 B1 KR 100640550B1
Authority
KR
South Korea
Prior art keywords
feeding
reactant
chamber
thin film
source
Prior art date
Application number
KR1020050007249A
Other languages
Korean (ko)
Other versions
KR20060086241A (en
Inventor
김수현
이상규
서태욱
이상인
장호승
Original Assignee
주식회사 아이피에스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 아이피에스 filed Critical 주식회사 아이피에스
Priority to KR1020050007249A priority Critical patent/KR100640550B1/en
Priority to PCT/KR2006/000169 priority patent/WO2006080782A1/en
Priority to TW095102046A priority patent/TWI319442B/en
Publication of KR20060086241A publication Critical patent/KR20060086241A/en
Application granted granted Critical
Publication of KR100640550B1 publication Critical patent/KR100640550B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/0215Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing tantalum, e.g. TaSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 플라즈마를 이용한 ALD 박막증착방법에 관한 것으로서, 기판(w)이 로딩되어 있는 챔버(11) 내부로 금속원자를 함유하는 유기 또는 무기화합물 소스를 피딩하는 제1피딩단계(S1); 소스를 챔버(11)로부터 퍼지하는 제1퍼지단계(S2); 챔버(11)로 제1반응제을 피딩하는 제2피딩단계(S2); 챔버(11)로부터 소스와 반응하지 않는 제1반응제나, 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4); 형성되는 박막의 막질을 향상시키기 위하여. 챔버(11)로 제2반응제를 피딩하는 동안에 플라즈마를 인가하는 제3피딩단계(S5); 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S6);로 구성되는 사이클을 반복함으로써 기판(w)상에 박막을 증착하는 것을 특징으로 한다.The present invention relates to an ALD thin film deposition method using plasma, comprising: a first feeding step (S1) of feeding an organic or inorganic compound source containing a metal atom into a chamber (11) in which a substrate (w) is loaded; A first purge step S2 of purging the source from the chamber 11; A second feeding step S2 of feeding the first reactant into the chamber 11; A second purge step S4 for purging the first reactant which does not react with the source from the chamber 11 or the by-products generated by the reaction; To improve the film quality of the formed thin film. A third feeding step S5 of applying a plasma while feeding the second reactant into the chamber 11; It characterized in that the thin film is deposited on the substrate (w) by repeating the cycle consisting of; a third purge step (S6) for purging the second reactant or the by-products generated by the reaction did not react from the chamber (11) .

Description

플라즈마 ALD 박막증착방법{a method for depositing thin film using ALD}Plasma ALD thin film deposition method {a method for depositing thin film using ALD}

도 1은 종래의 플라즈마 ALD 박막증착방법의 공정 시퀀스를 그래프로 도시한 도면,1 is a graph showing a process sequence of a conventional plasma ALD thin film deposition method;

도 2는 본 발명에 따른 플라즈마 ALD 박막증착방법이 수행되는 박막증착장치의 개략적 구성을 도시한 도면,2 is a view showing a schematic configuration of a thin film deposition apparatus is performed plasma ALD thin film deposition method according to the present invention,

도 3은 본 발명에 따른 플라즈마 ALD 박막증착방법의 제1실시예의 공정 시퀀스에 있어서, 제2반응제의 피딩이 진행된 후 플라즈마가 인가되고, 제2반응제의 피딩이 완료될 때 플라즈마의 인가가 종료되는 것을 도시한 도면,Figure 3 is a plasma sequence after the feeding of the second reactant in the process sequence of the first embodiment of the plasma ALD thin film deposition method according to the present invention, the application of the plasma when the feeding of the second reactant is completed Drawing showing the end,

도 4는 본 발명에 따른 플라즈마 ALD 박막증착방법의 제1실시예의 공정 시퀀스에 있어서, 제2반응제의 피딩과 동시에 플라즈마가 인가되다가 제2반응제의 피딩이 완료될 때 플라즈마의 인가가 종료되는 것을 도시한 도면,Figure 4 is a plasma sequence of the first embodiment of the plasma ALD thin film deposition method according to the present invention, the plasma is applied simultaneously with the feeding of the second reactant, the application of the plasma is finished when the feeding of the second reactant is completed Drawing,

도 5는 본 발명에 따른 플라즈마 ALD 박막증착방법의 제2실시예의 공정 시퀀스를 도시한 도면,5 shows a process sequence of a second embodiment of a plasma ALD thin film deposition method according to the present invention;

도 6은 본 발명에 따른 플라즈마 ALD 박막증착방법의 제3실시예의 공정 시퀀스를 도시한 도면.6 shows a process sequence of a third embodiment of a plasma ALD thin film deposition method according to the present invention;

<도면의 주요부분에 대한 부호 설명><Description of Signs of Major Parts of Drawings>

S1 ... 제1피딩단계S1 ... first feeding step

S2 ... 제1퍼지단계S2 ... first purge step

S3 ... 제2피딩단계S3 ... second feeding step

S4 ... 제2퍼지단계S4 ... second purge step

S5 ... 제3피딩단계S5 ... third feeding step

S6 ... 제3퍼지단계S6 ... third purge step

S100, S200 ... 서브사이클 반복단계S100, S200 ... Subcycle Repeat

S110, S210 ... 제3피딩/플라즈마인가단계S110, S210 ... 3rd feeding / plasma applying step

S120, S220 ... 제3퍼지단계 S120, S220 ... Third purge step

본 발명은 플라즈마를 이용한 플라즈마 ALD 박막증착방법에 관한 것이다. The present invention relates to a plasma ALD thin film deposition method using plasma.

소자 회로 선폭이 감소함에 따라 배선재료는 기존의 Al 대신 비저항이 낮고 전자 이동도가 높은 Cu 로 대체되어 가고 있다. Cu 의 경우 높은 확산성으로 인하여 주변물질과 화학반응을 일으켜 소자의 성능을 저하시키게 되므로 Cu 의 확산을 차폐할 수 있고 우수한 열적 안정성과 더불어 낮은 비저항을 갖는 TaN 이 확산방지막으로 주목받고 있다. As device circuit line widths decrease, wiring materials are being replaced by Cu, which has a low specific resistance and high electron mobility, instead of Al. In case of Cu, due to high diffusivity, chemical reactions with surrounding materials reduce the performance of the device. Thus, TaN, which can shield the diffusion of Cu and has excellent thermal stability and low resistivity, is attracting attention as a diffusion barrier.

TaN 확산방지막을 형성하기 위한 Ta source로는 염화물 계열(Chloride)과 유기금속 계열(Metalorganic)이 사용되어져 왔으나 염화물 계열의 경우 박막 내부에 Cl 성분이 불순물로 잔존하게 되고, 유기금속 계열의 경우 증착 온도를 낮출 수 있지만 carbon 성분이 잔존하고 박막의 밀도가 낮아 주위 대기로부터 oxygen 이 침투하여 비저항이 높아지게 된다.Chloride and organometallic have been used as the Ta source for forming the TaN diffusion barrier, but in the case of the chloride-based, Cl components remain as impurities in the thin film, and in the case of the organometallic, Although it can be lowered, the carbon component remains and the density of the thin film is low, which causes oxygen to penetrate from the surrounding atmosphere, thereby increasing the specific resistance.

이러한 문제를 극복하기 위하여 플라즈마 공정을 도입하여 소스의 반응을 촉진하고 박막의 밀도를 증가시켜 낮은 비저항을 달성하려는 노력이 시도되고 있다. In order to overcome this problem, efforts have been made to introduce a plasma process to promote the reaction of the source and to increase the density of the thin film to achieve low resistivity.

도 1은 통상적으로 플라즈마를 인가하여 박막을 형성하는 방법을 도시하고 있다.1 illustrates a method of forming a thin film, typically by applying a plasma.

도시된 바와 같이, 통상적인 플라즈마 ALD 박막증착방법은, 기판이 안착되어 있는 챔버 내부로 소스를 피딩하는 제1피딩단계와, 소스를 챔버로부터 퍼지하는 제1퍼지단계와, 챔버로 반응제을 피딩하는 제2피딩단계와, 챔버로부터 소스와 반응하지 않거나 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계로 구성되는 사이클을 반복하는데, 이때 플라즈마는 반응제의 피딩과 동시에 인가되게 된다. 반응제의 피딩과 동시에 인가되는 플라즈마는 반응제의 반응을 촉진하여 상대적으로 저온에서 박막을 형성하게 한다.As shown, a conventional plasma ALD thin film deposition method includes a first feeding step of feeding a source into a chamber on which a substrate is seated, a first purging step of purging a source from a chamber, and feeding a reagent into the chamber. The cycle consists of a second feeding step and a second purge step of purging by-products generated by not reacting with or reacting with the source from the chamber, wherein the plasma is applied simultaneously with the feeding of the reactant. Plasma applied simultaneously with the feeding of the reactant promotes the reaction of the reactant to form a thin film at a relatively low temperature.

그러나, 플라즈마가 인가될 경우, 여기된 원자 및 분자의 에너지로 인하여 소스나 반응제를 구성하고 있는 원소간의 결합이 깨어지게 됨에 따라 박막 내에 잔존물질의 함량이 높아지거나 원하지 않는 불순물의 함량이 높아지는 문제점이 생기게 된다.However, when plasma is applied, as the energy of excited atoms and molecules breaks the bond between elements constituting the source or the reactant, the amount of remaining material in the thin film or the amount of unwanted impurities increases. Will be produced.

또한, 플라즈마에 의해 반응제로부터 불순물 함유 현상 이외에도 막의 형성 중에 반응부산물이 플라즈마에 여기되어 형성이 진행중인 막과의 반응도 일어나는 단점도 생기게 된다. 이러한 경향은 무기 금속 원료를 반응원으로 사용하는 경우보다 유기 금속 원료를 반응원으로 사용하는 경우가 더 심하게 된다. In addition to the impurity-containing phenomenon from the reactants by the plasma, the reaction by-products are excited in the plasma during the formation of the film, which causes the disadvantage that the reaction with the film in progress is also generated. This tendency is more severe when organic metal raw materials are used as reaction sources than when inorganic metal raw materials are used as reaction sources.

상기와 같은 문제점을 해결하기 위하여 창출된 것으로서, 박막을 구성하는 소스와 반응제 이외에, 양질의 박막을 얻도록 하기 위하여 별도의 반응제와 플라즈마를 인가하는 플라즈마 ALD 박막증착방법을 제공하는 것을 목적으로 한다.In order to provide a plasma ALD thin film deposition method for applying a separate reactant and plasma in order to obtain a high quality thin film, in addition to the source and the reactant constituting the thin film. do.

본 발명의 다른 목적은 저온의 상태에서도 양질의 박막을 구현할 수 있는 플라즈마 ALD 박막증착방법에 관한 것이다. Another object of the present invention relates to a plasma ALD thin film deposition method that can implement a high quality thin film even in a low temperature state.

상기와 같은 목적을 달성하기 위하여, 본 발명에 따른 플라즈마 ALD 박막증착방법의 제1실시예는, 기판(w)이 로딩되어 있는 챔버(11) 내부로 금속원자를 함유하는 유기 또는 무기화합물 소스를 피딩하는 제1피딩단계(S1); 상기 소스를 상기 챔버(11)로부터 퍼지하는 제1퍼지단계(S2); 상기 챔버(11)로 상기 제1반응제를 피딩하는 제2피딩단계(S3); 상기 챔버(11)로부터 상기 소스와 반응하지 않는 제1반응제나, 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4); 형성되는 박막의 막질을 향상시키기 위하여. 상기 챔버(11)로 제2반응제를 피딩하는 동안에 플라즈마를 인가하는 제3피딩단계(S5); 상기 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S6);로 구성되는 사이클을 반복함으로써 기판(w)상에 박막을 증착하며, 상기 제3피딩단계(S5)에 있어서, 상기 플라즈마는, 상기 제2반응제의 피딩과 동시에 또는 피딩이 진행되는 동안에 인가되어 피딩이 완료될 때 동시에 종료되며, 상기 기판에 금속막을 형성하기 위하여, 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고, 상기 제1반응제로 H2 를 사용하고, 상기 제2반응제로 H2 또는 H 원자를 포함하는 있는 화합물을 사용하는 것을 특징으로 한다.
또한, 상기 기판에 도전막을 형성하기 위하여, 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고, 상기 제1반응제로 N2, NH3 또는 N 원자를 포함하는 화합물을 사용하며, 상기 제2반응제로 H2 또는 H 원자를 포함하는 화합물을 사용한다.
또한, 상기 기판에 절연막을 형성하기 위하여, 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고, 상기 제1반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용하며, 상기 제2반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용한다.
또한, 상기 기판에 절연막을 형성하기 위하여, 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고, 상기 제1반응제로 H2를 사용하고, 상기 제2반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용한다.
상기와 같은 목적을 달성하기 위하여, 본 발명에 따른 플라즈마 ALD 박막증착방법은, 기판(w)이 로딩되어 있는 챔버(11) 내부로 금속원자를 함유하는 유기 또는 무기화합물 소스를 피딩하는 제1피딩단계(S1)와, 상기 소스를 챔버(11)로부터 퍼지하는 제1퍼지단계(S2)와, 상기 챔버(11)로 제1반응제을 피딩하는 제2피딩단계(S3)와, 상기 챔버(11)로부터 소스와 반응하지 않는 제1반응제나, 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4)로 구성되는 서브사이클을 복수 회 반복하는 서브사이클 반복단계(S100); 상기 서브사이클 반복단계(S100)가 완료된 후, 형성되는 박막의 막질을 향상시키기 위하여. 상기 챔버(11)로 제2반응제를 피딩하는 동안에 플라즈마를 인가하는 제3피딩/플라즈마인가단계(S110); 및 상기 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S120);를 포함하는 사이클을 반복함으로써 기판(w)상에 박막을 증착하는 것을 특징으로 한다.
In order to achieve the above object, the first embodiment of the plasma ALD thin film deposition method according to the present invention, an organic or inorganic compound source containing a metal atom into the chamber 11 is loaded with a substrate (w) A first feeding step S1 for feeding; A first purge step (S2) of purging the source from the chamber (11); A second feeding step (S3) of feeding the first reactant into the chamber (11); A second purge step (S4) of purging the first reactant which does not react with the source or the by-products generated by the reaction from the chamber (11); To improve the film quality of the formed thin film. A third feeding step (S5) of applying a plasma while feeding a second reactant into the chamber (11); A third purge step (S6) of purging the second reactant or the by-product generated by the reaction from the chamber (11); by repeating the cycle consisting of depositing a thin film on the substrate (w), In the third feeding step (S5), the plasma is applied at the same time as the feeding of the second reactant or while feeding is in progress and is terminated at the same time when feeding is completed, in order to form a metal film on the substrate, the source TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER is used, and H2 is used as the first reactant, and a compound containing H2 or H atoms is used as the second reactant. .
In addition, in order to form a conductive film on the substrate, any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER is used as the source, and a compound containing N2, NH3 or N atoms is used as the first reagent. As the second reactive agent, a compound containing H 2 or H atoms is used.
Further, to form an insulating film on the substrate, any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER is used as the source, and an oxidizing agent containing O 2, O 3, or O atoms is used as the first reactant. As the second reactive agent, an oxidant containing O 2, O 3 or O atoms is used.
In addition, to form an insulating film on the substrate, any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER is used as the source, H2 is used as the first reactant, and O2, O3 is used as the second reactant. Or an oxidant containing an O atom.
In order to achieve the above object, the plasma ALD thin film deposition method according to the present invention, the first feeding the organic or inorganic compound source containing a metal atom into the chamber 11 is loaded with a substrate (w) Step S1, a first purge step S2 of purging the source from the chamber 11, a second feeding step S3 of feeding a first reactant into the chamber 11, and the chamber 11. A subcycle repetition step (S100) of repeating a subcycle consisting of a first purifier not reacting with a source or a second purge step (S4) for purging byproducts generated by the reaction; After the subcycle repetition step (S100) is completed, to improve the film quality of the formed thin film. A third feeding / plasma application step (S110) of applying a plasma while feeding a second reactant into the chamber (11); And a third purge step (S120) of purging the second reactant or the by-products generated by the reaction that did not react from the chamber 11. The thin film is deposited on the substrate w by repeating the cycle. It is done.

삭제delete

상기와 같은 목적을 달성하기 위하여, 본 발명에 따른 플라즈마 ALD 박막증착방법의 제3실시예는, 기판(w)이 로딩되어 있는 챔버(11) 내부로 금속원자를 함유하는 유기 또는 무기화합물 소스를 피딩하는 제1피딩단계(S1)와, 상기 소스를 챔버(11)로부터 퍼지하는 제1퍼지단계(S2)와, 상기 챔버(11)로 제1반응제을 피딩하는 제2피딩단계(S2)와, 상기 챔버(11)로부터 소스와 반응하지 않는 제1반응제나, 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4)와, 형성되는 박막의 막질을 향상시키기 위하여. 상기 챔버(11)로 제2반응제를 피딩하는 제3피딩단계(S5)와, 상기 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S6)로 구성되는 서브사이클을 복수 회 반복하는 서브사이클 반복단계(S200); 상기 서브사이클 반복단계(S200)가 완료된 후, 형성되는 박막의 막질을 향상시키기 위하여. 챔버(11)로 제2반응제를 피딩하는 동안에 플라즈마를 인가하는 제3피딩/플라즈마인가단계(S210); 및 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S220);를 포함하는 사이클을 반복함으로써 기판(w)상에 박막을 증착하는 것을 특징으로 한다.In order to achieve the above object, a third embodiment of the plasma ALD thin film deposition method according to the present invention, an organic or inorganic compound source containing a metal atom into the chamber 11 is loaded with a substrate (w) A first feeding step S1 for feeding, a first purging step S2 for purging the source from the chamber 11, a second feeding step S2 for feeding a first reactant into the chamber 11, and In order to improve the film quality of the thin film formed, the second purge step (S4) for purging the first reactant that does not react with the source from the chamber (11) or by-products generated by the reaction. The third feeding step (S5) for feeding the second reactant to the chamber 11, and the third purge step (S6) for purging the second reactant not reacted from the chamber 11, or by-products generated by the reaction A subcycle repetition step (S200) of repeating a subcycle consisting of a plurality of times; After the subcycle repetition step (S200) is completed, to improve the film quality of the formed thin film. A third feeding / plasma application step (S210) of applying plasma while feeding the second reactant into the chamber (11); And a third purge step (S220) for purging the second reactant or the by-products generated by the reaction that did not react from the chamber (11). The thin film is deposited on the substrate (w) by repeating the cycle. do.

이하, 본 발명에 따른 플라즈마 ALD 박막증착방법을 첨부된 도면을 참조하여 상세히 설명한다. Hereinafter, a plasma ALD thin film deposition method according to the present invention will be described in detail with reference to the accompanying drawings.

도 2는 본 발명에 따른 플라즈마 ALD 박막증착방법이 수행되는 박막증착장치의 개략적 구성을 도시한 도면이다.2 is a view showing a schematic configuration of a thin film deposition apparatus is performed plasma ALD thin film deposition method according to the present invention.

도시된 바와 같이, 본 발명에 따른 박막증착방법이 적용되는 박막증착장치는, 기판(w)이 수납되는 반응기(10)와, 반응기(10)로 반응물질과 불활성가스를 공급하는 가스박스(20)로 구성된다. 본 실시예에서는 설명을 위하여 불활성가스로 Ar 을 예로 들어 설명하며, 따라서 후술할 소스나 반응제를 이송하는 캐리어가스나 반응기(10)를 퍼지시키기 위한 퍼지가스로 Ar을 사용한다. As shown, the thin film deposition apparatus to which the thin film deposition method according to the present invention is applied includes a reactor 10 in which the substrate w is accommodated, and a gas box 20 for supplying reactants and inert gas to the reactor 10. It is composed of In the present embodiment, Ar is used as an inert gas for the purpose of explanation, and therefore, Ar is used as a purge gas for purging the carrier gas or the reactor 10 for transferring a source or a reactant, which will be described later.

반응기(10)는, 기판(w)을 안착시키기 위한 서셉터(12)가 내장된 챔버(11)와, 기판(w)을 향하는 다수개의 분사홀이 형성된 샤워헤드(13)와, 플라즈마를 발생하는 플라즈마발생기(RF generator and matching network))를 포함한다. 이때, 서셉터(12)는 안착된 기판(w)을 임의의 온도로 가열한다.The reactor 10 generates a chamber 11 in which the susceptor 12 for mounting the substrate w is mounted, a shower head 13 having a plurality of injection holes directed to the substrate w, and generates plasma. And a plasma generator (RF generator and matching network). At this time, the susceptor 12 heats the seated substrate w to an arbitrary temperature.

가스박스(20)는 소스가 수용되는 적어도 하나 이상의 캐니스터(21)와, 소스를 반응기(10)로 이송하기 위한 캐리어가스나 반응기(10)를 퍼지하기 위한 퍼지가 스의 유량을 제어하는 MFC 등을 포함하고, 캐니스터(21)나 MFC, 또는 반응기(10) 사이의 가스라인(L1)(L2)등에는 다수개의 밸브(V)가 설치된다. 가스라인(L1)(L2)을 통하여 가스박스(20)에서 공급되는 소스, 제1반응제, 제2반응제 및/또는 Ar 이 반응기(10)로 이송된다. 이때, 도 2에서는 한 종류의 소스가 수용된 하나의 캐니스터(21)를 예로써 도시하고 있지만, 필요에 따라서 다른 종류의 소스가 수용되는 캐니스터(미도시)를 채용할 수도 있음은 물론이다.The gas box 20 includes at least one canister 21 in which a source is accommodated, an MFC for controlling a flow rate of a carrier gas for transferring the source to the reactor 10, or a purge gas for purging the reactor 10, or the like. And a plurality of valves V are installed in the canister 21, the MFC, or the gas lines L1 and L2 between the reactor 10 and the like. The source, the first reactant, the second reactant and / or Ar supplied from the gas box 20 are transferred to the reactor 10 through the gas lines L1 and L2. In this case, although one canister 21 in which one type of source is accommodated is shown as an example, a canister (not shown) in which another type of source is accommodated may be employed as necessary.

본 실시예는 챔버(11) 내벽의 온도는 100 ~ 200℃, 서셉터(13)의 온도는 100 ~ 600℃ 가 가능한 매엽식 장비에서 챔버(11) 내의 압력이 10mTorr ~ 10Torr 범위에서 공정을 진행하며, 바람직하게는 1 Torr에서 실시한다. In this embodiment, the process of the pressure in the chamber 11 in the range of 10mTorr ~ 10Torr in the sheet type equipment that the temperature of the inner wall of the chamber 11 is 100 ~ 200 ℃, the temperature of the susceptor 13 is 100 ~ 600 ℃ It is preferably carried out at 1 Torr.

소스가 유기금속화학물일 경우에 소스의 공급은 Ar 이나 N 와 같은 캐리어가스에 의하여 bubbling 된 형태로 챔버(11) 내로 이송되어지고, 증기압이 높은 소스의 자체 증기압을 이용하여 캐리어가스 없이 챔버(11) 내로 이송될 수 있다. 또한, 증기압이 낮은 소스의 경우 액상공급장치(LDS: liquid delivery system)와 기화장치(vaporizer)를 이용할 수도 있다. When the source is an organometallic chemical, the supply of the source is transferred into the chamber 11 in the form of bubbling by a carrier gas such as Ar or N, and the chamber 11 without the carrier gas by using the vapor pressure of the source having high vapor pressure. Can be transported into). In addition, for low vapor pressure sources, a liquid delivery system (LDS) and a vaporizer may be used.

증착이 진행되는 온도는 사용 소스에 따라 다르며, 통상적으로는 소스가 분해되지 않고 화학적 흡착 현상이 일어나는 온도 구간에서 실시되고, 소스는 50 ~ 150℃ 로 가열된 상태에서 샤워헤드(13)를 통하여 공급된다. The temperature at which the deposition proceeds depends on the source used, and is usually carried out in a temperature range in which the source is not decomposed and chemical adsorption occurs, and the source is supplied through the shower head 13 while heated to 50 to 150 ° C. do.

플라즈마발생기에 의하여 챔버(11) 내에서 플라즈마가 발생할 수도 있고, 챔버(11) 외부에서 발생된 플라즈마를 챔버(11) 내로 유도되어 인가될 수도 있다. 본 실시예에서는 챔버(11) 내에서 플라즈마가 발생되는 방식을 예로써 설명한다. 이때, 플라즈마발생기는 100 ~ 500W 의 인가전력, 1 ~ 1500 kHz 의 주파수를 갖는 전원을 사용하지만, 13.56 MHz 의 고주파를 가하여 사용할 수도 있으며 그 효과에 따라 주파수를 선택할 수 있게 한다. Plasma may be generated in the chamber 11 by the plasma generator, or plasma generated outside the chamber 11 may be induced and applied to the chamber 11. In the present embodiment, the plasma generation in the chamber 11 will be described as an example. At this time, the plasma generator uses a power source having an applied power of 100 ~ 500W, a frequency of 1 ~ 1500 kHz, but can also be used by applying a high frequency of 13.56 MHz, and the frequency can be selected according to the effect.

상기한 박막증착장치를 이용하는 플라즈마 ALD 박막증착방법의 제1실시예를 설명한다. A first embodiment of the plasma ALD thin film deposition method using the above thin film deposition apparatus will be described.

도 3은 본 발명에 따른 플라즈마 ALD 박막증착방법의 제1실시예의 공정 시퀀스에 있어서, 제2반응제의 피딩과 동시에 플라즈마가 인가되다가 제2반응제의 피딩이 완료될 때 플라즈마의 인가가 종료되는 것을 도시한 도면이다. 도 4는 본 발명에 따른 플라즈마 ALD 박막증착방법의 제1실시예의 공정 시퀀스에 있어서, 제2반응제의 피딩이 진행된 후 플라즈마가 인가되고, 제2반응제의 피딩이 완료될 때 플라즈마의 인가가 종료되는 것을 도시한 도면이다.Figure 3 is a plasma sequence of the first embodiment of the plasma ALD thin film deposition method according to the present invention, the plasma is applied simultaneously with the feeding of the second reactant when the application of the plasma is finished when the feeding of the second reactant is completed It is a figure which shows that. Figure 4 is a plasma sequence after the feeding of the second reactant in the process sequence of the first embodiment of the plasma ALD thin film deposition method according to the present invention, the application of the plasma when the feeding of the second reactant is completed It is a figure which shows the termination.

도시된 바와 같이, 본 발명에 따른 플라즈마 ALD 박막증착방법의 제1실시예는, As shown, the first embodiment of the plasma ALD thin film deposition method according to the present invention,

기판(w)이 로딩되어 있는 챔버(11) 내부로 금속원자를 함유하는 유기 또는 무기화합물 소스를 피딩하는 제1피딩단계(S1)와, 소스를 챔버(11)로부터 퍼지하는 제1퍼지단계(S2)와, 챔버(11)로 제1반응제을 피딩하는 제2피딩단계(S3)와, 챔버(11)로부터 소스와 반응하지 않는 제1반응제나, 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4)와, 형성되는 박막의 막질을 향상시키기 위하여. 챔버(11)로 제2반응제를 피딩하는 동안에 플라즈마를 인가하는 제3피딩단계(S5)와, 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S6)로 구성되는 사이클을 반복함으로써 기판(w)상에 박막을 증착한다. 상기한 공정 시퀀스를 따라 1 사이클이 진행될 경우, 기판상에 1 원자층 또는 1 분자층이 형성된다. 이때, 상기한 소스로 TEMATi, TEMASi, ECTDMAT(Ethylcyclopentadienyltris(dimethylamino)titanium), PEMAT(Pentakis(ethylmethylamino)tantalum), TBTEMAT(Tertbutylimidotrisethylmethylamidotantalum), DER[2,4-(Dimethylpentadienyl)(Ethylcyclopentadienyl)Ruthenium] 중 어느 하나를 사용한다. A first feeding step S1 of feeding an organic or inorganic compound source containing a metal atom into the chamber 11 in which the substrate w is loaded, and a first purging step of purging the source from the chamber 11 ( S2), a second feeding step S3 of feeding the first reactant into the chamber 11, and a second purge that does not react with the source from the chamber 11 or a second purge that reacts by-products generated by the reaction. Step S4 and to improve the film quality of the formed thin film. A third feeding step S5 of applying a plasma while feeding the second reactant into the chamber 11 and a third purge that does not react from the chamber 11 or a by-product generated by the reaction; The thin film is deposited on the substrate w by repeating the cycle composed of step S6. When one cycle proceeds according to the above process sequence, one atomic layer or one molecular layer is formed on the substrate. In this case, TEMATi, TEMASi, ECTDMAT (Ethylcyclopentadienyltris (dimethylamino) titanium), PEMAT (Pentakis (ethylmethylamino) tantalum), TBTEMAT (Tertbutylimidotrisethylmethylamidotantalum), DER [2,4- (Dimethylpentadienyl) (Ethylcyclopentadienyl) Use

본 실시예는 2원계의 박막을 형성하는 것을 예로 들고 있으나, 3원계 박막을 형성하고자 할 경우에, 제1피딩단계(S1)에서 반응기로 다른 종류의 제1소스와 제2소스를 동시 또는 순차적으로 피딩하여야 한다. 예를 들면, 제1소스로 TEMATi(Tert-Ethyl-Methyl-Amine-Titatium: Ti(C2H5-N-CH3)4)를 사용하고, 제2소스로 TEMASi(Tetrakis(ethylmethylamino)silicon ; Si[ N(CH₃)C₂H5]₄)를 사용할 수 있다. Although the present embodiment exemplifies the formation of a binary thin film, when it is desired to form a ternary thin film, the first source and the second source of different types are simultaneously or sequentially used as a reactor in the first feeding step S1. Should be fed. For example, TEMATi (Tert-Ethyl-Methyl-Amine-Titatium: Ti (C2H5-N-CH3) 4) is used as the first source, and TEMASi (Tetrakis (ethylmethylamino) silicon; Si [N ( CH₃) C₂H5] ₄) can be used.

이때, 제3피딩단계(S5)가 진행되는 동안에, 플라즈마는, 도 3에 도시된 바와 같이, 제2반응제의 피딩과 동시에 인가되다가. 피딩이 완료될 때 동시에 종료된다. At this time, while the third feeding step S5 is in progress, the plasma is applied simultaneously with the feeding of the second reactant, as shown in FIG. 3. It ends at the same time when feeding is completed.

또는, 제3피딩단계(S6)가 진행되는 동안에, 플라즈마는, 도 4에 도시된 바와 같이, 제2반응제의 피딩이 진행되는 도중에 인가되다가 피딩이 완료될 때 동시에 종료된다.Alternatively, while the third feeding step S6 is in progress, the plasma is applied during feeding of the second reactant as shown in FIG. 4, and is terminated at the same time when feeding is completed.

상기한 과정을 통하여 기판에 금속막을 형성할 수 있는데, 이를 위하여 제1 반응제로 H2 를 사용하고, 제2반응제로 H2 또는 H 원자를 포함하는 화합물을 사용한다. Through the above process, a metal film can be formed on the substrate. For this purpose, H2 is used as the first reactant and a compound including H2 or H atoms is used as the second reactant.

또는 기판에 도전막을 형성할 수 있는데, 이를 위하여 제1반응제로 N 원자를 포함하는 N2 또는 NH3 와 같은 화합물을 사용하고, 제2반응제로 H2 또는 H 원자를 포함하는 화합물을 사용한다.Alternatively, a conductive film may be formed on the substrate. For this, a compound such as N 2 or NH 3 containing N atoms may be used as the first reactant, and a compound including H 2 or H atoms may be used as the second reactant.

또는, 기판에 절연막을 형성할 수 있는데, 이를 위하여 제1반응제로 H2 또는 O2, H 원자나 O 원자를 포함하는 화합물을 사용하고, 제2반응제로 O2, O3, 또는 O 원자를 포함하는 산화제를 사용한다.Alternatively, an insulating film may be formed on the substrate. For this purpose, a compound containing H 2 or O 2, H atoms or O atoms may be used as the first reactant, and an oxidizing agent containing O 2, O 3, or O atoms may be used as the second reactant. use.

또는 기판에 절연막을 형성하기 위하여, 제1반응제로 O2, O3 또는 O 원자를 포함하는 산화제을 사용하고, 제2반응제로 O2, O3 또는 O 원자를 포함하는 산화제을 사용할 수도 있다.Alternatively, in order to form an insulating film on the substrate, an oxidant containing O 2, O 3, or O atoms may be used as the first reactant, and an oxidizing agent containing O 2, O 3, or O atoms may be used as the second reactant.

또는, 기판에 절연막을 형성하기 위하여, 제1반응제로 H2를 사용하고, 제2반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용할 수도 있다. Alternatively, in order to form an insulating film on the substrate, H2 may be used as the first reactant, and an oxidant containing O2, O3, or O atoms may be used as the second reactant.

본 발명의 플라즈마 ALD 박막증착방법의 제1실시예를 TiN 박막을 경우를 예로 들어 설명한다.A first embodiment of the plasma ALD thin film deposition method of the present invention will be described taking a TiN thin film as an example.

먼저, 250℃ 로 가열된 서셉터(12)에 기판(w)을 로딩한 다음 10초 ~ 60초간 유지하여 기판(w)의 온도가 일정 온도에 다다르게 한다. 이후, 상기한 피딩 및 퍼지단계를 진행한다. First, the substrate w is loaded on the susceptor 12 heated to 250 ° C., and then maintained for 10 seconds to 60 seconds so that the temperature of the substrate w reaches a predetermined temperature. Thereafter, the feeding and purging steps are performed.

제1피딩단계(S1)에서는, 120℃ 로 가열한 Ar 을 캐리어가스(carrier gas)로 사용하는 버블시스템(bubbling system)에서 소스인 TEMATi 를 샤워헤드(13)를 통하여 챔버(11)에 1 Torr의 압력으로 1 초에서 10 초간 피딩한다. 이때 피딩시간은 챔버(11)의 크기에 따라, 기판(w)의 종류와 기판(w)에 형성되어 있는 소자의 집적도 등에 따라 변경될 수 있으며, 물리적으로 가능한 단계까지 시간을 줄일 수 있다. In the first feeding step S1, 1 Torr is injected into the chamber 11 through the shower head 13 in a TEMATi source in a bubbling system using Ar heated to 120 ° C. as a carrier gas. Feed at a pressure of 1 to 10 seconds. In this case, the feeding time may be changed depending on the size of the chamber 11, the type of the substrate w, the degree of integration of the elements formed on the substrate w, and the time to a physically possible step.

또한, 최대 피딩시간은 매엽식 장치에서의 생산성을 고려하여 정한 것으로써, 기판(w)의 크기나 로딩 매수가 1 매 이상인 다엽식(multi wafer loading system, 혹은 mini-batch system)의 경우 주입 시간을 늘려주어야 한다. 본 실시예에서는 20 리터 정도의 부피를 지닌 챔버이며, 통상적인 샤워헤드 형태의 장비에서 결과를 얻은 것이다. In addition, the maximum feeding time is determined in consideration of the productivity in the single wafer type device. In the case of a multi wafer loading system or a mini-batch system in which the size of the substrate w or the number of sheets is one or more sheets, the injection time is determined. Should be increased. In this example, the chamber has a volume of about 20 liters, and the result is obtained in a conventional showerhead type equipment.

제1퍼지단계(S2)에서는, 가스라인(L1)(L2) 및 샤워헤드(13), 챔버(11)내에 존재하는 TEMATi, 그리고 기판(w) 위에 물리적으로 흡착되어 있는 TEMATi(물리적 흡착 분자의 일부분이나 전부)를 제거하기 위하여, 퍼지가스로 120℃ 로 가열한 Ar을 챔버(11)에 피딩하면서 1초 ~ 30초간 퍼지/펌핑한다.In the first purge step S2, the gas lines L1 and L2 and the showerhead 13, the TEMATi present in the chamber 11, and the TEMATi (physically adsorbed molecules that are physically adsorbed on the substrate w). In order to remove part or all), Ar heated to 120 ° C. with purge gas is purged / pumped for 1 to 30 seconds while feeding the chamber 11.

이때, 제1퍼지단계(S2)에서 기판(w) 상에 화학적 흡착 분자층만 남게 되거나 물리적 흡착층의 일부도 남을 수 있는데, 퍼지나 펌핑 정도를 조절함으로써 증착 속도 및 막질의 조절이 가능하다. 예를 들면, 10 초 이상 긴 시간동안 퍼지/펌핑을 함으로써 화학적 흡착층만을 남기게 될 경우 1 사이클당 한 층의 원자층만을 증착하게 되는 이상적인 원자층 증착이 가능하다. 그러나, 10초 이내, 예를 들면 1초 ~ 5초 정도의 짧은 시간동안 제1퍼지단계(S2)를 수행할 경우, 기판(w) 상에 화학적 흡착 분자층 뿐 아니라 물리적 흡착 분자층의 일부도 남게되므로, 1 사이클당 여러층의 원자층 박막이 증착된다.In this case, in the first purge step S2, only the chemical adsorption molecular layer may remain on the substrate w or a part of the physical adsorption layer may remain, and the deposition rate and the film quality may be controlled by controlling the degree of purging or pumping. For example, purging / pumping for a long time of 10 seconds or longer may leave the chemical adsorption layer in an ideal atomic layer deposition where only one atomic layer is deposited per cycle. However, when the first purge step S2 is performed within 10 seconds, for example, for a short time of about 1 second to 5 seconds, not only the chemical adsorption molecular layer but also a part of the physical adsorption molecular layer on the substrate w As such, multiple atomic layer thin films are deposited per cycle.

제2피딩단계(S3)에서는, 120℃ 로 가열한 NH3 를 제1반응제로 1초 ~ 10초간 챔버(11)로 피딩하여 1차 반응을 유도한다. 이때 NH3 의 유량은 100 sccm ~ 500 sccm 으로 하며, 챔버(11) 내의 압력이 1 Torr가 유지되도록 한다. In the second feeding step (S3), NH 3 heated to 120 ° C. is fed to the chamber 11 for 1 second to 10 seconds with the first reactant to induce a first reaction. At this time, the flow rate of NH3 is 100 sccm ~ 500 sccm, the pressure in the chamber 11 is maintained to 1 Torr.

제2퍼지단계(S4)에서는, 미반응 상태의 잔류 NH3 와 반응부산물 등을 제거하기 위하여 제1퍼지단계와 동일한 방법으로 퍼지를 실시한다.In the second purge step S4, purging is performed in the same manner as in the first purge step to remove unreacted residual NH 3 and reaction byproducts.

제3피딩단계(S5)에서는, 제2반응제인 H2 를 100 sccm ~ 500 sccm 정도 주입하여 제2반응을 유도함과 동시에 플라즈마발생기에 전원을 공급하여 챔버(11) 내에 플라즈마를 발생시킨다. 그러면, H2 는 플라즈마에 의하여 여기되어 환원제로 작용됨으로써, 형성되는 박막 내부의 불순물을 제거하여 막질을 향상시킨다. 이때 인가되는 전원은 100 ~ 300 Watt 정도이고, 발생시간은 1초 ~ 10초 정도로 한다. In the third feeding step (S5), by injecting about 2 scc H2 100 sccm ~ 500 sccm to induce the second reaction and at the same time supplying power to the plasma generator to generate a plasma in the chamber (11). Then, H2 is excited by the plasma to act as a reducing agent, thereby improving the film quality by removing impurities in the formed thin film. At this time, the applied power is about 100 ~ 300 Watt, the generation time is about 1 ~ 10 seconds.

제3퍼지단계(S6)는 120℃ 로 가열된 Ar gas 를 이용하여 purge/pumping 을 실시하는 단계이다. The third purge step S6 is a step of purge / pumping using Ar gas heated to 120 ° C.

상기한 일련의 단계를 1 사이클로 하여, 원자층의 박막을 형성하는 기본 증착 단위가 되고, 여러번 반복함으로써 원자층을 증착한다. 상기한 단계를 1 사이클로 하였을 때, 1 ~ 5Å 의 TiN 막이 얻어졌다.Using the above-described series of steps as one cycle, it becomes a basic deposition unit for forming a thin film of an atomic layer, and the atomic layer is deposited by repeating several times. When the above step was carried out in one cycle, a TiN film of 1 to 5 kPa was obtained.

본 실시예에서는 TiN 을 형성하는 방법을 예로써 설명하였으나, TiAlN 나 TiSiN 등의 2 성분계 또는 3 성분계 이상의 다성분계 박막도 증착할 수 있다. 예를 들면, TiSiN 박막을 증착할 경우, TEMATi 와 TEMASi 를 별도의 공급장치를 사용하여 샤워헤드(13)에 공급하고, 샤워헤드(13) 내에서 혼합되게 하는 혼합식 방법(Co-injection)을 이용하거나, 또는 TEMATi 를 먼저 샤워헤드(13)로 공급한 후 TEMASi 를 공급하는 순차식 방법(Serial-injection)을 사용할 수 있다. In the present embodiment, a method of forming TiN has been described as an example, but multicomponent thin films such as TiAlN, TiSiN, or the like may be deposited. For example, when depositing a TiSiN thin film, a co-injection method is provided in which TEMATi and TEMASi are supplied to the shower head 13 using a separate feeder and mixed in the shower head 13. Alternatively, a serial-injection method may be used in which TEMATi is first supplied to the shower head 13 and then TEMASi is supplied.

이때 혼합식 방법을 사용하여 박막의 성분을 변경하고자 할 경우, TEMATi 와 TEMASi의 유량을 조절하는 방법과 분압을 조절하는 방식을 사용할 수 있고, 순차식 방법을 사용하여 박막의 성분을 변경하고자 할 경우에는, TEMATi 와 TEMASi 의 유량을 조절하는 방법과 주입 시간을 조절하는 방식을 이용한다.At this time, if you want to change the composition of the thin film using the mixed method, you can use the method of adjusting the flow rate and partial pressure of TEMATi and TEMASi, and if you want to change the composition of the thin film by using the sequential method For this, the method of controlling the flow rate of TEMATi and TEMASi and the method of adjusting the injection time are used.

즉, (TEMATi + TEMASi) 피딩 -> 퍼지 -> 제1반응제 피딩 -> 퍼지 -> 제2반응제 피딩 및 플라즈마 인가 -> 퍼지의 단계를 적용함에 따라 3성분계의 TiSiN 박막이 증착된다. 또는, TEMATi 피딩 -> 퍼지 -> 제1반응제 피딩-> 퍼지 -> TEMASi 피딩 -> 퍼지 -> 제1반응제피딩 -> 퍼지 -> 제2반응제 피딩 및 플라즈마 인가 -> 퍼지 방식을 이용하여 3성분계의 TiSiN 막의 형성도 가능하다.That is, a three-component TiSiN thin film is deposited by applying (TEMATi + TEMASi) feeding-> purge-> first reagent feeding-> purge-> second reagent feeding and plasma application-> purge. Alternatively, TEMATi feeding-> purge-> first reagent feeding-> purge-> TEMASi feeding-> purge-> first reagent feeding-> purge-> second reagent feeding and plasma application-> purge method It is also possible to form a three-component TiSiN film.

다음, 상기한 박막증착장치를 이용한 플라즈마 ALD 박막증착방법의 제2실시예를 설명한다. 도 5는 본 발명에 따른 플라즈마 ALD 박막증착방법의 제2실시예의 공정 시퀀스를 도시한 도면이다. Next, a second embodiment of the plasma ALD thin film deposition method using the above thin film deposition apparatus will be described. 5 is a view showing a process sequence of a second embodiment of the plasma ALD thin film deposition method according to the present invention.

도시된 바와 같이, 플라즈마 ALD 박막증착방법의 제2실시예는, 기판(w)이 로딩되어 있는 챔버(11) 내부로 금속원자를 함유하는 유기 또는 무기화합물 소스를 피딩하는 제1피딩단계(S1)와, 소스를 챔버(11)로부터 퍼지하는 제1퍼지단계(S2)와, 챔버(11)로 제1반응제을 피딩하는 제2피딩단계(S3)와, 챔버(11)로부터 소스와 반응하지 않는 제1반응제나, 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4)로 구성되는 서브사이클을 복수 회 반복하는 서브사이클 반복단계(S100)와; 서브사이클 반복단계(S100)가 완료된 후, 형성되는 박막의 막질을 향상시키기 위하여. 챔버(11)로 제2반응제를 피딩하는 동안에 플라즈마를 인가하는 제3피딩/플라즈마인가단계(S110)와; 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S120);를 포함하는 사이클을 반복함으로써 기판(w)상에 박막을 증착한다. 즉, 1 사이클은, 서브사이클 반복단계(S100)와, 제3피딩단계(S110)와, 제3퍼지단계(S120)로 구성된다. 이때, 상기한 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용한다. As shown, the second embodiment of the plasma ALD thin film deposition method, the first feeding step (S1) for feeding an organic or inorganic compound source containing a metal atom into the chamber 11 is loaded with a substrate (w) ), A first purge step S2 for purging the source from the chamber 11, a second feeding step S3 for feeding the first reactant into the chamber 11, and not reacting with the source from the chamber 11. A subcycle repetition step (S100) of repeating a subcycle consisting of a first reactant or a second purge step (S4) for purging the by-products generated by the reaction; After the subcycle repetition step (S100) is completed, to improve the film quality of the formed thin film. A third feeding / plasma applying step (S110) of applying plasma while feeding the second reactant into the chamber (11); The thin film is deposited on the substrate w by repeating a cycle including a second purge step (S120) for purging the second reactant or the by-product generated by the reaction. That is, one cycle includes a subcycle repetition step S100, a third feeding step S110, and a third purge step S120. At this time, any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER is used as the source.

서브사이클 반복단계(S100)는, 플라즈마를 인가하지 않고 순수한 열적 반응으로 진행되는 것으로서 제1피딩단계(S1), 제1퍼지단계(S2), 제2피딩단계(S3), 제2퍼지단계(S4)로 구성되는 서브사이클을 복수 회 반복함으로써 구현된다. The subcycle repetition step (S100), which proceeds as a pure thermal reaction without applying plasma, may include a first feeding step S1, a first purging step S2, a second feeding step S3, and a second purging step (S100). It is implemented by repeating a subcycle consisting of S4) a plurality of times.

서브사이클 반복단계(S100)는 플라즈마를 인가하지 않고 소스 및 제1반응제의 피딩, 퍼지를 수회 반복하여 박막을 형성하는 것이기 때문에 완전한 박막이 형성되지 않고, 이후에 별도로 제2반응제와 플라즈마를 주입함으로써 완전한 박막이 얻어진다.Since the subcycle repeating step (S100) is to form a thin film by repeating the feeding and purging of the source and the first reagent several times without applying plasma, a complete thin film is not formed. By injection, a complete thin film is obtained.

상기한 과정을 통하여 기판에 금속막을 형성할 수 있는데, 이를 위하여 제1 반응제로 H2 를 사용하고, 제2반응제로 H2 또는 H 원자를 포함하는 화합물을 사용한다. Through the above process, a metal film can be formed on the substrate. For this purpose, H2 is used as the first reactant and a compound including H2 or H atoms is used as the second reactant.

또는 기판에 도전막을 형성할 수 있는데, 이를 위하여 제1반응제로 N 원자를 포함하는 N2 또는 NH3 와 같은 화합물을 사용하고, 제2반응제로 H2 또는 H 원자를 포함하는 화합물을 사용한다.Alternatively, a conductive film may be formed on the substrate. For this, a compound such as N 2 or NH 3 containing N atoms may be used as the first reactant, and a compound including H 2 or H atoms may be used as the second reactant.

또는, 기판에 절연막을 형성할 수 있는데, 이를 위하여 제1반응제로 H2 또는 O2, H 원자나 O 원자를 포함하는 화합물을 사용하고, 제2반응제로 O2, O3, 또는 O 원자를 포함하는 산화제를 사용한다.Alternatively, an insulating film may be formed on the substrate. For this purpose, a compound containing H 2 or O 2, H atoms or O atoms may be used as the first reactant, and an oxidizing agent containing O 2, O 3, or O atoms may be used as the second reactant. use.

또는 기판에 절연막을 형성하기 위하여, 제1반응제로 O2, O3 또는 O 원자를 포함하는 산화제을 사용하고, 제2반응제로 O2, O3 또는 O 원자를 포함하는 산화제을 사용할 수도 있다.Alternatively, in order to form an insulating film on the substrate, an oxidant containing O 2, O 3, or O atoms may be used as the first reactant, and an oxidizing agent containing O 2, O 3, or O atoms may be used as the second reactant.

또는, 기판에 절연막을 형성하기 위하여, 제1반응제로 H2를 사용하고, 제2반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용할 수도 있다. Alternatively, in order to form an insulating film on the substrate, H2 may be used as the first reactant, and an oxidant containing O2, O3, or O atoms may be used as the second reactant.

예를 들면, 제3피딩/플라즈마인가단계(S110)에서는 제2반응제인 H2 를 100 sccm ~ 500 sccm 정도 주입하여 제2반응을 유도함과 동시에 플라즈마발생기에 전원을 공급하여 챔버(11) 내에 플라즈마를 발생시킨다. 그러면, H2 는 플라즈마에 의하여 여기되어 환원제로 작용됨으로써, 형성되는 박막 내부의 불순물을 제거하여 막질을 향상시킨다. 이때 인가되는 전원은 100 ~ 300 Watt 정도이고, 발생시간은 1초 ~ 10초 정도로 한다. For example, in the third feeding / plasma application step (S110), the second reaction is injected at about 100 sccm to 500 sccm to induce the second reaction and supply power to the plasma generator to supply plasma to the chamber 11. Generate. Then, H2 is excited by the plasma to act as a reducing agent, thereby improving the film quality by removing impurities in the formed thin film. At this time, the applied power is about 100 ~ 300 Watt, the generation time is about 1 ~ 10 seconds.

마지막 단계인 제3퍼지단계(S120)에서는 120℃ 로 가열한 Ar gas를 이용하여 purge/pumping을 실시한다.In the final purge step (S120), purge / pumping is performed using Ar gas heated to 120 ° C.

상기한 서브사이클 반복단계(S100), 제3피딩/플라즈마인가단계(S110), 제3퍼지단계(S120)로 구성되는 사이클을 반복함으로써 원하는 두께가 되는 완전한 박막을 형성할 수 있다.The complete thin film having a desired thickness may be formed by repeating the cycle consisting of the subcycle repetition step S100, the third feeding / plasma application step S110, and the third purge step S120.

본 실시예에 의해 증착되는 박막의 특성(막의 밀도 등)은 제1실시예에 비하여 다소 떨어지지만 서브사이클의 적용으로 인하여 증착 속도가 현저히 향상되고, 또한 플라즈마가 인가되는 횟수가 적기 때문에 소자의 열화 현상이 개선되는 장점이 있다. The characteristics (film density, etc.) of the thin film deposited by this embodiment are slightly lower than those of the first embodiment, but the deposition rate is remarkably improved due to the application of the subcycle, and the deterioration of the device is because the number of times of plasma is applied is small. There is an advantage that the phenomenon is improved.

다음, 상기한 박막증착장치를 이용하는 플라즈마 ALD 박막증착방법의 제3실 시예를 설명한다. 도 6은 본 발명에 따른 플라즈마 ALD 박막증착방법의 제3실시예의 공정 시퀀스를 도시한 도면이다. Next, a third embodiment of the plasma ALD thin film deposition method using the thin film deposition apparatus will be described. 6 is a view showing a process sequence of a third embodiment of the plasma ALD thin film deposition method according to the present invention.

도시된 바와 같이, 플라즈마 ALD 박막증착방법의 제3실시예는, 기판(w)이 로딩되어 있는 챔버(11) 내부로 금속원자를 함유하는 유기 또는 무기화합물 소스를 피딩하는 제1피딩단계(S1)와, 소스를 챔버(11)로부터 퍼지하는 제1퍼지단계(S2)와, 챔버(11)로 제1반응제을 피딩하는 제2피딩단계(S3)와, 챔버(11)로부터 소스와 반응하지 않는 제1반응제나, 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4)와, 형성되는 박막의 막질을 향상시키기 위하여. 챔버(11)로 제2반응제를 피딩하는 제3피딩단계(S5)와, 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S6)로 구성되는 서브사이클을 복수 회 반복하는 서브사이클 반복단계(S200)와; 서브사이클 반복단계(S200)가 완료된 후, 형성되는 박막의 막질을 향상시키기 위하여. 챔버(11)로 제2반응제를 피딩하는 동안에 플라즈마를 인가하는 제3피딩/플라즈마인가단계(S210)와; 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S220);를 포함하는 사이클을 반복함으로써 기판(w)상에 박막을 증착한다. 이때, 상기한 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용한다As shown, the third embodiment of the plasma ALD thin film deposition method, the first feeding step (S1) for feeding an organic or inorganic compound source containing a metal atom into the chamber (11) loaded with the substrate (w) ), A first purge step S2 for purging the source from the chamber 11, a second feeding step S3 for feeding the first reactant into the chamber 11, and not reacting with the source from the chamber 11. In order to improve the film quality of the formed thin film and the second purge step (S4) to purge the first reactant or by-products generated by the reaction. In the third feeding step (S5) for feeding the second reactant to the chamber 11, and the third purge step (S6) for purging the second reactant that has not reacted from the chamber 11 or by-products generated by the reaction. A subcycle repetition step (S200) of repeating the configured subcycle a plurality of times; After the subcycle repetition step (S200) is completed, to improve the film quality of the formed thin film. A third feeding / plasma application step (S210) of applying plasma while feeding the second reactant into the chamber (11); The thin film is deposited on the substrate w by repeating a cycle including a second purge step (S220) for purging the second reactant or the by-products generated by the reaction. In this case, any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, and DER is used as the source.

이때, 제3실시예가 제2실시예와 다른 점은, 제2실시예에서 서브사이클은 제1피딩/퍼지단계(S1)(S2), 제2피딩/퍼지단계(S3)(S4)로 구성됨에 반해, 제3실시예는 제1피딩/퍼지단계(S1)(S2), 제2피딩/퍼지단계(S3)(S4), 제3피딩/퍼지(S5)(S6)로 구성되는 것이다.At this time, the third embodiment is different from the second embodiment, the subcycle in the second embodiment is composed of a first feeding / purging step (S1) (S2), the second feeding / purging step (S3) (S4) In contrast, the third embodiment is composed of a first feeding / purge step S1 (S2), a second feeding / purge step S3, S4, and a third feeding / purge S5, S6.

제3실시예에서는, 제2반응제의 피딩/퍼지단계(S5)(S6)가 더 포함됨으로써, 제2실시예에서의 서브사이클에 비하여 막질이 우수하지만, 증착 속도는 좀 떨어진다.In the third embodiment, the feeding / purging step (S5) (S6) of the second reactant is further included, so that the film quality is superior to the subcycle in the second embodiment, but the deposition rate is slightly lower.

상기한 과정을 통하여 기판에 금속막을 형성할 수 있는데, 이를 위하여 제1 반응제로 H2 를 사용하고, 제2반응제로 H2 또는 H 원자를 포함하는 화합물을 사용한다. Through the above process, a metal film can be formed on the substrate. For this purpose, H2 is used as the first reactant and a compound including H2 or H atoms is used as the second reactant.

또는 기판에 도전막을 형성할 수 있는데, 이를 위하여 제1반응제로 N 원자를 포함하는 N2 또는 NH3 와 같은 화합물을 사용하고, 제2반응제로 H2 또는 H 원자를 포함하는 화합물을 사용한다.Alternatively, a conductive film may be formed on the substrate. For this, a compound such as N 2 or NH 3 containing N atoms may be used as the first reactant, and a compound including H 2 or H atoms may be used as the second reactant.

또는, 기판에 절연막을 형성할 수 있는데, 이를 위하여 제1반응제로 H2 또는 O2, H 원자나 O 원자를 포함하는 화합물을 사용하고, 제2반응제로 O2, O3, 또는 O 원자를 포함하는 산화제를 사용한다.Alternatively, an insulating film may be formed on the substrate. For this purpose, a compound containing H 2 or O 2, H atoms or O atoms may be used as the first reactant, and an oxidizing agent containing O 2, O 3, or O atoms may be used as the second reactant. use.

또는 기판에 절연막을 형성하기 위하여, 제1반응제로 O2, O3 또는 O 원자를 포함하는 산화제을 사용하고, 제2반응제로 O2, O3 또는 O 원자를 포함하는 산화제을 사용할 수도 있다.Alternatively, in order to form an insulating film on the substrate, an oxidant containing O 2, O 3, or O atoms may be used as the first reactant, and an oxidizing agent containing O 2, O 3, or O atoms may be used as the second reactant.

또는, 기판에 절연막을 형성하기 위하여, 제1반응제로 H2를 사용하고, 제2반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용할 수도 있다. Alternatively, in order to form an insulating film on the substrate, H2 may be used as the first reactant, and an oxidant containing O2, O3, or O atoms may be used as the second reactant.

상기와 같은 플라즈마 ALD 방법을 통하여 얻어지는 금속막으로 TiN, TaN, HfN, TiAlN, TiSiN, TaSiN 등의 질화물과, Al, Cu, Ti, Ta, Hf, Ru, Si, Pt, Ir 등의 금속, TiC, TaC, HfC등의 탄화물과 TiCN, TaCN, HfCN 등의 복합물등이 있을 수 있다.Metal films obtained through the plasma ALD method described above include nitrides such as TiN, TaN, HfN, TiAlN, TiSiN, TaSiN, metals such as Al, Cu, Ti, Ta, Hf, Ru, Si, Pt, Ir, and TiC. , Carbides such as TaC, HfC, and composites such as TiCN, TaCN, HfCN.

본 발명은 도면에 도시된 일 실시예를 참고로 설명되었으나 이는 예시적인 것에 불과하며, 본 기술 분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. Although the present invention has been described with reference to one embodiment shown in the drawings, this is merely exemplary, and those skilled in the art will understand that various modifications and equivalent other embodiments are possible therefrom.

상술한 바와 같이 본 발명에 따른 플라즈마 ALD 박막증착방법에 따르면, 박막을 구성하는 소스와 반응제 이외에, 양질의 박막을 얻도록 하기 위하여 별도의 반응제와 플라즈마를 인가함으로써 양질의 박막을 비교적 저온에서 형성할 수 있다.As described above, according to the plasma ALD thin film deposition method according to the present invention, in order to obtain a high quality thin film in addition to the source and the reactant constituting the thin film, a high quality thin film is applied at a relatively low temperature by applying a separate reactant and plasma. Can be formed.

또한, 플라즈마가 제2반응제의 피딩동안에 인가됨으로써, 박막을 구성하는 소스 및 반응제를 구성하는 원소간의 결합이 깨어지는 것을 방지함으로써 박막 내에 잔존물질의 함량이 높아지거나 원하지 않는 불순물의 함량이 높아지는 것을 방지할 수 있고, 또한 반응부산물이 여기되어 형성이 진행중인 박막과의 반응이 일어나는 것을 방지할 수 있어, 결과적으로 형성되는 막질을 더더욱 좋게 할 수 있다. In addition, the plasma is applied during the feeding of the second reactive agent, thereby preventing the bond between the source constituting the thin film and the elements constituting the thin film being broken, thereby increasing the content of residual material or the content of unwanted impurities in the thin film. It is possible to prevent the reaction by-products from being excited and to prevent the reaction with the thin film in progress of formation, thereby making the resulting film even better.

Claims (17)

삭제delete 삭제delete 삭제delete 기판(w)이 로딩되어 있는 챔버(11) 내부로 금속원자를 함유하는 유기 또는 무기화합물 소스를 피딩하는 제1피딩단계(S1);A first feeding step S1 of feeding an organic or inorganic compound source containing a metal atom into the chamber 11 in which the substrate w is loaded; 상기 소스를 상기 챔버(11)로부터 퍼지하는 제1퍼지단계(S2);A first purge step (S2) of purging the source from the chamber (11); 상기 챔버(11)로 상기 제1반응제를 피딩하는 제2피딩단계(S3);A second feeding step (S3) of feeding the first reactant into the chamber (11); 상기 챔버(11)로부터 상기 소스와 반응하지 않는 제1반응제나, 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4);A second purge step (S4) of purging the first reactant which does not react with the source or the by-products generated by the reaction from the chamber (11); 형성되는 박막의 막질을 향상시키기 위하여. 상기 챔버(11)로 제2반응제를 피딩하는 동안에 플라즈마를 인가하는 제3피딩단계(S5);To improve the film quality of the formed thin film. A third feeding step (S5) of applying a plasma while feeding a second reactant into the chamber (11); 상기 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S6);로 구성되는 사이클을 반복함으로써 기판(w)상에 박막을 증착하며,The thin film is deposited on the substrate w by repeating the cycle consisting of a third purge step (S6) for purging the second reactant or the reaction by-products that did not react from the chamber (11), 상기 제3피딩단계(S5)에 있어서,In the third feeding step (S5), 상기 플라즈마는, 상기 제2반응제의 피딩과 동시에 또는 피딩이 진행되는 동안에 인가되어 피딩이 완료될 때 동시에 종료되며,The plasma is applied at the same time as the feeding of the second reactant or while feeding is in progress and ends simultaneously when feeding is completed, 상기 기판에 금속막을 형성하기 위하여,In order to form a metal film on the substrate, 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고,Use any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER as the source, 상기 제1반응제로 H2 를 사용하고,H2 is used as the first reactant, 상기 제2반응제로 H2 또는 H 원자를 포함하는 있는 화합물을 사용하는 것을 특징으로 하는 플라즈마 ALD 박막증착방법.Plasma ALD thin film deposition method using a compound containing H2 or H atoms as the second reactant. 기판(w)이 로딩되어 있는 챔버(11) 내부로 금속원자를 함유하는 유기 또는 무기화합물 소스를 피딩하는 제1피딩단계(S1);A first feeding step S1 of feeding an organic or inorganic compound source containing a metal atom into the chamber 11 in which the substrate w is loaded; 상기 소스를 상기 챔버(11)로부터 퍼지하는 제1퍼지단계(S2);A first purge step (S2) of purging the source from the chamber (11); 상기 챔버(11)로 상기 제1반응제를 피딩하는 제2피딩단계(S3);A second feeding step (S3) of feeding the first reactant into the chamber (11); 상기 챔버(11)로부터 상기 소스와 반응하지 않는 제1반응제나, 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4);A second purge step (S4) of purging the first reactant which does not react with the source or the by-products generated by the reaction from the chamber (11); 형성되는 박막의 막질을 향상시키기 위하여. 상기 챔버(11)로 제2반응제를 피딩하는 동안에 플라즈마를 인가하는 제3피딩단계(S5);To improve the film quality of the formed thin film. A third feeding step (S5) of applying a plasma while feeding a second reactant into the chamber (11); 상기 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S6);로 구성되는 사이클을 반복함으로써 기판(w)상에 박막을 증착하며,The thin film is deposited on the substrate w by repeating the cycle consisting of a third purge step (S6) for purging the second reactant or the reaction by-products that did not react from the chamber (11), 상기 제3피딩단계(S5)에 있어서,In the third feeding step (S5), 상기 플라즈마는, 상기 제2반응제의 피딩과 동시에 또는 피딩이 진행되는 동안에 인가되어 피딩이 완료될 때 동시에 종료되며,The plasma is applied at the same time as the feeding of the second reactant or while feeding is in progress and ends simultaneously when feeding is completed, 상기 기판에 도전막을 형성하기 위하여,In order to form a conductive film on the substrate, 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고,Use any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER as the source, 상기 제1반응제로 N2, NH3 또는 N 원자를 포함하는 화합물을 사용하며,As the first reactive agent, a compound containing N2, NH3 or N atoms is used. 상기 제2반응제로 H2 또는 H 원자를 포함하는 화합물을 사용하는 것을 특징으로 하는 플라즈마 ALD 박막증착방법.Plasma ALD thin film deposition method using a compound containing H2 or H atoms as the second reactant. 기판(w)이 로딩되어 있는 챔버(11) 내부로 금속원자를 함유하는 유기 또는 무기화합물 소스를 피딩하는 제1피딩단계(S1);A first feeding step S1 of feeding an organic or inorganic compound source containing a metal atom into the chamber 11 in which the substrate w is loaded; 상기 소스를 상기 챔버(11)로부터 퍼지하는 제1퍼지단계(S2);A first purge step (S2) of purging the source from the chamber (11); 상기 챔버(11)로 상기 제1반응제를 피딩하는 제2피딩단계(S3);A second feeding step (S3) of feeding the first reactant into the chamber (11); 상기 챔버(11)로부터 상기 소스와 반응하지 않는 제1반응제나, 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4);A second purge step (S4) of purging the first reactant which does not react with the source or the by-products generated by the reaction from the chamber (11); 형성되는 박막의 막질을 향상시키기 위하여. 상기 챔버(11)로 제2반응제를 피딩하는 동안에 플라즈마를 인가하는 제3피딩단계(S5);To improve the film quality of the formed thin film. A third feeding step (S5) of applying a plasma while feeding a second reactant into the chamber (11); 상기 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S6);로 구성되는 사이클을 반복함으로써 기판(w)상에 박막을 증착하며,The thin film is deposited on the substrate w by repeating the cycle consisting of a third purge step (S6) for purging the second reactant or the reaction by-products that did not react from the chamber (11), 상기 제3피딩단계(S5)에 있어서,In the third feeding step (S5), 상기 플라즈마는, 상기 제2반응제의 피딩과 동시에 또는 피딩이 진행되는 동안에 인가되어 피딩이 완료될 때 동시에 종료되며,The plasma is applied at the same time as the feeding of the second reactant or while feeding is in progress and ends simultaneously when feeding is completed, 상기 기판에 절연막을 형성하기 위하여,In order to form an insulating film on the substrate, 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고,Use any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER as the source, 상기 제1반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용하며, As the first reactant is used an oxidizing agent containing O2, O3 or O atoms, 상기 제2반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용하는 것을 특징으로 하는 플라즈마 ALD 박막증착방법.Plasma ALD thin film deposition method using an oxidizing agent containing O2, O3 or O atoms as the second reactant. 기판(w)이 로딩되어 있는 챔버(11) 내부로 금속원자를 함유하는 유기 또는 무기화합물 소스를 피딩하는 제1피딩단계(S1);A first feeding step S1 of feeding an organic or inorganic compound source containing a metal atom into the chamber 11 in which the substrate w is loaded; 상기 소스를 상기 챔버(11)로부터 퍼지하는 제1퍼지단계(S2);A first purge step (S2) of purging the source from the chamber (11); 상기 챔버(11)로 상기 제1반응제를 피딩하는 제2피딩단계(S3);A second feeding step (S3) of feeding the first reactant into the chamber (11); 상기 챔버(11)로부터 상기 소스와 반응하지 않는 제1반응제나, 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4);A second purge step (S4) of purging the first reactant which does not react with the source or the by-products generated by the reaction from the chamber (11); 형성되는 박막의 막질을 향상시키기 위하여. 상기 챔버(11)로 제2반응제를 피딩하는 동안에 플라즈마를 인가하는 제3피딩단계(S5);To improve the film quality of the formed thin film. A third feeding step (S5) of applying a plasma while feeding a second reactant into the chamber (11); 상기 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S6);로 구성되는 사이클을 반복함으로써 기판(w)상에 박막을 증착하며,The thin film is deposited on the substrate w by repeating the cycle consisting of a third purge step (S6) for purging the second reactant or the reaction by-products that did not react from the chamber (11), 상기 제3피딩단계(S5)에 있어서,In the third feeding step (S5), 상기 플라즈마는, 상기 제2반응제의 피딩과 동시에 또는 피딩이 진행되는 동안에 인가되어 피딩이 완료될 때 동시에 종료되며,The plasma is applied at the same time as the feeding of the second reactant or while feeding is in progress and ends simultaneously when feeding is completed, 상기 기판에 절연막을 형성하기 위하여,In order to form an insulating film on the substrate, 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고,Use any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER as the source, 상기 제1반응제로 H2를 사용하고,H2 is used as the first reactant, 상기 제2반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용하는 것을 특징으로 하는 플라즈마 ALD 박막 증착방법.Plasma ALD thin film deposition method using an oxidizing agent containing O2, O3 or O atoms as the second reactant. 기판(w)이 로딩되어 있는 챔버(11) 내부로 금속원자를 함유하는 유기 또는 무기화합물 소스를 피딩하는 제1피딩단계(S1)와, 상기 소스를 챔버(11)로부터 퍼지하는 제1퍼지단계(S2)와, 상기 챔버(11)로 제1반응제을 피딩하는 제2피딩단계(S3)와, 상기 챔버(11)로부터 소스와 반응하지 않는 제1반응제나, 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4)로 구성되는 서브사이클을 복수 회 반복하는 서브사이클 반복단계(S100);A first feeding step S1 of feeding an organic or inorganic compound source containing a metal atom into the chamber 11 in which the substrate w is loaded, and a first purging step of purging the source from the chamber 11; (S2), the second feeding step (S3) for feeding the first reactant to the chamber 11, and the first reactant that does not react with the source from the chamber (11) or by-products generated by the reaction to purge A subcycle repetition step S100 of repeating a subcycle consisting of a second purge step S4 a plurality of times; 상기 서브사이클 반복단계(S100)가 완료된 후, 형성되는 박막의 막질을 향상시키기 위하여. 상기 챔버(11)로 제2반응제를 피딩하는 동안에 플라즈마를 인가하는 제3피딩/플라즈마인가단계(S110); 및After the subcycle repetition step (S100) is completed, to improve the film quality of the formed thin film. A third feeding / plasma application step (S110) of applying a plasma while feeding a second reactant into the chamber (11); And 상기 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S120);를 포함하는 사이클을 반복함으로써 기판(w)상에 박막을 증착하는 것을 특징으로 하는 플라즈마 ALD 박막증착방법.The third purge step (S120) for purging the second reactant or the reaction by-products that did not react from the chamber 11; by repeating the cycle comprising a thin film is deposited on the substrate (w) Plasma ALD thin film deposition method. 제8항에 있어서, 상기 기판에 금속막을 형성하기 위하여,The method of claim 8, in order to form a metal film on the substrate, 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고,Use any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER as the source, 상기 제1반응제로 H2 를 사용하고,H2 is used as the first reactant, 상기 제2반응제로 H2 또는 H 원자를 포함하는 화합물을 사용하는 것을 특징으로 하는 플라즈마 ALD 박막증착방법.Plasma ALD thin film deposition method using a compound containing H2 or H atoms as the second reactant. 제8항에 있어서, 상기 기판에 도전막을 형성하기 위하여,The method of claim 8, wherein in order to form a conductive film on the substrate, 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고,Use any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER as the source, 상기 제1반응제로 N2, NH3 또는 N 원자를 포함하는 화합물을 사용하며,As the first reactive agent, a compound containing N2, NH3 or N atoms is used. 상기 제2반응제로 H2 또는 H 원자를 포함하는 화합물을 사용하는 것을 특징으로 하는 플라즈마 ALD 박막증착방법.Plasma ALD thin film deposition method using a compound containing H2 or H atoms as the second reactant. 제8항에 있어서, 상기 기판에 절연막을 형성하기 위하여,The method of claim 8, wherein an insulating film is formed on the substrate. 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고,Use any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER as the source, 상기 제1반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용하며, As the first reactant is used an oxidizing agent containing O2, O3 or O atoms, 상기 제2반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용하는 것을 특징으로 하는 플라즈마 ALD 박막증착방법.Plasma ALD thin film deposition method using an oxidizing agent containing O2, O3 or O atoms as the second reactant. 제8항에 있어서, 상기 기판에 절연막을 형성하기 위하여,The method of claim 8, wherein an insulating film is formed on the substrate. 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고,Use any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER as the source, 상기 제1반응제로 H2를 사용하고,H2 is used as the first reactant, 상기 제2반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용하는 것을 특징으로 하는 플라즈마 ALD 박막 증착방법.Plasma ALD thin film deposition method using an oxidizing agent containing O2, O3 or O atoms as the second reactant. 기판(w)이 로딩되어 있는 챔버(11) 내부로 금속원자를 함유하는 유기 또는 무기화합물 소스를 피딩하는 제1피딩단계(S1)와, 상기 소스를 챔버(11)로부터 퍼지하는 제1퍼지단계(S2)와, 상기 챔버(11)로 제1반응제을 피딩하는 제2피딩단계(S3)와, 상기 챔버(11)로부터 소스와 반응하지 않는 제1반응제나, 반응하여 생성된 부산물을 퍼지하는 제2퍼지단계(S4)와, 형성되는 박막의 막질을 향상시키기 위하여. 상기 챔버(11)로 제2반응제를 피딩하는 제3피딩단계(S5)와, 상기 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S6)로 구성되는 서브사이클을 복수 회 반복하는 서브사이클 반복단계(S200);A first feeding step S1 of feeding an organic or inorganic compound source containing a metal atom into the chamber 11 in which the substrate w is loaded, and a first purging step of purging the source from the chamber 11; (S2), the second feeding step (S3) for feeding the first reactant to the chamber 11, and the first reactant that does not react with the source from the chamber (11) or by-products generated by the reaction to purge In order to improve the quality of the second purge step (S4) and the formed thin film. The third feeding step (S5) for feeding the second reactant to the chamber 11, and the third purge step (S6) for purging the second reactant not reacted from the chamber 11, or by-products generated by the reaction A subcycle repetition step (S200) of repeating a subcycle consisting of a plurality of times; 상기 서브사이클 반복단계(S200)가 완료된 후, 형성되는 박막의 막질을 향상시키기 위하여. 챔버(11)로 제2반응제를 피딩하는 동안에 플라즈마를 인가하는 제3피딩/플라즈마인가단계(S210); 및 After the subcycle repetition step (S200) is completed, to improve the film quality of the formed thin film. A third feeding / plasma application step (S210) of applying plasma while feeding the second reactant into the chamber (11); And 챔버(11)로부터 반응하지 않은 제2반응제나, 반응하여 생성된 부산물을 퍼지하는 제3퍼지단계(S220);를 포함하는 사이클을 반복함으로써 기판(w)상에 박막을 증착하는 것을 특징으로 하는 플라즈마 ALD 박막증착방법.It characterized in that the thin film is deposited on the substrate (w) by repeating the cycle comprising a; third purge step (S220) for purging the second reactant or the reaction by-products that did not react from the chamber (11) Plasma ALD thin film deposition method. 제13항에 있어서, 상기 기판에 금속막을 형성하기 위하여,The method of claim 13, wherein in order to form a metal film on the substrate, 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고,Use any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER as the source, 상기 제1반응제로 H2 를 사용하고,H2 is used as the first reactant, 상기 제2반응제로 H2 또는 H 원자를 포함하는 화합물을 사용하는 것을 특징으로 하는 플라즈마 ALD 박막증착방법.Plasma ALD thin film deposition method using a compound containing H2 or H atoms as the second reactant. 제13항에 있어서, 상기 기판에 도전막을 형성하기 위하여,The method of claim 13, wherein in order to form a conductive film on the substrate, 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고,Use any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER as the source, 상기 제1반응제로 N2, NH3 또는 N 원자를 포함하는 화합물을 사용하며,As the first reactive agent, a compound containing N2, NH3 or N atoms is used. 상기 제2반응제로 H2 또는 H 원자를 포함하는 화합물을 사용하는 것을 특징으로 하는 플라즈마 ALD 박막증착방법.Plasma ALD thin film deposition method using a compound containing H2 or H atoms as the second reactant. 제13항에 있어서, 상기 기판에 절연막을 형성하기 위하여,The method of claim 13, wherein an insulating film is formed on the substrate. 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고,Use any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER as the source, 상기 제1반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용하며, As the first reactant is used an oxidizing agent containing O2, O3 or O atoms, 상기 제2반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용하는 것을 특징으로 하는 플라즈마 ALD 박막증착방법.Plasma ALD thin film deposition method using an oxidizing agent containing O2, O3 or O atoms as the second reactant. 제13항에 있어서, 상기 기판에 절연막을 형성하기 위하여,The method of claim 13, wherein an insulating film is formed on the substrate. 상기 소스로 TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER 중 어느 하나를 사용하고,Use any one of TEMATi, TEMASi, ECTDMAT, PEMAT, TBTEMAT, DER as the source, 상기 제1반응제로 H2를 사용하고,H2 is used as the first reactant, 상기 제2반응제로 O2, O3 또는 O 원자를 포함하는 산화제를 사용하는 것을 특징으로 하는 플라즈마 ALD 박막 증착방법.Plasma ALD thin film deposition method using an oxidizing agent containing O2, O3 or O atoms as the second reactant.
KR1020050007249A 2005-01-26 2005-01-26 a method for depositing thin film using ALD KR100640550B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020050007249A KR100640550B1 (en) 2005-01-26 2005-01-26 a method for depositing thin film using ALD
PCT/KR2006/000169 WO2006080782A1 (en) 2005-01-26 2006-01-17 Method of depositing thin layer using atomic layer deposition
TW095102046A TWI319442B (en) 2005-01-26 2006-01-19 Method of depositing thin layer using atomic layer deposition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050007249A KR100640550B1 (en) 2005-01-26 2005-01-26 a method for depositing thin film using ALD

Publications (2)

Publication Number Publication Date
KR20060086241A KR20060086241A (en) 2006-07-31
KR100640550B1 true KR100640550B1 (en) 2006-10-31

Family

ID=36740742

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050007249A KR100640550B1 (en) 2005-01-26 2005-01-26 a method for depositing thin film using ALD

Country Status (3)

Country Link
KR (1) KR100640550B1 (en)
TW (1) TWI319442B (en)
WO (1) WO2006080782A1 (en)

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
KR100799735B1 (en) * 2006-07-10 2008-02-01 삼성전자주식회사 Method of forming metal oxide and apparatus for performing the same
US7611751B2 (en) * 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
KR101540077B1 (en) 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) * 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101923087B1 (en) * 2011-04-07 2018-11-28 피코순 오와이 Deposition reactor with plasma source
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI498450B (en) * 2012-11-22 2015-09-01 Nat Applied Res Laboratories Closed flow channel reaction tank system for manufacturing catalyst or support material
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
KR20140113037A (en) * 2013-03-15 2014-09-24 주식회사 원익아이피에스 Apparatus for processing substrate and method for manufacturing complex film
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9685325B2 (en) * 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102216575B1 (en) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Titanium aluminum and tantalum aluminum thin films
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR102627238B1 (en) 2017-05-05 2024-01-19 에이에스엠 아이피 홀딩 비.브이. Plasma-enhanced deposition process to control the formation of oxygen-containing thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
EP3480337A4 (en) 2017-06-12 2020-04-29 ULVAC, Inc. Thin film formation method
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102325325B1 (en) * 2017-09-29 2021-11-11 주성엔지니어링(주) Method for Forming Thin Film
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
TWI761636B (en) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 PLASMA ENHANCED ATOMIC LAYER DEPOSITION PROCESS AND METHOD OF DEPOSITING SiOC THIN FILM
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
TW202303989A (en) * 2021-06-11 2023-01-16 南韓商周星工程股份有限公司 Method for manufacturing power semiconductor device
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7315744B1 (en) * 2022-03-14 2023-07-26 株式会社Kokusai Electric Substrate processing method, semiconductor device manufacturing method, substrate processing apparatus, and program

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020011510A (en) * 2000-08-02 2002-02-09 이경수 Single wafer type chemical vapor deposition equipment and method of forming a thin film using the same
KR20020065245A (en) * 2001-02-06 2002-08-13 주식회사 하이닉스반도체 thin film deposition method using Plasma Enhanced Atomic Layer Deposition method
WO2003021650A1 (en) * 2001-09-03 2003-03-13 Tokyo Electron Limited Film forming method
US20030108674A1 (en) * 2001-12-07 2003-06-12 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
KR20040078476A (en) * 2003-03-04 2004-09-10 삼성전자주식회사 Forming method for thin film using atomic layer deposition method
KR20040096402A (en) * 2003-05-09 2004-11-16 삼성전자주식회사 Method of forming a thin film using a plasma enhanced cyclic deposition technique

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020011510A (en) * 2000-08-02 2002-02-09 이경수 Single wafer type chemical vapor deposition equipment and method of forming a thin film using the same
KR20020065245A (en) * 2001-02-06 2002-08-13 주식회사 하이닉스반도체 thin film deposition method using Plasma Enhanced Atomic Layer Deposition method
WO2003021650A1 (en) * 2001-09-03 2003-03-13 Tokyo Electron Limited Film forming method
JP2003077864A (en) * 2001-09-03 2003-03-14 Tokyo Electron Ltd Film-forming method
KR20040044525A (en) * 2001-09-03 2004-05-28 동경 엘렉트론 주식회사 Film forming method
US20040235191A1 (en) * 2001-09-03 2004-11-25 Toshio Hasegawa Film forming method
US20030108674A1 (en) * 2001-12-07 2003-06-12 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
KR20040078476A (en) * 2003-03-04 2004-09-10 삼성전자주식회사 Forming method for thin film using atomic layer deposition method
KR20040096402A (en) * 2003-05-09 2004-11-16 삼성전자주식회사 Method of forming a thin film using a plasma enhanced cyclic deposition technique

Also Published As

Publication number Publication date
KR20060086241A (en) 2006-07-31
TWI319442B (en) 2010-01-11
WO2006080782A1 (en) 2006-08-03
TW200632126A (en) 2006-09-16

Similar Documents

Publication Publication Date Title
KR100640550B1 (en) a method for depositing thin film using ALD
TWI433956B (en) Plasma-enhanced ald of tantalum nitride films
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP3687651B2 (en) Thin film formation method
KR100773755B1 (en) A method for depositing thin film using ALD
US7655567B1 (en) Methods for improving uniformity and resistivity of thin tungsten films
KR100734748B1 (en) A method for depositing nitride thin film on wafer by in-situ
KR101379015B1 (en) METHOD OF DEPOSITING Ru FILM USING PEALD AND DENSE Ru FILM
KR101046071B1 (en) Method and apparatus for photoexciting a chemical for atomic layer deposition of a dielectric film
KR100385947B1 (en) Method of forming thin film by atomic layer deposition
TW202349473A (en) Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR100935481B1 (en) Method of forming film and apparatus for film formation
US20100136313A1 (en) Process for forming high resistivity thin metallic film
US20080113110A1 (en) Plasma-enhanced deposition of metal carbide films
JP2011530002A (en) Method for forming a tantalum-containing layer on a substrate
KR20080023741A (en) Film-forming method and film-forming apparatus
KR101094379B1 (en) Method for forming noble metal lyaer using ozone reactance gas
TWI389184B (en) Film forming method, film forming apparatus and memory medium
US20110206862A1 (en) Titanium Nitride Film Deposition by Vapor Deposition Using Cyclopentadienyl Alkylamino Titanium Precursors
KR100541511B1 (en) Method of forming an atomic layer and method of forming a thin film using the same
TW202246560A (en) Method and system for forming boron nitride on a surface of a substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120914

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130904

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140917

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150909

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160907

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170907

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190909

Year of fee payment: 14