JPH10268526A - Production of semiconductor device and pattern forming method - Google Patents

Production of semiconductor device and pattern forming method

Info

Publication number
JPH10268526A
JPH10268526A JP8727197A JP8727197A JPH10268526A JP H10268526 A JPH10268526 A JP H10268526A JP 8727197 A JP8727197 A JP 8727197A JP 8727197 A JP8727197 A JP 8727197A JP H10268526 A JPH10268526 A JP H10268526A
Authority
JP
Japan
Prior art keywords
film
silicon
etching
pattern
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8727197A
Other languages
Japanese (ja)
Inventor
Yasuhiko Sato
康彦 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP8727197A priority Critical patent/JPH10268526A/en
Publication of JPH10268526A publication Critical patent/JPH10268526A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To process a film to be processed with good dimensional controllability by integrally etching an intermediate layer and lower layer resister by using resist patterns as a mask, thereby decreasing the number of the necessary etching stages before processing of the film to be processed and decreasing the dimensional changes and differences which arise at the time of the etching. SOLUTION: A silicon org. film 3 contg. a compd. having an Si-Si bond on the main chain, a silicon film 4 and a photosensitive resin film 5 are formed on the film 2 to be processed (for example, a conductive film 2 formed on a silicon substrate 1). This photosensitive resin film 5 is irradiated with an energy beam of visible light, UV light, etc., which are exposure light, through a mask of the desired patterns to form the resist patterns 5a by patterning the photosensitive resin film 5. The silicon film 4 and the silicon org. film 3 are simultaneously etched by using such resist patterns 5a as an etching mask. Then, the silicon film 4 and silicon org. film 3 of the required film thicknesses may be etched with the resist patterns 5a of the small film thickness.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は半導体装置の製造方
法に係り、特に、ウェハー基板表面に形成された薄膜の
加工方法に関する。
The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for processing a thin film formed on a surface of a wafer substrate.

【0002】[0002]

【従来の技術】半導体素子の製造工程においては、酸化
シリコン膜や窒化シリコン膜のような絶縁膜、配線材
料、電極材料等の被加工膜を加工する工程が多く存在す
る。通常、これら被加工膜の加工は、被加工膜上に感光
性樹脂膜を形成し、パターン露光を行なった後、現像工
程を経てレジストパターンを形成し、さらに、このレジ
ストパターンをエッチングマスクとして用いて、被加工
膜をドライエッチングすることによりなされる。
2. Description of the Related Art In the process of manufacturing a semiconductor element, there are many steps for processing a film to be processed such as an insulating film such as a silicon oxide film or a silicon nitride film, a wiring material, an electrode material and the like. Usually, the processing of these films to be processed involves forming a photosensitive resin film on the film to be processed, performing pattern exposure, forming a resist pattern through a development process, and further using this resist pattern as an etching mask. This is performed by dry-etching the film to be processed.

【0003】近年、LSIの集積度の増加に伴うパター
ンの微細化により、パターンを形成するのに必要な解像
性、露光量裕度、或はフォーカス裕度の不足が生じ、感
光性樹脂の膜厚をできるだけ薄くし、これらのプロセス
マージンを向上させる必要が生じている。しかしなが
ら、感光性樹脂膜の薄膜化は、レジストのドライエッチ
ング耐性の低下を招き、エッチング途中でレジストパタ
ーンが削れてなくなるという問題が生じる。
In recent years, with the miniaturization of patterns accompanying the increase in the degree of integration of LSIs, the resolution, exposure latitude, or focus latitude required for forming patterns has been insufficient, and the photosensitive resin has become insufficient. There is a need to reduce the film thickness as much as possible to improve these process margins. However, thinning of the photosensitive resin film causes a decrease in dry etching resistance of the resist, and causes a problem that the resist pattern is not removed during etching.

【0004】この問題を解決するために、被加工膜上に
ノボラック樹脂等の樹脂膜を下層レジストとして形成
し、下層レジスト上に形成したレジストパターンを下層
レジストに転写し、下層レジストをエッチングマスクと
して用いて被加工膜を加工する3層レジスト法や2層レ
ジスト法といったパターン転送方法が従来から用いられ
ている。
In order to solve this problem, a resin film such as a novolak resin is formed as a lower resist on a film to be processed, a resist pattern formed on the lower resist is transferred to the lower resist, and the lower resist is used as an etching mask. Conventionally, a pattern transfer method such as a three-layer resist method or a two-layer resist method for processing a film to be processed has been used.

【0005】以下、3層レジスト法について、図5、6
を参照して説明する。まず、基板21上に形成された被
加工膜22上に下層レジスト23、中間層24、上層レ
ジスト25を順次形成し(図5(a))、上層レジスト
25に対してパターン露光を行ない、レジストパターン
25aを形成する(図5(b))。次いで、上層レジス
トパターン25aをエッチングマスクとして用いて、ド
ライエッチング法により中間層24をエッチングし(図
5(c))、得られた中間層パターン24aをエッチン
グマスクとして用いて、下層レジスト23をエッチング
する(図6(a))。
[0005] Hereinafter, the three-layer resist method will be described with reference to FIGS.
This will be described with reference to FIG. First, a lower resist 23, an intermediate layer 24, and an upper resist 25 are sequentially formed on a film 22 to be processed formed on a substrate 21 (FIG. 5A), and pattern exposure is performed on the upper resist 25 to form a resist. A pattern 25a is formed (FIG. 5B). Next, the intermediate layer 24 is etched by a dry etching method using the upper resist pattern 25a as an etching mask (FIG. 5C), and the lower resist 23 is etched using the obtained intermediate layer pattern 24a as an etching mask. (FIG. 6A).

【0006】以上の方法で上層レジストパターン25a
を下層レジスト23にパターン転写し、下層レジストパ
ターン23aをエッチングマスクとして用いて、被加工
膜22をエッチングする(図6(b))。そして、下層
レジストパターン23aを被加工膜から選択的に剥離す
る(図6(c))。
The upper resist pattern 25a is formed by the above method.
Is transferred to the lower resist 23, and the film 22 to be processed is etched using the lower resist pattern 23a as an etching mask (FIG. 6B). Then, the lower resist pattern 23a is selectively removed from the film to be processed (FIG. 6C).

【0007】しかしながら、この3層レジスト法では、
上層レジストパターン25aを下層レジスト23にパタ
ーン転写するまでに、2回のエッチング工程が必要であ
る。そのため、プロセスコトスがかかるとともに、エッ
チング工程毎に生じる寸法変換差を無視することができ
なくなり、被加工膜を所望の寸法に加工することが困難
であった。
However, in this three-layer resist method,
Two etching steps are required before the upper resist pattern 25a is transferred to the lower resist 23. Therefore, a process cost is required, and a dimensional conversion difference generated in each etching step cannot be ignored, and it has been difficult to process a film to be processed to a desired size.

【0008】また、2層レジスト法では、被加工膜上に
下層レジスト、シリコン含有レジストを順次形成し、シ
リコン含有レジストに対してパターン露光を行ってレジ
ストパターンを形成し、このレジストパターンをマスク
して用いて、下層レジストをエッチングする。この方法
では、3層レジスト法と比べると工程数を減らすことが
できるが、シリコン含有レジストを用いているため、通
常のレジストを用いた場合と比べ、解像度が低下すると
いう問題があった。
In the two-layer resist method, a lower resist and a silicon-containing resist are sequentially formed on a film to be processed, and a pattern is formed on the silicon-containing resist by pattern exposure, and the resist pattern is masked. To etch the lower resist. In this method, the number of steps can be reduced as compared with the three-layer resist method. However, since a silicon-containing resist is used, there is a problem that the resolution is reduced as compared with the case where a normal resist is used.

【0009】[0009]

【発明が解決しようとする課題】本発明は、以上の事情
に鑑みてなされ、3層レジスト法において、エッチング
工程数を減らし、かつエッチングで生じる寸法変化差を
低減し、寸法制御性よく被加工膜を加工することを可能
とする半導体装置の製造方法を提供することを目的とす
る。
SUMMARY OF THE INVENTION The present invention has been made in view of the above circumstances, and in the three-layer resist method, the number of etching steps has been reduced, and the dimensional change caused by etching has been reduced. It is an object of the present invention to provide a method for manufacturing a semiconductor device which can process a film.

【0010】本発明の他の目的は、3層レジスト法にお
いて、エッチング工程数を減らし、かつエッチングで生
じる寸法変化差を低減し、寸法制御性よく被加工膜を加
工することを可能とするパターン形成方法を提供するこ
とにある。
Another object of the present invention is to reduce the number of etching steps in a three-layer resist method, reduce a dimensional change caused by etching, and process a film to be processed with good dimensional control. It is to provide a forming method.

【0011】[0011]

【課題を解決するための手段】上記課題を解決するた
め、本発明(請求項1)は、(a)被加工膜上に、主鎖
にSi−Si結合を有する化合物を含むシリコン有機膜
を形成する工程と、(b)前記シリコン有機膜上にシリ
コン膜を形成する工程と、(c)前記シリコン膜上に感
光性樹脂膜を形成する工程と、(d)前記感光性樹脂膜
に対してパターン露光を行い、レジストパターンを形成
する工程と、(e)前記レジストパターンをエッチング
マスクとして用いて、前記シリコン膜および前記シリコ
ン有機膜を一括してエッチングする工程と、(g)前記
エッチングにより形成された前記シリコン膜及び前記シ
リコン有機膜のパターンをエッチングマスクとして用い
て、前記被加工膜をエッチングする工程と、(h)前記
シリコン有機膜を除去する工程と、を具備する半導体装
置の製造方法を提供する。
In order to solve the above-mentioned problems, the present invention (claim 1) provides (a) forming a silicon organic film containing a compound having a Si-Si bond in a main chain on a film to be processed; Forming; (b) forming a silicon film on the silicon organic film; (c) forming a photosensitive resin film on the silicon film; and (d) forming a photosensitive resin film. (E) using the resist pattern as an etching mask to collectively etch the silicon film and the silicon organic film; and (g) performing the etching by using the resist pattern as an etching mask. Etching the film to be processed using the formed pattern of the silicon film and the silicon organic film as an etching mask; and (h) removing the silicon organic film. To provide a method of manufacturing a semiconductor device including the steps of, a.

【0012】本発明(請求項2)は、上述の半導体装置
の製造方法(請求項1)において、前記被加工膜は、シ
リコン基板、導電性膜、有機系材料からなる絶縁膜、お
よびシリコン原子を含む絶縁膜からなる群から選ばれた
一種であることを特徴とする。
According to a second aspect of the present invention, in the above-described method for manufacturing a semiconductor device (the first aspect), the film to be processed is a silicon substrate, a conductive film, an insulating film made of an organic material, and a silicon atom. Characterized by being one kind selected from the group consisting of insulating films containing:

【0013】本発明(請求項3)は、(a)シリコン原
子を含む絶縁膜上に、シロキサン結合を有する化合物を
含むシリコン有機膜を形成する工程と、(b)前記シリ
コン有機膜上にシリコン膜を形成する工程と、(c)前
記シリコン膜上に感光性樹脂膜を形成する工程と、
(d)前記感光性樹脂膜に対してパターン露光を行い、
レジストパターンを形成する工程と、(e)前記レジス
トパターンをエッチングマスクとして用いて、前記シリ
コン膜をエッチングする工程と、(g)前記エッチング
により形成された前記シリコン膜のパターンをエッチン
グマスクとして用いて、前記シリコン有機膜と前記シリ
コン原子を含む絶縁膜を一括してエッチングする工程
と、(h)前記シリコン有機膜を除去する工程とを具備
する半導体装置の製造方法を提供する。
The present invention (claim 3) comprises: (a) forming a silicon organic film containing a compound having a siloxane bond on an insulating film containing silicon atoms; and (b) forming a silicon organic film on the silicon organic film. Forming a film; and (c) forming a photosensitive resin film on the silicon film.
(D) performing pattern exposure on the photosensitive resin film;
Forming a resist pattern, (e) etching the silicon film using the resist pattern as an etching mask, and (g) using the silicon film pattern formed by the etching as an etching mask. A method of manufacturing a semiconductor device, comprising: a step of collectively etching the silicon organic film and the insulating film containing the silicon atoms; and (h) a step of removing the silicon organic film.

【0014】本発明(請求項4)は、上述の半導体装置
の製造方法(請求項3)において、前記シリコン有機膜
は、シロキサン結合を有する化合物を有機溶剤に溶解し
て得た溶液を塗布した後、ベーキングすることにより形
成されることを特徴とする。
According to the present invention (claim 4), in the above-mentioned method for manufacturing a semiconductor device (claim 3), the silicon organic film is coated with a solution obtained by dissolving a compound having a siloxane bond in an organic solvent. Thereafter, it is formed by baking.

【0015】本発明(請求項5)は、上述の半導体装置
の製造方法(請求項3)において、前記シリコン有機膜
は、Si−Si結合を主鎖に有する化合物を有機溶剤に
溶解して得た溶液を塗布し、ベーキングし、酸素の存在
する雰囲気下で加熱するかまたはエネルギービームを照
射することにより形成されることを特徴とする。
According to a fifth aspect of the present invention, in the above-described method of manufacturing a semiconductor device (the third aspect), the silicon organic film is obtained by dissolving a compound having a Si—Si bond in a main chain in an organic solvent. The solution is formed by applying a coated solution, baking, and heating or irradiating with an energy beam in an atmosphere in which oxygen is present.

【0016】本発明は、上述の半導体装置の製造方法
(請求項1〜5)において、前記シリコン有機膜の膜厚
は、10〜5000nmであることを特徴とする。
According to the present invention, in the method of manufacturing a semiconductor device described above (claims 1 to 5), the thickness of the silicon organic film is 10 to 5000 nm.

【0017】本発明は、上述の半導体装置の製造方法
(請求項1〜5)において、前記シリコン膜は、アモル
ファスシリコンまたはポリシリコンであることを特徴と
する。
According to the present invention, in the method of manufacturing a semiconductor device described above (claims 1 to 5), the silicon film is made of amorphous silicon or polysilicon.

【0018】本発明は、上述の半導体装置の製造方法
(請求項1〜5)において、前記シリコン膜の膜厚は、
10〜5000nmであることを特徴とする。
According to the present invention, in the above-described semiconductor device manufacturing method (claims 1 to 5), the thickness of the silicon film is
It is characterized by being 10 to 5000 nm.

【0019】本発明は、上述の半導体装置の製造方法
(請求項1〜5)において、前記シリコン有機膜の除去
は、溶剤を用いて行われることを特徴とする。
According to the present invention, in the above-described method for manufacturing a semiconductor device (claims 1 to 5), the removal of the silicon organic film is performed using a solvent.

【0020】本発明(請求項6)は、(a)シリコン原
子を含む絶縁膜上に、シロキサン結合を有する化合物を
含む第1のシリコン有機膜を形成する工程と、(b)前
記シリコン有機膜上に、主鎖にSi−Si結合を有する
化合物を含む第2のシリコン有機膜を形成する工程と、
(c)前記第2のシリコン有機膜上に感光性樹脂膜を形
成する工程と、(d)前記感光性樹脂膜に対してパター
ン露光を行い、レジストパターンを形成する工程と、
(e)前記レジストパターンをエッチングマスクとして
用いて、前記第2のシリコン有機膜をエッチングする工
程と、(g)前記エッチングにより形成された前記第2
のシリコン有機膜のパターンをエッチングマスクとして
用いて、前記第1のシリコン有機膜および前記シリコン
原子を含む絶縁膜を一括してエッチングする工程と、
(h)前記第1のシリコン有機膜を除去する工程とを具
備する半導体装置の製造方法を提供する。
The present invention (claim 6) provides (a) a step of forming a first silicon organic film containing a compound having a siloxane bond on an insulating film containing silicon atoms, and (b) the silicon organic film. Forming a second silicon organic film including a compound having a Si-Si bond in a main chain thereon;
(C) forming a photosensitive resin film on the second silicon organic film, and (d) performing pattern exposure on the photosensitive resin film to form a resist pattern;
(E) etching the second silicon organic film using the resist pattern as an etching mask; and (g) etching the second silicon organic film.
Using the pattern of the silicon organic film as an etching mask to collectively etch the first silicon organic film and the insulating film containing silicon atoms;
(H) a step of removing the first silicon organic film.

【0021】本発明(請求項7)は、上述の半導体装置
の製造方法(請求項6)において、前記第1のシリコン
有機膜は、シロキサン結合を有する化合物を有機溶剤に
溶解して得た溶液を塗布した後、ベーキングすることに
より形成されることを特徴とする。
According to the present invention (claim 7), in the above-described method for manufacturing a semiconductor device (claim 6), the first silicon organic film is obtained by dissolving a compound having a siloxane bond in an organic solvent. Is formed by applying and then baking.

【0022】本発明(請求項8)は、上述の半導体装置
の製造方法(請求項6)において、前記第1のシリコン
有機膜は、Si−Si結合を主鎖に有する化合物を有機
溶剤に溶解して得た溶液を塗布し、ベーキングし、酸素
の存在する雰囲気下で加熱するかまたは紫外光を照射す
ることにより形成されることを特徴とする。
According to the present invention (claim 8), in the above-mentioned method of manufacturing a semiconductor device (claim 6), the first silicon organic film is formed by dissolving a compound having a Si-Si bond in a main chain in an organic solvent. The solution is formed by applying the solution obtained by the above, baking, and heating or irradiating with ultraviolet light in an atmosphere in which oxygen is present.

【0023】本発明は、上述の半導体装置の製造方法
(請求項1〜8)において、前記シリコン有機膜の除去
は、溶剤を用いて行われることを特徴とする。
According to the present invention, in the above-described method for manufacturing a semiconductor device (claims 1 to 8), the removal of the silicon organic film is performed using a solvent.

【0024】本発明(請求項9)は、上述の半導体装置
の製造方法(請求項1、5、6、8)において、前記主
鎖にSi−Si結合を有する化合物は、ポリシランまた
はポリシレンであることを特徴とする。
According to the present invention (claim 9), in the above-described method for manufacturing a semiconductor device (claims 1, 5, 6, and 8), the compound having a Si—Si bond in the main chain is polysilane or polysilene. It is characterized by the following.

【0025】本発明(請求項10)は、上述の半導体装
置の製造方法(請求項1、3、6)において、前記工程
(e)または(g)は、反応性プラズマエッチング、マ
グネトロン反応性プラズマエッチング、電子ビームプラ
ズマエッチング、TCPエッチング、ICPエッチン
グ、またはECRプラズマエッチングにより行われるこ
とを特徴とする。
According to a tenth aspect of the present invention, in the above-described method for manufacturing a semiconductor device (the first, third, or sixth aspect), the step (e) or (g) is performed by reactive plasma etching or magnetron reactive plasma. The etching is performed by etching, electron beam plasma etching, TCP etching, ICP etching, or ECR plasma etching.

【0026】本発明(請求項11)は、上述の半導体装
置の製造方法(請求項2、3、6)において、前記シリ
コン原子を含む絶縁膜は、酸化シリコン膜、窒化シリコ
ン膜、酸化窒化シリコン膜、またはスピンオングラス膜
であることを特徴とする。
According to the present invention (claim 11), in the above-described method for manufacturing a semiconductor device (claims 2, 3, and 6), the insulating film containing silicon atoms may be a silicon oxide film, a silicon nitride film, or a silicon oxynitride film. It is a film or a spin-on-glass film.

【0027】本発明は、上述の半導体装置の製造方法
(請求項1、3、6)において、前記工程(d)のパタ
ーン露光が、少なくとも電子ビームによる露光工程を含
むことを特徴とする。
According to the present invention, in the method of manufacturing a semiconductor device described above (claims 1, 3, and 6), the pattern exposure in the step (d) includes at least an exposure step using an electron beam.

【0028】本発明(請求項12)は、(a)被加工膜
上に、主鎖にSi−Si結合を有する化合物を含むシリ
コン有機膜を形成する工程と、(b)前記シリコン有機
膜上に感光性樹脂膜を形成する工程と、(c)前記感光
性樹脂膜に対してパターン露光を行い、レジストパター
ンを形成する工程とを具備し、前記シリコン有機膜が、
前記主鎖にSi−Si結合を有する化合物と、不飽和結
合を含有しない溶媒を少なくとも含む溶液を塗布するこ
とによって成膜されることを特徴とするパターン形成方
法を提供する。
The present invention (claim 12) provides (a) a step of forming a silicon organic film containing a compound having a Si-Si bond in a main chain on a film to be processed; and (b) a step of forming a silicon organic film on the silicon organic film. Forming a photosensitive resin film, and (c) performing pattern exposure on the photosensitive resin film to form a resist pattern, wherein the silicon organic film is
A pattern forming method is provided in which a film is formed by applying a solution containing at least a compound having a Si—Si bond in the main chain and a solvent containing no unsaturated bond.

【0029】本発明(請求項13)は、上述のパターン
形成方法(請求項12)において、前記主鎖にSi−S
i結合を有する化合物が、前記主鎖のシリコンに水素が
結合した化合物であることを特徴とする。
According to the present invention (claim 13), there is provided the above-mentioned pattern forming method (claim 12), wherein the main chain comprises Si-S
The compound having an i-bond is a compound in which hydrogen is bonded to silicon of the main chain.

【0030】以下、本発明の半導体装置の製造方法につ
いて、より詳細に説明する。
Hereinafter, the method for manufacturing a semiconductor device of the present invention will be described in more detail.

【0031】最初に、本発明の第1の態様に係る半導体
装置の製造方法について、図1、2を参照して説明す
る。
First, a method for manufacturing a semiconductor device according to the first embodiment of the present invention will be described with reference to FIGS.

【0032】図1(a)に示すように、被加工膜2(例
えばシリコン基板1上に形成された導電性膜2)上に、
主鎖にSi−Si結合を有する化合物を含むシリコン有
機膜3,シリコン膜4、感光性樹脂膜5を順次形成す
る。以下、各材料および成膜方法について説明する。
As shown in FIG. 1A, a film 2 to be processed (for example, a conductive film 2 formed on a silicon substrate 1) is
A silicon organic film 3, a silicon film 4, and a photosensitive resin film 5, each containing a compound having a Si-Si bond in the main chain, are sequentially formed. Hereinafter, each material and a film forming method will be described.

【0033】被加工膜としては、シリコン基板、シリコ
ン基板上に成膜された配線材料、電極材料等からなる導
電性膜、ポリイミド、SOG等の有機系材料からなる絶
縁膜、またはブランクマスク材等を用いることができ
る。
As the film to be processed, a silicon substrate, a conductive film formed of a wiring material and an electrode material formed on the silicon substrate, an insulating film of an organic material such as polyimide, SOG, or a blank mask material Can be used.

【0034】まず、被加工膜2上に、下層レジストとし
て主鎖にSi−Si結合を有する化合物を含むシリコン
有機膜3を以下の手順で形成する。即ち、Si−Si結
合を主鎖に有する化合物を有機溶剤に溶解し、溶液材料
を作成する。Si−Si結合を主鎖に有する化合物とし
ては、例えば下記化学式1−1〜1−19、および2−
1〜2−1−13に示すポリシラン、ポリシレン等を挙
げることができる。なお、これらの化学式中のn、m
は、正の整数を表わす。これらの化合物の分子量は、特
に限定されないが、好ましくは200〜100,10
0、より好ましくは500〜30,000がよい。
First, a silicon organic film 3 containing a compound having a Si--Si bond in the main chain is formed as a lower resist on the film to be processed 2 by the following procedure. That is, a compound having a Si-Si bond in the main chain is dissolved in an organic solvent to prepare a solution material. Examples of the compound having a Si—Si bond in the main chain include the following chemical formulas 1-1 to 1-19 and 2-
Examples thereof include polysilane and polysilene shown in 1-2-1-13. Note that n and m in these chemical formulas
Represents a positive integer. The molecular weight of these compounds is not particularly limited, but is preferably 200 to 100, 10
0, more preferably 500 to 30,000.

【0035】[0035]

【化1】 Embedded image

【0036】[0036]

【化2】 Embedded image

【0037】[0037]

【化3】 Embedded image

【0038】[0038]

【化4】 Embedded image

【0039】化合物は、一種類に限ることなく、数種類
の化合物を混合してもよい。また、必要に応じて、貯蔵
安定性をはかるために熱重合防止剤、基板等の密着性を
向上させるために密着性向上剤を添加してもよい。有機
溶剤としては、化合物を溶解するものであれば、特に限
定されないが、例えば、アセトン、メチルエチルケト
ン、メチルイソブチルケトン、シクロヘキサノン等のケ
トン系溶剤、メチルセロソルブ、メチルセロソルブアセ
テート、エチルセロソルブアセテート等のセロソルブ系
溶剤、酢酸ブチル、酢酸イソアミル等のエステル系溶
剤、アニソール等のエーテル系溶剤などの極性溶剤、ト
ルエン、キシレン、ナフサ、クメン等の無極性溶剤が挙
げられる。
The compound is not limited to one kind, and several kinds of compounds may be mixed. If necessary, a thermal polymerization inhibitor may be added to improve storage stability, and an adhesion improver may be added to improve adhesion of a substrate or the like. The organic solvent is not particularly limited as long as it dissolves the compound.Examples include ketone solvents such as acetone, methyl ethyl ketone, methyl isobutyl ketone and cyclohexanone, and cellosolves such as methyl cellosolve, methyl cellosolve acetate, and ethyl cellosolve acetate. Solvents, polar solvents such as ester solvents such as butyl acetate and isoamyl acetate, ether solvents such as anisole, and non-polar solvents such as toluene, xylene, naphtha and cumene.

【0040】より好ましくは不飽和結合を含まない溶媒
は、例えばアニソール、トルエン、キシレン、ナフサ、
クメン等が好ましい。その理由は、不飽和結合は前記S
i−Si結合を主鎖に有する化合物と反応し、溶媒中の
酸素がシリコンと結合し、酸化反応が進行する。特に、
前記化合物として、例えば上述の化学式2−1〜2−9
に示す、主鎖のシリコンに水素が結合した化合物を用い
た場合、前記水素基と溶媒に含まれる不飽和結合の反応
が高いため、酸化が起りやすい。従って、溶媒として不
飽和結合を含まないものを使用することによって、溶液
中での酸化の進行を抑えることができる。
More preferably, the solvent containing no unsaturated bond is, for example, anisole, toluene, xylene, naphtha,
Cumene and the like are preferred. The reason is that the unsaturated bond is
Reacts with a compound having an i-Si bond in the main chain, oxygen in the solvent is bonded to silicon, and an oxidation reaction proceeds. Especially,
As the compound, for example, the above-mentioned chemical formulas 2-1 to 2-9
When a compound in which hydrogen is bonded to silicon in the main chain shown in (1) is used, oxidation is likely to occur because the reaction between the hydrogen group and the unsaturated bond contained in the solvent is high. Therefore, by using a solvent containing no unsaturated bond as a solvent, the progress of oxidation in a solution can be suppressed.

【0041】以上の方法で溶液材料を作成し、被加工膜
2上にスピンコーテング法で塗布した後、ベーキングす
ることで溶剤を気化し、シリコン有機膜3を成膜する。
シリコン有機膜3の膜厚は、10〜5000nm程度が
好ましい。
A solution material is prepared by the above-described method, applied to the film to be processed 2 by a spin coating method, and then baked to evaporate a solvent, thereby forming a silicon organic film 3.
The thickness of the silicon organic film 3 is preferably about 10 to 5000 nm.

【0042】次いで、中間層としてシリコン膜4をシリ
コン有機膜3上に形成する。シリコン膜4の膜厚は、1
0〜5000nm程度が好ましい。シリコン膜4として
は、アモルファスシリコン、ポリシリコンの他に、これ
らのシリコン膜にP、B、Asをドープしたn型ポリシ
リコン、p型ポリシリコンも含まれる。
Next, a silicon film 4 is formed on the silicon organic film 3 as an intermediate layer. The thickness of the silicon film 4 is 1
It is preferably about 0 to 5000 nm. The silicon film 4 includes, in addition to amorphous silicon and polysilicon, n-type polysilicon and p-type polysilicon in which these silicon films are doped with P, B, and As.

【0043】次に、上層レジストとして感光性樹脂膜5
をシリコン膜上に形成する。感光性樹脂膜5の種類とし
ては、可視光、紫外光、X線、電子ビームなどのエネル
ギービームによりパターニング可能な組成物であれば、
特に限定はされない。感光性樹脂膜5の膜厚は、好まし
くは10〜5000nm、より好ましくは50〜100
0nmがよいが、露光時の解像性、フォーカス裕度、ま
たは露光量裕度を向上させるために、できるだけ薄い方
がよい。
Next, a photosensitive resin film 5 is used as an upper resist.
Is formed on a silicon film. As the type of the photosensitive resin film 5, if it is a composition that can be patterned by an energy beam such as visible light, ultraviolet light, X-ray, and electron beam,
There is no particular limitation. The thickness of the photosensitive resin film 5 is preferably 10 to 5000 nm, more preferably 50 to 100 nm.
0 nm is preferable, but it is better to be as thin as possible in order to improve the resolution, focus latitude, or exposure latitude during exposure.

【0044】これらの感光性樹脂膜は、目的に応じて、
ポジ型またはネガ型を選択して使用することができる。
具体的には、ポジ型のレジストとしては、例えば、ナフ
トキノンジアジドとノボラック樹脂とからなるレジスト
(IX−770、日本合成ゴム社製)、t−BOCで保
護したポリビニルフェノール樹脂とオニウム塩とからな
る化学増幅型レジスト(APEX−E、シップレー社
製)などが挙げられる。また、ネガ型のレジストとして
は、例えば、ポリビニルフェノールとメラミン樹脂およ
び光酸発生剤からなる化学増幅型レジスト(XP−89
131、シップレー社製)、ポリビリルフェノールとビ
スアジド化合物とからなるレジスト(RD−2000
D、日立化成社製)などが挙げられるが、これらに限定
されるものではない。
These photosensitive resin films can be used according to the purpose.
A positive type or a negative type can be selected and used.
Specifically, as the positive resist, for example, a resist (IX-770, manufactured by Nippon Synthetic Rubber Co., Ltd.) comprising naphthoquinonediazide and a novolak resin, a polyvinylphenol resin protected with t-BOC and an onium salt Chemically amplified resists (APEX-E, manufactured by Shipley Co., Ltd.) and the like. As the negative resist, for example, a chemically amplified resist (XP-89) composed of polyvinyl phenol, a melamine resin, and a photoacid generator is used.
131, manufactured by Shipley Co., Ltd.), a resist (RD-2000) comprising a polybirylphenol and a bisazide compound
D, manufactured by Hitachi Chemical Co., Ltd.), but are not limited thereto.

【0045】レジスト膜5中に発生する定在波により、
レジストパターン5aの寸法制御性が劣化するのを防ぐ
ために、感光性樹脂中に、紫外光を吸収するクマリン、
クルクミン等の染料を添加して、レジスト膜5の透明度
を低下させてもよい。また、レジスト膜5上に上層反射
防止膜を形成し、レジスト膜と空気との界面での光反射
を低下させることで、レジスト膜中で発生する定在波を
抑えてもよい。このような上層反射防止膜として、例え
ば、ヘキスト社製Aquatar等を挙げることができ
る。
By the standing wave generated in the resist film 5,
In order to prevent the dimensional controllability of the resist pattern 5a from deteriorating, coumarin absorbing ultraviolet light is contained in the photosensitive resin.
The transparency of the resist film 5 may be reduced by adding a dye such as curcumin. Alternatively, a standing wave generated in the resist film may be suppressed by forming an upper antireflection film on the resist film 5 and reducing light reflection at an interface between the resist film and air. Examples of such an upper antireflection film include Aquatar manufactured by Hoechst and the like.

【0046】図1(b)に示すように、感光性樹脂膜5
をパターニングしてレジストパターン5aを形成する 所望のパターンをもったマスクを通して露光光である可
視光、紫外光などのエネルギービームを感光性樹脂膜5
に対して照射する。露光光を照射するための光源として
は、水銀灯、XeF(波長=351nm)、XeCl
(波長=308nm)、KrF(波長=248nm)、
KrCl(波長=222nm)、ArF(波長=193
nm)、F2(波長=151nm)等のエキシマレーザ
ーを挙げることができる。なお、露光光にはX線、或い
は電子ビームを用いてもよい。
As shown in FIG. 1B, the photosensitive resin film 5
Is patterned to form a resist pattern 5a. An energy beam such as visible light or ultraviolet light as exposure light is applied to the photosensitive resin film 5 through a mask having a desired pattern.
Irradiation. As a light source for irradiating the exposure light, a mercury lamp, XeF (wavelength = 351 nm), XeCl
(Wavelength = 308 nm), KrF (wavelength = 248 nm),
KrCl (wavelength = 222 nm), ArF (wavelength = 193)
nm) and F2 (wavelength = 151 nm). Note that X-rays or an electron beam may be used as the exposure light.

【0047】露光後の感光性樹脂膜5は、テトラメチル
アンモニウムヒドロキシド、コリン等の有機アルカリ水
溶液、水酸化ナトリウム、水酸化カリウム等の無機アル
カリ水溶液、キシレン、アセトンの有機溶媒を用いて現
像処理が施され、レジストパターン5aが形成される。
The exposed photosensitive resin film 5 is developed using an organic alkali aqueous solution such as tetramethylammonium hydroxide or choline, an inorganic alkali aqueous solution such as sodium hydroxide or potassium hydroxide, or an organic solvent such as xylene or acetone. Is performed to form a resist pattern 5a.

【0048】図1(c)に示すように、レジストパター
ン5aをエッチングマスクとして用いて、シリコン膜4
及びシリコン有機膜3を一括してエッチングする。
As shown in FIG. 1C, the silicon film 4 is formed using the resist pattern 5a as an etching mask.
And the silicon organic film 3 are collectively etched.

【0049】エッチング装置としては、例えば、反応性
プラズマエッチング方式、マグネトロン反応性プラズマ
エッチング方式、電子ビームプラズマエッチング方式、
TCPエッチング方式、ICPエッチング方式、或いは
ECRプラズマエッチング方式等のエッチング装置を使
用することができる。
As an etching apparatus, for example, a reactive plasma etching system, a magnetron reactive plasma etching system, an electron beam plasma etching system,
An etching apparatus such as a TCP etching method, an ICP etching method, or an ECR plasma etching method can be used.

【0050】ソースガスとしては、SF6 、NF3 、F
2 、CF4 、CF3 Cl、CF2 Cl2 、CF3 Br、
CCl4 、C2 5 Cl2 、C2 6 、CHF3 、Si
4、Br2 、I2 、SF4 、HBr、HI、Cl2
のハロゲン系ガスの中の少なくとも1種、またはこれら
のガス系にAr、N2 、H2 を添加したガス系を挙げる
ことができる。これらのソースガスをエッチャントとし
て用いることにより、シリコン膜4及びシリコン有機膜
3のエッチングを一括して行なうことができる。
As the source gas, SF 6 , NF 3 , F
2 , CF 4 , CF 3 Cl, CF 2 Cl 2 , CF 3 Br,
CCl 4 , C 2 F 5 Cl 2 , C 2 F 6 , CHF 3 , Si
At least one of halogen-based gases such as F 4 , Br 2 , I 2 , SF 4 , HBr, HI, and Cl 2 , or a gas system obtained by adding Ar, N 2 , and H 2 to these gas systems. be able to. By using these source gases as an etchant, the etching of the silicon film 4 and the silicon organic film 3 can be performed collectively.

【0051】また、これらのエッチャントを用いること
により、レジストに対するシリコン膜4及びシリコン有
機膜3のエッチング選択比を高くとることができ、寸法
制御性よく、シリコン膜4及びシリコン有機膜3のエッ
チングを行なうことができる。これは、これらのエッチ
ャントがレジスト膜中に含まれる原子と、揮発性生成物
を生成する反応が起こりにくいのに対して、シリコン膜
4及びシリコン有機膜3に含まれるシリコンとは化学反
応を起こし、揮発性生成物が生じ、揮発していくことに
よる。特に、Cl2 またはHBrを含むソースガスを用
いることが好ましく、これらのソースガスを用いること
により、シリコン膜4及びシリコン有機膜3を高選択比
でエッチングすることができる。その結果、被加工膜2
をエッチングする際に必要な膜厚をもったシリコン膜4
及びシリコン有機膜3を、薄い膜厚のレジストパターン
5aでエッチングすることができる。
By using these etchants, the etching selectivity of the silicon film 4 and the silicon organic film 3 with respect to the resist can be increased, and the etching of the silicon film 4 and the silicon organic film 3 can be performed with good dimensional control. Can do it. This is because these etchants are unlikely to react with atoms contained in the resist film and generate volatile products, whereas the silicon contained in the silicon film 4 and the silicon organic film 3 undergoes a chemical reaction. , Volatile products are generated and volatilized. In particular, it is preferable to use a source gas containing Cl 2 or HBr, and by using these source gases, the silicon film 4 and the silicon organic film 3 can be etched with a high selectivity. As a result, the processed film 2
Silicon film 4 having a film thickness necessary for etching silicon
The silicon organic film 3 can be etched with the resist pattern 5a having a small thickness.

【0052】図2(a)に示すように、以上の方法で形
成したシリコン有機膜パターン3a,シリコン膜パター
ン4aをエッチングマスクとして用いて、被加工膜2を
エッチングする。
As shown in FIG. 2A, the film 2 to be processed is etched using the silicon organic film pattern 3a and the silicon film pattern 4a formed by the above method as an etching mask.

【0053】被加工膜2のエッチング終了後、有機溶剤
により、シリコン有機膜パターン3aを剥離する。シリ
コン有機膜パターン3a上にシリコン膜パターン4a或
いはレジストパターン5aが残っている場合でも、下層
のシリコン有機膜パターン3aを溶解除去することで、
一緒に剥離することができる(図2(b))。
After the etching of the film to be processed 2 is completed, the silicon organic film pattern 3a is peeled off with an organic solvent. Even when the silicon film pattern 4a or the resist pattern 5a remains on the silicon organic film pattern 3a, by dissolving and removing the lower silicon organic film pattern 3a,
They can be peeled off together (FIG. 2 (b)).

【0054】シリコン有機膜の剥離に使用可能な有機溶
剤としては、アセトン、メチルエチルケトン、メチルイ
ソブチルケトン、シクロヘキサノン等のケトン系溶剤、
メチルセロソルブ、メチルセロソルブアセテート、エチ
ルセロソルブアセテート等のセロソルブ系溶剤、酢酸エ
チル、酢酸ブチル、酢酸イソアミル等のエステル系溶
剤、アニソール等のエーテル系溶剤などの極性溶剤、ト
ルエン、キシレン、ナフサ、クメン等の無極性溶剤が挙
げられる。
Organic solvents that can be used for peeling the silicon organic film include ketone solvents such as acetone, methyl ethyl ketone, methyl isobutyl ketone, and cyclohexanone;
Methyl cellosolve, methyl cellosolve acetate, cellosolve solvents such as ethyl cellosolve acetate, ethyl solvents, ester solvents such as butyl acetate, isoamyl acetate, polar solvents such as ether solvents such as anisole, toluene, xylene, naphtha, cumene, etc. Non-polar solvents are mentioned.

【0055】前記シリコン有機膜が酸性を示す場合は、
テトラメチルアンモニウムヒドロキシド、コリン等の有
機アルカリ水溶液、水酸化カリウム等の無機アルカリ水
溶液といったアルカリ溶液で溶解除去することができ
る。また、シリコンの有機膜に対して高エネルギービー
ムを照射して主鎖のSi−Si結合をシロキサン結合に
変えて、フッ酸、バッファフッ酸、またはアセトン、メ
タノール、エタノール、イソプロパノール等の極性溶媒
で溶解除去してもよい。
When the silicon organic film is acidic,
It can be dissolved and removed with an alkaline solution such as an aqueous solution of an organic alkali such as tetramethylammonium hydroxide or choline or an aqueous solution of an inorganic alkali such as potassium hydroxide. In addition, the silicon organic film is irradiated with a high energy beam to change the Si-Si bond in the main chain into a siloxane bond, and is then hydrofluoric acid, buffered hydrofluoric acid, or a polar solvent such as acetone, methanol, ethanol, or isopropanol. It may be dissolved and removed.

【0056】本発明の方法において、下層レジストとし
て使用される有機シリコン膜は、従来から3層レジスト
の下層レジストとして使用されてきたノボラック樹脂等
の樹脂膜と同様の有機ポリマーであるため、これらの有
機溶剤により、被加工膜に対し選択的に剥離することが
可能である。
In the method of the present invention, the organic silicon film used as the lower layer resist is an organic polymer similar to a resin film such as a novolak resin conventionally used as the lower layer resist of a three-layer resist. It is possible to selectively peel off the film to be processed by the organic solvent.

【0057】以上のようにして、中間層と下層レジスト
を一括してエッチングすることが可能となったため、被
加工膜の加工までに必要なエッチングの工程数を減らす
ことができた。その結果、プロセスコストが低減された
ばかりでなく、エッチング毎に生じる寸法変換差を小さ
くすることができ、所望の寸法で被加工膜をエッチング
加工することが可能となった。
As described above, since the intermediate layer and the lower layer resist can be collectively etched, the number of etching steps required until the processing of the film to be processed can be reduced. As a result, not only the process cost is reduced, but also the dimensional conversion difference generated for each etching can be reduced, and the film to be processed can be etched with a desired dimension.

【0058】本発明の第2の態様に係る半導体装置の製
造方法は、被加工膜として、シリコン原子を含む絶縁膜
を用いるものであり、各工程は、上述の第1の態様と同
様である。なお、シリコン原子を含む絶縁膜としては、
酸化シリコン膜、窒化シリコン膜、酸化窒化シリコン
膜、またはスピンオングラス膜を用いることができる。
In the method of manufacturing a semiconductor device according to the second aspect of the present invention, an insulating film containing silicon atoms is used as a film to be processed, and each step is the same as in the first aspect. . In addition, as the insulating film containing silicon atoms,
A silicon oxide film, a silicon nitride film, a silicon oxynitride film, or a spin-on-glass film can be used.

【0059】ここで、酸化シリコン膜のエッチング方法
について説明する。エッチング装置としては、例えば、
反応性プラズマエッチング方式、マグネトロン反応性プ
ラズマエッチング方式、電子ビームプラズマエッチング
方式、TCPエッチング方式、ICPエッチング方式、
或いはECRプラズマエッチング方式等のエッチング装
置を使用することができる。
Here, a method for etching a silicon oxide film will be described. As an etching apparatus, for example,
Reactive plasma etching, magnetron reactive plasma etching, electron beam plasma etching, TCP etching, ICP etching,
Alternatively, an etching apparatus such as an ECR plasma etching method can be used.

【0060】ソースガスとしては、シリコン膜及びシリ
コン有機膜に対する酸化シリコン膜のエッチング選択比
がとれるものであれば、特に限定されないが、例えばS
6、NF3 、CF4 、C4 8 、CHF3 、C
2 6 、C3 8 等の弗素を含むガス系の中の少なくと
も1種、またはこれらのガス系にAr、N2 、H2 、C
O、O2 を添加したガス系を挙げることができる。
The source gas is not particularly limited as long as it can provide an etching selectivity of the silicon oxide film with respect to the silicon film and the silicon organic film.
F 6 , NF 3 , CF 4 , C 4 F 8 , CHF 3 , C
2 F 6, C 3 F 8 or the like at least one in the gas system containing fluorine, or Ar to these gas system, N 2, H 2, C
A gas system to which O and O 2 are added can be given.

【0061】これらのソースガスを用いてエッチングを
行うと、シリコン膜およびシリコン有機膜の表面には重
合膜が堆積するが、絶縁膜の表面には堆積しにくく、絶
縁膜はシリコン膜および前記シリコン有機膜と比べてエ
ッチングしやすくなる。
When etching is performed using these source gases, a polymer film is deposited on the surfaces of the silicon film and the silicon organic film, but is hardly deposited on the surface of the insulating film. It is easier to etch than an organic film.

【0062】その結果、これらのソースガスを酸化シリ
コン膜のエッチングに用いることで、酸化シリコン膜の
加工に必要な高い選択比を容易に得ることができ、寸法
制御性よく、酸化シリコン膜のエッチングを行なうこと
ができる。この時、シリコン膜或はシリコン有機膜の表
面で重合膜の堆積が顕著となり、エッチング形状が劣化
する場合は、例えば、ソースガスにアルゴンを添加する
か、又は酸素を添加することによって重合膜を除去する
ことが好ましい。
As a result, by using these source gases for etching the silicon oxide film, a high selectivity required for processing the silicon oxide film can be easily obtained, the dimensional controllability is improved, and the etching of the silicon oxide film is performed. Can be performed. At this time, when a polymer film is remarkably deposited on the surface of the silicon film or the silicon organic film and the etching shape is deteriorated, for example, the polymer film is formed by adding argon or oxygen to the source gas. Removal is preferred.

【0063】以上、被加工膜が酸化シリコン膜の場合に
ついて説明したが、窒化シリコン膜、酸化窒化シリコン
膜およびスピンオングラス膜の場合でも、酸化シリコン
膜の場合と同様に、シリコン膜及びシリコン有機膜との
エッチング選択比を大きくとることができる。
The case where the film to be processed is a silicon oxide film has been described above. However, even in the case of a silicon nitride film, a silicon oxynitride film, and a spin-on-glass film, as in the case of the silicon oxide film, a silicon film and a silicon organic film are used. Can be increased.

【0064】次に、シリコン有機膜を第1の態様に係る
方法と同様にして剥離することができる。この時、シリ
コン膜、レジストパターンがシリコン有機膜上に残って
いる場合でも、シリコン有機膜を溶解除去することで、
一緒に剥離することができる。
Next, the silicon organic film can be peeled off in the same manner as in the method according to the first embodiment. At this time, even if the silicon film and the resist pattern remain on the silicon organic film, the silicon organic film is dissolved and removed,
Can be peeled together.

【0065】次に、図3および図4を参照して、本発明
の第3の態様に係る半導体装置の製造方法について説明
する。
Next, a method of manufacturing a semiconductor device according to the third embodiment of the present invention will be described with reference to FIGS.

【0066】ウェハー基板11上に形成された被加工膜
であるシリコン原子を含む絶縁膜12、例えば酸化シリ
コン膜または窒化シリコン膜上に、シロキサン結合を有
する化合物を含むシリコン有機膜13を下層レジストと
して形成する。シリコン有機膜13の膜厚は特に限定さ
れないが、好ましくは10〜5000nmがよい。シリ
コン有機膜13は、次の1)、2)の2通りの方法で形
成することができる。
A silicon organic film 13 containing a compound having a siloxane bond is formed as a lower resist on an insulating film 12 containing silicon atoms, which is a film to be processed, formed on a wafer substrate 11, for example, a silicon oxide film or a silicon nitride film. Form. The thickness of the silicon organic film 13 is not particularly limited, but is preferably 10 to 5000 nm. The silicon organic film 13 can be formed by the following two methods 1) and 2).

【0067】1)シロキサン結合を有する化合物を有機
溶剤に溶解し、溶液材料を作成する。シロキサン結合を
主鎖に有する化合物としては、例えば下記式3−1〜3
−24に示すポリシロキサンを挙げることができる。こ
れらの化学式中のnは、正の整数である。これらの化合
物の分子量は特に限定されないが、好ましくは200〜
100,000、特に好ましくは500〜30,000
がよい。化合物は一種類に限ることなく、数種類の化合
物を混合してもよい。また、必要に応じて貯蔵安定性を
はかるために熱重合防止剤、基板等の密着性を向上させ
るために密着性向上剤を添加してもよい。
1) A compound having a siloxane bond is dissolved in an organic solvent to prepare a solution material. As the compound having a siloxane bond in the main chain, for example, the following formulas 3-1 to 3-1
-24 can be mentioned. N in these chemical formulas is a positive integer. The molecular weight of these compounds is not particularly limited, but is preferably 200 to
100,000, particularly preferably 500 to 30,000
Is good. The compound is not limited to one kind, and several kinds of compounds may be mixed. If necessary, a thermal polymerization inhibitor may be added to improve storage stability, and an adhesion improver may be added to improve adhesion of a substrate or the like.

【0068】[0068]

【化5】 Embedded image

【0069】[0069]

【化6】 Embedded image

【0070】[0070]

【化7】 Embedded image

【0071】有機溶剤としては、化合物を溶解するもの
であれば特に限定されないが、例えば、アセトン、メチ
ルエチルケトン、メチルイソブチルケトン、シロクヘキ
サノン等のケトン系溶剤、メチルセロソルブ、メチルセ
ロソルブアセテート、エチルセロソルブアセテート等の
セロソルブ系溶剤、酢酸エチル、酢酸ブチル、酢酸イソ
アミル等のエステル系溶剤、アニソール等のエーテル系
溶剤などの極性溶剤、トルエン、キシレン、ナフサ、ク
メン等の無極性溶剤が挙げられる。
The organic solvent is not particularly limited as long as it can dissolve the compound, and examples thereof include ketone solvents such as acetone, methyl ethyl ketone, methyl isobutyl ketone, and siloxane hexamethyl, methyl cellosolve, methyl cellosolve acetate, ethyl cellosolve acetate and the like. And non-polar solvents such as toluene, xylene, naphtha, cumene and the like, and ester solvents such as ethyl acetate, butyl acetate and isoamyl acetate, ether solvents such as anisole and the like.

【0072】以上の方法で溶液材料を作成し、被加工膜
上にスピンコーテング法で溶液材料を塗布した後、ベー
キングして溶剤を気化することにより、シリコン有機膜
を成膜することができる。
A silicon organic film can be formed by preparing a solution material by the above method, applying the solution material on a film to be processed by a spin coating method, and baking and evaporating a solvent.

【0073】2)Si−Si結合を主鎖に有する化合物
を有機溶剤に溶解して溶液材料を作成する。Si−Si
結合を主鎖に有する化合物としては、例えば下記式4−
1〜4−9に示すポリシラン或いはポリシレンを挙げる
ことができる。これらの化合物の分子量は特に限定され
ないが、好ましくは200〜100,000、特に好ま
しくは500〜30,000がよい。化合物は、一種類
に限ることなく、数種類の化合物を混合してもよい。ま
た、必要に応じて貯蔵安定性をはかるために熱重合防止
剤、基板等の密着性を向上させるために密着性向上剤を
添加してもよい。
2) A compound having a Si--Si bond in the main chain is dissolved in an organic solvent to prepare a solution material. Si-Si
As the compound having a bond in the main chain, for example, the following formula 4-
Examples thereof include polysilanes and polysilenes shown in 1 to 4-9. The molecular weight of these compounds is not particularly limited, but is preferably from 200 to 100,000, particularly preferably from 500 to 30,000. The compounds are not limited to one kind, and several kinds of compounds may be mixed. If necessary, a thermal polymerization inhibitor may be added to improve storage stability, and an adhesion improver may be added to improve adhesion of a substrate or the like.

【0074】有機溶剤としては、化合物を溶解するもの
であれば特に限定されないが、例えば、アセトン、メチ
ルエチルケトン、メチルイソブチルケトン、シクロヘキ
サノン等のケトン系溶剤、メチルセロソルブ、メチルセ
ロソルブアセテート、エチルセロソルブアセテート等の
セロソルブ系溶剤、酢酸エチル、酢酸ブチル、酢酸イソ
アミル等のエステル系溶剤などの極性溶剤、トルエン、
キシレン等の無極性溶剤が挙げられる。
The organic solvent is not particularly limited as long as it can dissolve the compound. Examples thereof include ketone solvents such as acetone, methyl ethyl ketone, methyl isobutyl ketone and cyclohexanone, and methyl cellosolve, methyl cellosolve acetate, ethyl cellosolve acetate and the like. Cellosolve solvents, polar solvents such as ethyl acetate, butyl acetate, ester solvents such as isoamyl acetate, toluene,
Non-polar solvents such as xylene.

【0075】以上の方法で溶液材料を作成し、被加工膜
上にスピンコーテング法で溶液材料を塗布した後、ベー
キングして溶剤を気化することにより、シリコン有機膜
13を成膜する。次いで、酸素の存在する雰囲気下で5
0℃〜1000℃の範囲の温度でベーキングを行なう
か、酸素の存在する雰囲気下で高エネルギービームを照
射することで、シリコンとシリコンの結合を酸化させ、
シロキサン結合を形成することができる。高エネルギー
ビームとしては、紫外光、電子ビーム、イオンビーム、
X線を挙げることができる。
A solution material is prepared by the above-described method, and the solution material is applied on a film to be processed by a spin coating method, and then baked to evaporate a solvent, thereby forming a silicon organic film 13. Then, in an atmosphere where oxygen is present, 5
Baking is performed at a temperature in the range of 0 ° C. to 1000 ° C. or irradiation with a high energy beam in an atmosphere where oxygen is present to oxidize the bond between silicon and silicon,
A siloxane bond can be formed. High energy beams include ultraviolet light, electron beam, ion beam,
X-rays can be mentioned.

【0076】以上のように形成したシリコン有機膜13
の膜厚は、10〜5000nm程度が好ましい。
The silicon organic film 13 formed as described above
Is preferably about 10 to 5000 nm.

【0077】次に、中間層としてシリコン膜14をシリ
コン有機膜13上に形成する。シリコン膜14の膜厚
は、10〜5000nm程度が好ましい。シリコン膜1
4としては、アモルファスシリコン、ポリシリコンの他
に、これらのシリコン膜にP,B,Asをドープしたn
型ポリシリコン、p型ポリシリコンも含まれる。
Next, a silicon film 14 is formed on the silicon organic film 13 as an intermediate layer. The thickness of the silicon film 14 is preferably about 10 to 5000 nm. Silicon film 1
Reference numeral 4 denotes amorphous silicon and polysilicon, and n in which P, B, and As are doped into these silicon films.
Type polysilicon and p-type polysilicon are also included.

【0078】次に、上層レジストとして、感光性樹脂膜
15をシリコン膜14上に形成する(図3(a))。感
光性樹脂膜15の種類としては、可視光、紫外光、X
線、電子ビームなどのエネルギービームによりパターニ
ング可能な組成物であれば、特に限定されない。感光性
樹脂膜15の膜厚は、10〜5,000nmさらには5
0〜1000nmが好ましいが、露光時の解像性、フォ
ーカス裕度、或は露光量裕度を向上させるために,でき
るだけ薄い方がよい。また、これらの感光性樹脂膜は、
目的に応じて、ポジ型またはネガ型を選択して使用する
ことができる。
Next, a photosensitive resin film 15 is formed on the silicon film 14 as an upper layer resist (FIG. 3A). Types of the photosensitive resin film 15 include visible light, ultraviolet light, X
The composition is not particularly limited as long as it is a composition that can be patterned by an energy beam such as a line or an electron beam. The thickness of the photosensitive resin film 15 is 10 to 5,000 nm, and more preferably 5 to 5 nm.
The thickness is preferably from 0 to 1000 nm, but is preferably as thin as possible in order to improve the resolution, focus latitude, or exposure latitude during exposure. Also, these photosensitive resin films are
Depending on the purpose, a positive type or a negative type can be selected and used.

【0079】具体的な感光性樹脂の例は、ポジ型のレジ
ストとしては、例えば、ナフトキノンジアジドとノボラ
ック樹脂とからなるレジスト(IX−770、日本合成
ゴム社製)、t−BOCで保護したポリビニルフェノー
ル樹脂とオニウム塩とからなる化学増幅型レジスト(A
PEX−E、シップレー社製)などが挙げられる。ま
た、ネガ型のレジストとしては、例えば、ポリビニルフ
ェノールとメラミン樹脂及び光酸発生剤からなる化学増
幅型レジスト(XP−89131、シップレー社製)、
ポリビリルフェノールとビスアジド化合物とからなるレ
ジスト(RD−2000D、日立化成社製)などが挙げ
られる。しかし、これらに限定されるものではない。
Specific examples of the photosensitive resin include positive resists such as a resist (IX-770, manufactured by Nippon Synthetic Rubber Co., Ltd.) comprising naphthoquinonediazide and a novolak resin, and a polyvinyl resin protected by t-BOC. Chemically amplified resist composed of phenol resin and onium salt (A
PEX-E, manufactured by Shipley Co., Ltd.). As the negative resist, for example, a chemically amplified resist (XP-89131, manufactured by Shipley) comprising polyvinylphenol, a melamine resin, and a photoacid generator,
A resist (RD-2000D, manufactured by Hitachi Chemical Co., Ltd.) comprising a polybirylphenol and a bisazide compound is exemplified. However, it is not limited to these.

【0080】レジスト膜中に発生する定在波によりレジ
ストパターンの寸法制御性が劣化するのを防ぐために、
感光性樹脂膜中に紫外光を吸収するクリマン、クルクミ
ン等の染料を添加して、レジスト膜の透明度を低下させ
てもよい。また、レジスト膜上に上層反射防止膜を形成
し、レジスト膜と空気との界面での光反射を低下させる
ことで、レジスト膜中で発生する定在波を抑えてもよ
い。このような上層反射防止膜として、例えばヘキスト
社製Aquatar等を挙げることができる。
In order to prevent the dimensional controllability of the resist pattern from deteriorating due to the standing wave generated in the resist film,
The transparency of the resist film may be reduced by adding a dye such as kliman or curcumin which absorbs ultraviolet light to the photosensitive resin film. Alternatively, a standing wave generated in the resist film may be suppressed by forming an upper antireflection film on the resist film and reducing light reflection at an interface between the resist film and air. Examples of such an upper antireflection film include Aquatar manufactured by Hoechst and the like.

【0081】次に、所望のパターンを有するマスクを通
して露光光である可視光、紫外光などのエネルギービー
ムをレジストに対して照射する。露光光を照射するため
の光源としては、水銀灯、XeF(波長=351n
m)、XeCl(波長=308nm)、KrF(波長=
248nm)、KrCl(波長=222nm)、ArF
(波長=193nm)、F2(波長=151nm)等の
エキシマレーザーを挙げることができる。なお、露光光
としてはレーザーに限らず、X線、或いは電子ビームを
用いてもよい。
Next, the resist is irradiated with an energy beam such as visible light or ultraviolet light as exposure light through a mask having a desired pattern. As a light source for irradiating exposure light, a mercury lamp, XeF (wavelength = 351 n)
m), XeCl (wavelength = 308 nm), KrF (wavelength =
248 nm), KrCl (wavelength = 222 nm), ArF
(Wavelength = 193 nm) and excimer lasers such as F2 (wavelength = 151 nm). The exposure light is not limited to a laser, but may be an X-ray or an electron beam.

【0082】露光後のレジストは、テトラメチルアンモ
ニウムヒドロキシド、コリン等の有機アルカリ水溶液、
水酸化ナトリウム、水酸化カリウム等の無機アルカリ水
溶液、キシレン、アセトン等の有機溶媒を用いて現像処
理が施され、レジストパターン15aが形成される(図
3(b))。
After exposure, the resist is made of an aqueous solution of an organic alkali such as tetramethylammonium hydroxide or choline,
Development processing is performed using an aqueous solution of an inorganic alkali such as sodium hydroxide or potassium hydroxide or an organic solvent such as xylene or acetone to form a resist pattern 15a (FIG. 3B).

【0083】次に、レジストパターン15aをエッチン
グマスクとして用いて、シリコン膜14をエッチングす
る(図3(c))。エッチング装置としては、例えば、
反応性プラズマエッチング方式、マグネトロン反応性プ
ラズマエッチング方式、電子ビームプラスマエッチング
方式、TCPエッチング方式、ICPエッチング方式、
或いはECRプラズマエッチング方式等のエッチング装
置を使用することができる。
Next, the silicon film 14 is etched using the resist pattern 15a as an etching mask (FIG. 3C). As an etching apparatus, for example,
Reactive plasma etching, magnetron reactive plasma etching, electron beam plasma etching, TCP etching, ICP etching,
Alternatively, an etching apparatus such as an ECR plasma etching method can be used.

【0084】ソースガスとしては、SF6 、NF3 、F
2 、CF4 、CF3 Cl、CF2 Cl2 、CF3 Br、
CCl4 、C2 5 Cl2 、C2 6 、CHF3 、Si
4、Br2 、I2 、SF4 、HBr、HI、Cl2
のハロゲン系ガスの中の少なくとも1種、またはこれら
のガス系にAr、N2 、H2 を添加したガス系を挙げる
ことができる。
As the source gas, SF 6 , NF 3 , F
2 , CF 4 , CF 3 Cl, CF 2 Cl 2 , CF 3 Br,
CCl 4 , C 2 F 5 Cl 2 , C 2 F 6 , CHF 3 , Si
At least one of halogen-based gases such as F 4 , Br 2 , I 2 , SF 4 , HBr, HI, and Cl 2 , or a gas system obtained by adding Ar, N 2 , and H 2 to these gas systems. be able to.

【0085】これらのソースガスをエッチャントとして
用いることにより、レジストに対するシリコン膜14の
エッチング選択比を高くとることができ、寸法制御性よ
くシリコン膜14のエッチングを行なうことができる。
これは、これらのエッチャントがレジストに含まれる原
子とは、揮発性生成物を生成する反応が起こりにくいの
に対して、シリコン膜14に含まれるシリコンとは化学
反応を起こし、揮発性生成物が生じ、揮発していくこと
による。
By using these source gases as an etchant, the etching selectivity of the silicon film 14 with respect to the resist can be increased, and the silicon film 14 can be etched with good dimensional control.
This is because these etchants are less likely to react with the atoms contained in the resist to produce volatile products, whereas they undergo a chemical reaction with the silicon contained in the silicon film 14 and the volatile products It is generated and volatilized.

【0086】特に、Cl2 またはHBrを含むソースガ
スを用いることが好ましく、これらのソースガスを用い
ることにより、シリコン膜を高選択比でエッチングする
ことができる。その結果、スピンオングラス、酸化シリ
コン膜、または窒化シリコン膜等の絶縁膜をエッチング
する際に、エッチングマスクとして機能するのに必要な
膜厚を有するシリコン膜14を、薄い膜厚のレジストパ
ターン15aをマスクとして用いてエッチングすること
ができる。
In particular, it is preferable to use a source gas containing Cl 2 or HBr, and by using these source gases, the silicon film can be etched with a high selectivity. As a result, when etching an insulating film such as a spin-on-glass, a silicon oxide film, or a silicon nitride film, the silicon film 14 having a thickness necessary to function as an etching mask is replaced with a thin resist pattern 15a. Etching can be performed using the mask.

【0087】以上の方法で形成したレジストパターン1
5aおよびシリコン膜パターン14aをエッチングマス
クとして用いて、シリコン有機膜13と酸化シリコン膜
12を一括してエッチングする(図4(a))。エッチ
ング装置としては、例えば反応性プラズマエッチング方
式、マグネトロン反応性プラズマエッチング方式、電子
ビームプラズマエッチング方式、TCRエッチング方
式、ICPエッチング方式、或いはECRプラズマエッ
チング方式等のエッチング装置を使用することができ
る。
The resist pattern 1 formed by the above method
The silicon organic film 13 and the silicon oxide film 12 are collectively etched using the 5a and the silicon film pattern 14a as an etching mask (FIG. 4A). As the etching apparatus, for example, an etching apparatus such as a reactive plasma etching method, a magnetron reactive plasma etching method, an electron beam plasma etching method, a TCR etching method, an ICP etching method, or an ECR plasma etching method can be used.

【0088】ソースガスとしては、シリコン膜14に対
してシリコン有機膜13及び酸化シリコン膜12のエッ
チング選択比がとれるものであれば特に限定されない
が、例えばSF6 、NF3 、CF4 、C4 8 、CHF
3 、C2 6 、C3 8 等の弗素を含むガス系の中の少
なくとも1種、またはこれらのガス系にAr、N2 、H
2 、CO、O2 を添加したガス系を挙げることができ
る。
The source gas is not particularly limited as long as the etching selectivity of the silicon organic film 13 and the silicon oxide film 12 with respect to the silicon film 14 can be obtained. For example, SF 6 , NF 3 , CF 4 , C 4 F 8 , CHF
3 , C 2 F 6 , C 3 F 8, etc., at least one of fluorine-containing gas systems, or these gas systems include Ar, N 2 , H
2 , a gas system to which CO and O 2 are added.

【0089】これらのソースガスを用いてエッチングを
行うと、シリコン膜およびシリコン有機膜の表面には重
合膜が堆積するが、絶縁膜の表面には堆積しにくく、絶
縁膜はシリコン膜および前記シリコン有機膜と比べてエ
ッチングしやすくなる。
When etching is performed using these source gases, a polymer film is deposited on the surfaces of the silicon film and the silicon organic film, but is hardly deposited on the surface of the insulating film. It is easier to etch than an organic film.

【0090】その結果、これらのソースガスをシリコン
有機膜及び酸化シリコン膜のエッチングに用いること
で、シリコン有機膜及び酸化シリコン膜の加工に必要な
高い選択比を容易に得ることができ、寸法制御性よくシ
リコン有機膜及び酸化シリコン膜のエッチングを一括し
て行なうことができる。この時、レジスト又は、シリコ
ン膜の表面で重合膜の堆積が顕著となり、エッチング形
状が劣化する場合は、例えば、ソースガスにアルゴンを
添加するか、又は酸素を添加することによって重合膜を
除去することが好ましい。
As a result, by using these source gases for etching the silicon organic film and the silicon oxide film, a high selectivity required for processing the silicon organic film and the silicon oxide film can be easily obtained, and the dimensional control can be performed. The silicon organic film and the silicon oxide film can be collectively etched with good efficiency. At this time, when a polymer film is remarkably deposited on the surface of the resist or the silicon film and the etching shape is deteriorated, the polymer film is removed by, for example, adding argon or oxygen to the source gas. Is preferred.

【0091】以上、被加工膜が酸化シリコン膜の場合に
ついて説明したが、被加工膜が窒化シリコン膜、酸化窒
化膜、スピンオングラス膜の場合も、シリコン膜をエッ
チングマスクとして高い選択比でエッチングを行なうこ
とができる。
The case where the film to be processed is a silicon oxide film has been described above. However, when the film to be processed is a silicon nitride film, an oxynitride film, or a spin-on-glass film, etching is performed with a high selectivity using the silicon film as an etching mask. Can do it.

【0092】次に、シリコン有機膜13を溶剤で剥離す
る。本発明で用いたシリコン有機膜は、シロキサン結合
を含むため、シリコン有機膜が酸性を示す場合は、テト
ラメチルアンモニウムヒドロキシド、コリン等の有機ア
ルカリ水溶液、水酸化カリウム等の無機アルカリ水溶液
といったアルカリ溶液で溶解除去することができる。ま
た、シリコン有機膜に対して高エネルギービームを照射
して主鎖のSi−Si結合をシロキサン結合に変えて、
フッ酸、バッファフッ酸、またはアセトン、メタノー
ル、エタノール、イソプロパノール等の極性溶媒で溶解
除去してもよい。
Next, the silicon organic film 13 is peeled off with a solvent. Since the silicon organic film used in the present invention contains a siloxane bond, when the silicon organic film is acidic, an alkaline solution such as an aqueous solution of an organic alkali such as tetramethylammonium hydroxide or choline or an aqueous solution of an inorganic alkali such as potassium hydroxide is used. Can be dissolved and removed. In addition, the silicon organic film is irradiated with a high energy beam to change the main chain Si-Si bond into a siloxane bond,
It may be dissolved and removed with hydrofluoric acid, buffered hydrofluoric acid, or a polar solvent such as acetone, methanol, ethanol, or isopropanol.

【0093】その際、シリコン有機膜上にレジストパタ
ーン、或いはシリコン膜等が残っている場合でも、下層
のシリコン有機膜が溶解除去されたため、同時に剥離す
ることができる。
At this time, even if a resist pattern, a silicon film, or the like remains on the silicon organic film, the silicon organic film as a lower layer is dissolved and removed, so that the silicon organic film can be simultaneously removed.

【0094】以上のように本発明の第3の態様による
と、被加工膜が酸化シリコン、或いは窒化シリコンの場
合、中間層と下層レジストからなるエッチングマスクに
対する酸化シリコン膜(窒化シリコン膜)のエッチング
選択比を高くとることが可能である。その結果、酸化シ
リコン膜(窒化シリコン膜)のエッチング途中でエッチ
ングマスクが後退することなく、寸法制御性よく、酸化
シリコン膜(窒化シリコン膜)のエッチングをすること
が可能となる。
As described above, according to the third aspect of the present invention, when the film to be processed is silicon oxide or silicon nitride, the silicon oxide film (silicon nitride film) is etched with respect to the etching mask including the intermediate layer and the lower resist. It is possible to increase the selectivity. As a result, the silicon oxide film (silicon nitride film) can be etched with good dimensional controllability without the etching mask receding during the etching of the silicon oxide film (silicon nitride film).

【0095】本発明の第4の態様に係る半導体装置の製
造方法は、シリコン膜の代わりに、第1の態様において
用いた、主鎖にSi−Si結合を有する化合物を含むシ
リコン有機膜(第2のシリコン有機膜)を用いるもので
ある。この場合のレジストパターンをマスクとして用い
て行われる第2のシリコン有機膜のエッチングは、第1
〜第3の態様と同様にして行われる。
The method of manufacturing a semiconductor device according to the fourth aspect of the present invention is directed to a method of manufacturing a semiconductor device according to the first aspect, in which the silicon organic film (comprising the compound having a Si—Si bond in the main chain) used in the first aspect is used instead of the silicon film. 2 silicon organic film). In this case, the etching of the second silicon organic film performed using the resist pattern as a mask is performed in the first silicon organic film.
To the third embodiment.

【0096】[0096]

【発明の実施の形態】 実施例1 上述の式2−2に示す平均分子量8000のポリシラン
8gをアニソール92gに溶解して下層レジストの溶液
材料を作成した。シリコンウェハー1上に成膜された膜
厚300nmのタングステン膜2上に、下層レジストの
溶液材料をスピンコーテング法により塗布した後、80
℃で90秒間ベーキングを行い、下層レジスト膜3を形
成した。この時の下層レジスト3の膜厚は300nmで
ある。続いて、下層レジスト膜3上に、中間層として膜
厚200nmのアモルファスシリコン膜4をLPCVD
法により成膜した。
Embodiment 1 8 g of polysilane having an average molecular weight of 8000 represented by the above formula 2-2 was dissolved in 92 g of anisole to prepare a solution material for a lower resist. A solution material of a lower resist is applied on a 300 nm thick tungsten film 2 formed on a silicon wafer 1 by a spin coating method.
Baking was performed at 90 ° C. for 90 seconds to form a lower resist film 3. At this time, the thickness of the lower resist 3 is 300 nm. Subsequently, an amorphous silicon film 4 having a thickness of 200 nm is formed as an intermediate layer on the lower resist film 3 by LPCVD.
The film was formed by the method.

【0097】次いで、中間層4上にシップレー社製ポジ
型化学増幅型レジストAPEX−Eを塗布し、98℃で
120秒間ベーキングを行ない、上層レジスト膜5を形
成した(図1(a))。この時の上層レジスト膜5の膜
厚は200nmである。次に、KrFエキシマレーザー
光を光源とした縮小光学型ステッパーを用いてパターン
露光を行い(露光量30mJ/cm2)、98℃で12
0秒間のベーキングを行った後、0.21規定のTMA
H現像液で現像処理を行い、0.18μmのL/Sパタ
ーン5aを形成した(図1(b))。
Next, a positive chemically amplified resist APEX-E manufactured by Shipley was applied onto the intermediate layer 4 and baked at 98 ° C. for 120 seconds to form an upper resist film 5 (FIG. 1A). At this time, the thickness of the upper resist film 5 is 200 nm. Next, pattern exposure was performed using a reduction optical stepper using a KrF excimer laser beam as a light source (exposure amount: 30 mJ / cm 2).
After baking for 0 second, TMA of 0.21 regulation
Development was performed with an H developer to form a 0.18 μm L / S pattern 5a (FIG. 1B).

【0098】レジストパターン5aの膜厚は180nm
である。レジストパターン5を断面SEM観察したとこ
ろ、図1(b)に示すように、良好な形状でパターン形
成できていることが確認できた。
The thickness of the resist pattern 5a is 180 nm.
It is. When the cross section of the resist pattern 5 was observed by SEM, it was confirmed that the pattern was formed in a good shape as shown in FIG.

【0099】以上のように形成したレジストパターン5
をエッチングマスクとして用い、マグネトロン型RIE
装置により、中間膜4と下層レジスト3を一括してエッ
チングした(図1(c))。ソースガスとして流量20
SCCMのCl2 を用い、励起電力300W、真空度3
0mTorrのエッチング条件でエッチングを行ったと
ころ、レジストパターン5aが途中で削れてなくなるこ
となく、中間層4と下層レジスト3を一括してエッチン
グすることができた。
The resist pattern 5 formed as described above
Is used as an etching mask and a magnetron type RIE
The intermediate film 4 and the lower resist 3 were collectively etched by the apparatus (FIG. 1C). Flow rate 20 as source gas
Using SCCM Cl 2 , excitation power 300W, degree of vacuum 3
When the etching was performed under the etching condition of 0 mTorr, the intermediate layer 4 and the lower layer resist 3 could be etched at a time without the resist pattern 5a being cut off halfway.

【0100】レジストパターン5aの幅aと下層レジス
トパターン3aの幅bを断面SEMで測定することによ
り、中間層4と下層レジスト3のエッチングで生じた寸
法変換差(=下層レジスト3のパターン幅b−レジスト
パターン幅a)を求めたところ、10nmあることが分
かった。また、エッチング終了後、残ったレジストパタ
ーン5aの膜厚は130nmである。
The width a of the resist pattern 5a and the width b of the lower resist pattern 3a are measured by a cross-sectional SEM to obtain a dimensional conversion difference (= pattern width b of the lower resist 3) caused by the etching of the intermediate layer 4 and the lower resist 3. -The resist pattern width a) was found to be 10 nm. After the etching is completed, the remaining resist pattern 5a has a thickness of 130 nm.

【0101】さらに、中間層パターン4aと下層レジス
トパターン3aをエッチングマスクとして用い、タング
ステン膜2のエッチングをマグネトロン型反応性イオン
エッチング装置を用いて行った(図2(a))。ソース
ガスとして流量30SCCMのCCl4 、流量20SC
CMのO2 を用い、励起電力350W、真空度15mT
orrのエッチング条件でエッチングを行ったところ、
中間層パターン4aと下層レジストパターン3aが途中
で削れてなくなることなく、タングステン膜2のエッチ
ングを行なうことができた。この時のタングステン膜2
は、垂直に異方性よくエッチングされており、エッチン
グ前のレジストパターン5aの幅aと加工終了後のタン
グステン膜2aのパターン幅cを断面SEMで測定する
ことにより、中間層4、下層レジスト3及び被加工膜2
のエッチングで生じた寸法変換差(タングステン膜2a
のパターン幅c−レジストパターン幅a)を求めたとこ
ろ、15nmであり、許容範囲内(目標加工寸法180
nmの10%以内)に収まっていることが分かった。
Further, using the intermediate layer pattern 4a and the lower resist pattern 3a as an etching mask, the tungsten film 2 was etched using a magnetron reactive ion etching apparatus (FIG. 2A). CCl 4 at a flow rate of 30 SCCM and a flow rate of 20 SC as a source gas
Using O 2 of CM, excitation power 350W, vacuum degree 15mT
When etching was performed under the etching conditions of orr,
The tungsten film 2 could be etched without the intermediate layer pattern 4a and the lower resist pattern 3a being cut off halfway. At this time, the tungsten film 2
Is vertically etched with good anisotropy. The width a of the resist pattern 5a before etching and the pattern width c of the tungsten film 2a after processing are measured by a cross section SEM to obtain the intermediate layer 4, the lower resist 3 And processed film 2
Conversion difference (tungsten film 2a)
Of the pattern width c−resist pattern width a) was 15 nm, which was within the allowable range (target processing dimension 180).
(within 10% of nm).

【0102】次に、乳酸エチルにウェハー基板1を18
0秒間浸透した後、純水でウエハー表面を洗浄し、タン
グステン膜2a上に付着したポリシランパターン3aを
溶解除去した。その際、下地のポリシランパターン3a
が溶解除去されたため、シリコンパターン4aとレジス
トパターン5aも同時に除去することができた(図2
(b))。剥離後、タングステン膜2aの膜厚を測定し
たところ、300nmであり、タングステン膜2aの膜
減りはなかったことが分かった。
Next, the wafer substrate 1 was placed in ethyl lactate for 18 hours.
After permeating for 0 second, the surface of the wafer was washed with pure water to dissolve and remove the polysilane pattern 3a attached on the tungsten film 2a. At this time, the underlying polysilane pattern 3a
Was dissolved and removed, so that the silicon pattern 4a and the resist pattern 5a could be simultaneously removed (FIG. 2).
(B)). After the peeling, the thickness of the tungsten film 2a was measured. As a result, it was 300 nm, and it was found that the tungsten film 2a was not reduced in thickness.

【0103】また、タングステンの開口部の直下に位置
するシリコンウエハー部分も溶解されておらず、レジス
トパターン、シリコンパターン、下層レジストパターン
をタングステンおよびシリコンウエハーから選択的に剥
離することができた。
Also, the silicon wafer portion located immediately below the tungsten opening was not dissolved, and the resist pattern, the silicon pattern, and the lower resist pattern could be selectively removed from the tungsten and the silicon wafer.

【0104】比較例1 実施例1と同様、シリコンウェハー21上に成膜された
膜厚300nmのタングステン膜22上に、分子量50
00のノボラック樹脂10gを乳酸エチル90gに溶解
した溶液材料を塗布し、220℃で180秒間ベーキン
グを行ない、下層レジスト膜23を作成した。ベーキン
グ後の下層レジスト膜23の膜厚は300nmである。
次いで、下層レジスト23上に中間層として膜厚200
nmのSiO2 膜24をLPCVD法で成膜した(図5
(a))。そして、実施例1と同様の方法でSiO2
24上にレジストパターン25aを形成した。レジスト
パターン25aを断面SEM観察したところ、図5
(b)に示すように、良好な形状でパターニングできて
いることが分かった。
Comparative Example 1 As in Example 1, a 300 nm-thick tungsten film 22 formed on a silicon wafer 21 was
A solution material obtained by dissolving 10 g of Novolak resin No. 00 in 90 g of ethyl lactate was applied and baked at 220 ° C. for 180 seconds to form a lower resist film 23. The thickness of the lower resist film 23 after baking is 300 nm.
Next, a film thickness of 200 as an intermediate layer is formed on the lower resist 23.
An SiO 2 film 24 of nm thickness was formed by the LPCVD method (FIG. 5).
(A)). Then, a resist pattern 25a was formed on the SiO 2 film 24 in the same manner as in Example 1. FIG. 5 shows a cross-sectional SEM observation of the resist pattern 25a.
As shown in (b), it was found that patterning was performed in a good shape.

【0105】以上のように形成したレジストパターン2
5aをエッチングマスクとして用いて、中間膜のエッチ
ングを行った(図5(c))。エッチング装置として
は、マグネトロン型RIE装置を用い、ソースガスとし
て流量20SCCMのCl2 を用い、励起電力300
W、真空度30mTorrのエッチング条件でエッチン
グを行った。レジストパターン幅gと中間層のパターン
幅hを断面SEMで測定し、中間層のエッチングで生じ
た寸法変換差(中間層のパターン幅h−レジストパター
ン幅g)を求めたところ、15nmあることが分かっ
た。
The resist pattern 2 formed as described above
The intermediate film was etched using 5a as an etching mask (FIG. 5C). As the etching apparatus, a magnetron type RIE apparatus was used, Cl 2 at a flow rate of 20 SCCM was used as a source gas, and an excitation power of 300 was used.
The etching was performed under the etching conditions of W and a degree of vacuum of 30 mTorr. The resist pattern width g and the pattern width h of the intermediate layer were measured with a cross-sectional SEM, and the dimensional conversion difference (pattern width h of intermediate layer−resist pattern width g) generated by etching of the intermediate layer was found to be 15 nm. Do you get it.

【0106】次いで、中間層パターン24aをエッチン
グマスクとして用いて、下層レジスト23のエッチング
を行なった(図6(a))。エッチング装置にはマグネ
トロン型RIE装置を用い、ソースガスとして流量20
SCCMのO2 を用い、励起電力300W、真空度30
mTorrのエッチング条件で、エッチングを行なっ
た。レジストパターン幅dと下層レジストのパターン幅
eを断面SEMで測定し,中間層24と下層レジスト2
3のエッチングで生じた寸法変換差を求めたところ、2
5nmあることが分かった。
Next, the lower resist 23 was etched using the intermediate layer pattern 24a as an etching mask (FIG. 6A). A magnetron type RIE apparatus was used as an etching apparatus, and a flow rate of 20 was used as a source gas.
Excitation power 300W, degree of vacuum 30 using O 2 of SCCM
The etching was performed under the etching conditions of mTorr. The width d of the resist pattern and the width e of the pattern of the lower resist are measured by a cross section SEM, and the intermediate layer 24 and the lower resist 2 are measured.
When the dimensional conversion difference caused by the etching of No. 3 was obtained,
It was found to be 5 nm.

【0107】次に、中間層パターン24aと下層レジス
トパターン23aをエッチングマスクとして用いて、タ
ングステン膜22のエッチングを、マグネトロン型反応
性イオンエッチング装置を用いて行なった(図6
(b))。ソースガスとして流量30SCCMのCCl
4 および流量20SCCMのO2 を用い、励起電力35
0W、真空度15mTorrのエッチング条件でエッチ
ングを行なったところ、中間層パターン24aと下層レ
ジストパターン23aが途中で削れてなくなることな
く、タングステン膜22のエッチングを行なうことがで
きた。
Next, using the intermediate layer pattern 24a and the lower resist pattern 23a as an etching mask, the tungsten film 22 was etched using a magnetron type reactive ion etching apparatus (FIG. 6).
(B)). CCl with a flow rate of 30 SCCM as a source gas
4 and 20 SCCM of O 2 , excitation power 35
When etching was performed under the etching conditions of 0 W and a degree of vacuum of 15 mTorr, the tungsten film 22 could be etched without the intermediate layer pattern 24a and the lower resist pattern 23a being cut off in the middle.

【0108】レジストパターン25aのパターン幅dと
タングステン膜のパターン幅fを断面SEMで測定し、
中間層24、下層レジスト23及びタングステン膜22
aのエッチングにより生じた寸法変換差(タングステン
膜22aのパターン幅f−レジストパターン幅d)を求
めたところ、35nmあり、許容範囲(目標加工寸法1
80nmの10%)を満たさないことが分かった。
The pattern width d of the resist pattern 25a and the pattern width f of the tungsten film were measured by cross section SEM.
Intermediate layer 24, lower layer resist 23, and tungsten film 22
The dimensional conversion difference (pattern width f of tungsten film 22a-resist pattern width d) caused by the etching of a was found to be 35 nm, which was an allowable range (target processing dimension 1).
(10% of 80 nm).

【0109】最後に、下層レジストパターン23aを除
去して、タングステンパターン22aを得ることができ
た(図6(c))。
Finally, the lower resist pattern 23a was removed to obtain a tungsten pattern 22a (FIG. 6C).

【0110】実施例1と比較例1との比較から、本発明
の方法により、3層レジスト法のエッチング工程を一回
減らすことが可能となり、その結果、プロセスコストを
減らすことができたばかりではなく、エッチング時に生
じる寸法変換差を低減することができ、被加工膜を所望
の寸法で加工することが可能となったことがわかる。
From the comparison between Example 1 and Comparative Example 1, the method of the present invention makes it possible to reduce the number of etching steps of the three-layer resist method by one. It can be seen that the dimensional conversion difference generated at the time of etching can be reduced, and the film to be processed can be processed to a desired size.

【0111】比較例2 図上記式2−2に示す平均分子量800のポリシラン8
gをアニソール92gに溶解して下層レジストの溶液材
料を作成した。実施例1で成膜したタングステン膜22
上に下層レジストの溶液材料をスピンコーテング法によ
り塗布した後、80℃で90秒間ベーキングを行った。
この時の下層レジスト26の膜厚は300nmである。
そして、実施例1と同様の方法で下層レジスト26上に
レジストパターン27を形成した。
Comparative Example 2 Polysilane 8 having an average molecular weight of 800 shown in the above formula 2-2.
g was dissolved in 92 g of anisole to prepare a solution material for the lower resist. Tungsten film 22 formed in Example 1
After a solution material for the lower layer resist was applied thereon by spin coating, baking was performed at 80 ° C. for 90 seconds.
At this time, the thickness of the lower resist 26 is 300 nm.
Then, a resist pattern 27 was formed on the lower resist 26 in the same manner as in Example 1.

【0112】レジストパターン27の断面形状をSEM
観察したところ、図7に示すように、レジスト残りが生
じていることが分かった。これは、シリコン有機膜とレ
ジストが反応したためである。このように、シリコン有
機膜とレジストは反応を起こし、正常なレジストプロフ
ァイルが得られない場合があるが、シリコン膜をシリコ
ン有機膜とレジストとの間に介在させることで、レジス
トとシリコン有機膜との反応を防ぐことができ、良好な
レジストプロファイルを得ることが出来る。
The cross-sectional shape of the resist pattern 27 was
Observation revealed that a resist residue was left as shown in FIG. This is because the silicon organic film and the resist reacted. As described above, the silicon organic film and the resist cause a reaction, and a normal resist profile may not be obtained.However, by interposing the silicon film between the silicon organic film and the resist, the resist and the silicon organic film can be separated. Can be prevented, and a good resist profile can be obtained.

【0113】実施例2 上記式1−1に示す平均分子量8000のポリシラン8
gをトルエン92gに溶解して下層レジストの溶液材料
を作成した。シリコンウェハー1上に成膜された膜厚5
00nmのTEOS酸化膜2上に下層レジストの溶液材
料をスピンコーテング法により塗布した後、80℃で9
0秒間ベーキングを行った。この時の下層レジスト3の
膜厚は100nmである。続いて、下層レジスト3上に
中間層4として膜厚100nmのポリシリコンをLPC
VD法で成膜した。そして、中間層4上に東京応化工業
社製ネガ型化学増幅型レジストTDUR−N009を塗
布し、98℃で120秒間ベーキングを行なった(図1
(a))。この時のレジスト5の膜厚は150nmであ
る。
Example 2 Polysilane 8 having an average molecular weight of 8000 represented by the above formula 1-1
g was dissolved in 92 g of toluene to prepare a solution material for the lower resist. Film thickness 5 formed on silicon wafer 1
After applying a solution material for the lower resist by spin coating on the 00 nm TEOS oxide film 2,
Baking was performed for 0 seconds. At this time, the thickness of the lower resist 3 is 100 nm. Subsequently, 100 nm-thick polysilicon is formed on the lower resist 3 as an intermediate layer 4 by LPC.
The film was formed by the VD method. Then, a negative chemically amplified resist TDUR-N009 manufactured by Tokyo Ohka Kogyo Co., Ltd. was applied on the intermediate layer 4 and baked at 98 ° C. for 120 seconds (FIG. 1).
(A)). At this time, the thickness of the resist 5 is 150 nm.

【0114】次に、KrFエキシマレーザー光を光源と
した縮小光学型ステッパーを用いてパターン露光を行い
(露光量30mJ/cm2)、98℃で120秒間のベ
ーキングを行った後、0.27規定のTMAH現像液で
現像処理を行い、0.18μmL/Sのレジストパター
ン5aを形成した。レジストパターン5aの膜厚は13
0nmである。レジストパターン5aを断面SEM観察
したところ、図1(b)に示すように良好な形状でパタ
ーン形成できていることが確認できた。
Next, pattern exposure was performed using a reduction optical stepper using KrF excimer laser light as a light source (exposure amount: 30 mJ / cm 2), baking was performed at 98 ° C. for 120 seconds, and then 0.27 normalization was performed. A development process was performed with a TMAH developer to form a resist pattern 5a of 0.18 μmL / S. The thickness of the resist pattern 5a is 13
0 nm. When the cross section of the resist pattern 5a was observed by SEM, it was confirmed that the pattern was formed in a good shape as shown in FIG.

【0115】以上のように形成したレジストパターンを
エッチングマスクとして用いて、マグネトロン型RIE
装置により、中間膜4と下層レジスト3のエッチングを
行った(図1(c))。ソースガスとして流量20SC
CMのHBrを用い、励起電力300W、真空度30m
Torrのエッチング条件でエッチングを行ったとこ
ろ、レジストパターン5aが途中で削れてなくなること
なく、中間層4と下層レジスト3を一括してエッチング
することができた(図1(c))。
Using the resist pattern formed as described above as an etching mask, a magnetron type RIE
The intermediate film 4 and the lower resist 3 were etched by the apparatus (FIG. 1C). Flow rate 20SC as source gas
Using HBr of CM, excitation power 300W, degree of vacuum 30m
When the etching was performed under Torr etching conditions, the intermediate layer 4 and the lower layer resist 3 could be etched at a time without the resist pattern 5a being cut off halfway (FIG. 1 (c)).

【0116】図1(c)に示すように、中間層パターン
4aと下層レジストパターン3aの加工形状は、垂直に
異方性よくエッチングされており、レジストパターン幅
aと下層レジストパターン4aのパターン幅bを断面S
EMで測定することによって,中間層4及び下層レジス
ト3のエッチングで生じた寸法変換差を求めたところ、
10nmあることが分かった。また、エッチング終了
後、残ったレジストの膜厚は100nmである。
As shown in FIG. 1C, the processed shapes of the intermediate layer pattern 4a and the lower resist pattern 3a are vertically etched with good anisotropy, and the resist pattern width a and the pattern width of the lower resist pattern 4a are obtained. b is section S
The dimensional conversion difference caused by the etching of the intermediate layer 4 and the lower layer resist 3 was determined by measuring with EM.
It was found to be 10 nm. After the etching, the thickness of the remaining resist is 100 nm.

【0117】さらに、中間層パターン4aと下層レジス
トパターン3aをエッチングマスクとして用い、マグネ
トロン型反応性イオンエッチング装置により、TEOS
酸化膜のエッチングを行った(図2(a))。ソースガ
スとして流量30SCCMのC4 8 、流量30SCC
MのCO、流量160SCCMのArを用い、励起電力
350W、真空度15mTorrのエッチング条件でエ
ッチングを行ったところ、中間層パターン4aと下層レ
ジストパターン3aが途中で削れてなくなることなく、
TEOS酸化膜2のエッチングを行なうことができた。
この時のTEOS酸化膜2は、垂直に異方性よくエッチ
ングされており、レジストパターン幅aと加工されたT
EOS酸化膜2aのパターン幅cを断面SEMで測定す
ることによって、中間層4、下層レジスト3及びTEO
S酸化膜2のエッチングで生じた寸法変換差を求めたと
ころ、15nmあり、許容範囲内(目標加工寸法180
nmの10%以内)を満たしていることが分かった。
Further, using the intermediate layer pattern 4a and the lower layer resist pattern 3a as an etching mask, TEOS is performed by a magnetron type reactive ion etching apparatus.
The oxide film was etched (FIG. 2A). C 4 F 8 with a flow rate of 30 SCCM and a flow rate of 30 SCC as source gas
When etching was performed under the etching conditions of M CO, Ar at a flow rate of 160 SCCM, and an excitation power of 350 W and a degree of vacuum of 15 mTorr, the intermediate layer pattern 4 a and the lower resist pattern 3 a were not cut off in the middle without being removed.
The etching of the TEOS oxide film 2 could be performed.
At this time, the TEOS oxide film 2 is vertically etched with good anisotropy, and the resist pattern width a and the processed T
By measuring the pattern width c of the EOS oxide film 2a with a cross-sectional SEM, the intermediate layer 4, the lower resist 3, and the TEO
When the dimensional conversion difference caused by the etching of the S oxide film 2 was obtained, it was found to be 15 nm, which was within the allowable range (target processing dimension 180).
(within 10% of nm).

【0118】次に、アニソールに180秒間浸透した
後、純水でウェハー1の表面を洗浄し、TEOS酸化膜
パターン2a上の下層レジストパターン3aを溶解除去
した。その際、シリコン膜4とレジストパターン5aも
下地である下層レジストパターン3aが溶解除去された
ため、同時に除去された(図2(b))。下層レジスト
パターン3aの剥離後、TEOS酸化膜パターン2aの
膜厚を測定したところ、500nmあり、TEOS酸化
膜パターン2aは溶解されず、膜減りしていないことが
分かった。
Next, after penetrating the anisole for 180 seconds, the surface of the wafer 1 was washed with pure water to dissolve and remove the lower resist pattern 3a on the TEOS oxide film pattern 2a. At this time, the silicon film 4 and the resist pattern 5a were also removed at the same time because the lower resist pattern 3a as a base was dissolved and removed (FIG. 2B). After the lower resist pattern 3a was peeled off, the film thickness of the TEOS oxide film pattern 2a was measured to be 500 nm, and it was found that the TEOS oxide film pattern 2a was not dissolved and the film was not reduced.

【0119】また、TEOS酸化膜パターン2aの開口
部の直下に位置するシリコンウエハー部分も溶解されて
おらず、レジストパターン、シリコンパターン、下層レ
ジストパターンをTEOS酸化膜パターンおよびシリコ
ンウエハーと選択的に剥離することができた。
The silicon wafer portion immediately below the opening of the TEOS oxide film pattern 2a is not dissolved, and the resist pattern, the silicon pattern, and the lower resist pattern are selectively peeled off from the TEOS oxide film pattern and the silicon wafer. We were able to.

【0120】比較例3 シリコン基板31上に、実施例3と同様の方法で作成し
たTEOS酸化膜32上に、LPCVD法により膜厚2
00nmのポリシリコン膜33を形成した。次に、実施
例1と同様の方法でポリシリコン膜33上にレジスト3
4を塗布し(図8(a))、次いで0.18μmL/S
のレジストパターン34aを形成した(図8(b))。
更に、レジストパターン34aをエッチングマスクとし
て用いて、ポリシリコン膜33のエッチングをマグネト
ロン型反応性イオンエッチング装置を用いて行なった
(図9(a))。
COMPARATIVE EXAMPLE 3 A TEOS oxide film 32 formed on a silicon substrate 31 in the same manner as in Example 3 was coated on a silicon substrate 31 with a film thickness of 2 by LPCVD.
A 00 nm polysilicon film 33 was formed. Next, a resist 3 is formed on the polysilicon film 33 in the same manner as in the first embodiment.
4 (FIG. 8A), and then 0.18 μmL / S
The resist pattern 34a was formed (FIG. 8B).
Further, using the resist pattern 34a as an etching mask, the polysilicon film 33 was etched using a magnetron-type reactive ion etching apparatus (FIG. 9A).

【0121】即ち、ソースガスとして流量30SCCM
のHBrを用い、励起電力500W、真空度40mTo
rrのエッチング条件でエッチングを行なったところ、
レジストパターン34aがエッチング途中で削れてなく
なることなく、ポリシリコン膜33をエッチングするこ
とができた。
That is, a flow rate of 30 SCCM was used as the source gas.
HBr, excitation power 500W, vacuum degree 40mTo
When etching was performed under rr etching conditions,
The polysilicon film 33 could be etched without the resist pattern 34a being removed during the etching.

【0122】続いて、パターニングされたポリシリコン
膜33aをマスクとして用い、マグネトロン型エッチン
グ装置によりTEOS酸化膜32をエッチングした。ソ
ースガスとして流量20SCCMのC4 8 、および流
量40SCCMのArを用い、励起電力200W、真空
度40mTorrのエッチング条件でエッチングを行な
ったところ、ポリシリコン膜33aはエッチング途中で
削れてなくなることなく、TEOS酸化膜32を加工
し、TEOS酸化膜パターン32aを形成することがで
きた(図9(b))。
Subsequently, using the patterned polysilicon film 33a as a mask, the TEOS oxide film 32 was etched by a magnetron type etching apparatus. When C 4 F 8 at a flow rate of 20 SCCM and Ar at a flow rate of 40 SCCM were used as the source gas and the etching was performed under the etching conditions of an excitation power of 200 W and a degree of vacuum of 40 mTorr, the polysilicon film 33 a was not removed during the etching. The TEOS oxide film 32 was processed to form a TEOS oxide film pattern 32a (FIG. 9B).

【0123】次に、エッチングマスクとして用いたポリ
シリコン膜33aの剥離を、ケミカルドライエッチング
装置を用いて行なった。ソースガスとして流量30SC
CMのHBrを用い、励起電力400W、真空度30m
Torrのエッチング条件でエッチングを行なったとこ
ろ、ポリシリコン膜33aを剥離することができた(図
9(c))。
Next, the polysilicon film 33a used as an etching mask was peeled off using a chemical dry etching apparatus. 30SC flow rate as source gas
Using CM HBr, excitation power 400W, vacuum degree 30m
When etching was performed under Torr etching conditions, the polysilicon film 33a could be peeled off (FIG. 9C).

【0124】しかしながら、TEOS酸化膜パターン3
2aの開口部の直下に位置するシリコンウェハーの部分
Aもエッチングされていることが分かった。このよう
に、ポリシリコン膜33aをエッチングマスクとして用
いると,剥離の際に下地膜のエッチングすべきではない
部分までエッチングされてしまうという問題が生じるこ
とが分かる。
However, TEOS oxide film pattern 3
It was found that the portion A of the silicon wafer located immediately below the opening 2a was also etched. As described above, when the polysilicon film 33a is used as an etching mask, a problem arises in that a portion of the underlying film that should not be etched is removed during peeling.

【0125】実施例3 上述の式2−5に示す、平均分子量8000のポリシラ
ン8gをキシレン92gに溶解して下層レジストの溶液
材料を作成した。シリコンウェハー1上で成膜された膜
厚500nmのSiN膜2上に、下層レジストの溶液材
料をスピンコーテング法により塗布した後、80℃で9
0秒間ベーキングを行った。この時の下層レジスト3の
膜厚は200nmである。続いて、下層レジスト3上に
中間層4として膜厚100nmのリンドープポリシリコ
ンをLPCVD法で成膜した。続いて、中間層4上にシ
ップレー社製ネガ型化学増幅型レジストXP89131
を塗布し、98℃で120秒間ベーキングを行なった
(図1(a))。この時のレジスト5の膜厚は150n
mである。
Example 3 8 g of polysilane having an average molecular weight of 8000 and represented by the above formula 2-5 was dissolved in 92 g of xylene to prepare a solution material for a lower resist. After a solution material for the lower resist is applied on the 500 nm-thick SiN film 2 formed on the silicon wafer 1 by a spin coating method, the solution material is applied at 80 ° C. for 9 hours.
Baking was performed for 0 seconds. At this time, the thickness of the lower resist 3 is 200 nm. Subsequently, a phosphorus-doped polysilicon having a thickness of 100 nm was formed as an intermediate layer 4 on the lower resist 3 by an LPCVD method. Subsequently, on the intermediate layer 4, a negative chemically amplified resist XP89131 manufactured by Shipley Co., Ltd.
And baked at 98 ° C. for 120 seconds (FIG. 1A). At this time, the thickness of the resist 5 is 150 n.
m.

【0126】次に、KrFエキシマレーザー光を光源と
した縮小光学型ステッパーを用いてパターン露光を行い
(露光量30mJ/cm2 )、98℃で120秒間のベ
ーキングを行った後、0.27規定のTMAH現像処理
を行い、0.18μmL/Sのレジストパターン5aを
形成した。レジストパターン5aの膜厚は130nmで
ある。レジストパターン5aを断面SEM観察したこと
ろ、図1(b)に示すように良好な形状でパターン形成
できていることが確認できた。
Next, pattern exposure was performed using a reduction optical type stepper using KrF excimer laser light as a light source (exposure amount: 30 mJ / cm 2 ), baking was performed at 98 ° C. for 120 seconds, and then 0.27 N Was performed to form a resist pattern 5a of 0.18 μmL / S. The thickness of the resist pattern 5a is 130 nm. When the cross section of the resist pattern 5a was observed by SEM, it was confirmed that the pattern was formed in a good shape as shown in FIG.

【0127】以上のように形成したレジストパターン5
aをエッチングマスクとして用い、マグネトロン型RI
E装置により中間膜4と下層レジスト3を一括してエッ
チングした(図1(c))。ソースガスとして流量42
0SCCMのCF4 を用い、励起電力300W、真空度
30mTorrのエッチング条件でエッチングを行った
ところ、レジストパターン5aが途中で削れてなくなる
ことなく、中間層4と下層レジスト3を一括してエッチ
ングすることができた(図1(c))。
The resist pattern 5 formed as described above
a as an etching mask and a magnetron type RI
The intermediate film 4 and the lower resist 3 were collectively etched by the E apparatus (FIG. 1C). Flow rate 42 as source gas
When etching was performed using CF 4 of 0 SCCM under the etching conditions of excitation power of 300 W and a degree of vacuum of 30 mTorr, the intermediate layer 4 and the lower layer resist 3 were collectively etched without the resist pattern 5 a being removed in the middle. (FIG. 1 (c)).

【0128】図1(c)に示すように、中間層パターン
4aと下層レジストパターン3aの加工形状は垂直に異
方性よくエッチングされており、レジストパターン幅a
と下層レジストパターン3aのパターン幅bを断面SE
Mで測定し、中間層4及び下層レジスト3のエッチング
で生じた寸法変換差を求めたところ、断面SEMで観察
できる測定限界(5nm)以下だった。また、エッチン
グ終了後、残ったレジストパターン5aの膜厚は100
nmである。
As shown in FIG. 1C, the processing shapes of the intermediate layer pattern 4a and the lower resist pattern 3a are vertically etched with good anisotropy, and the resist pattern width a
And the pattern width b of the lower resist pattern 3a by the
M, the dimensional conversion difference caused by etching of the intermediate layer 4 and the lower layer resist 3 was found to be less than the measurement limit (5 nm) observable by cross-sectional SEM. After completion of the etching, the thickness of the remaining resist pattern 5a is 100
nm.

【0129】さらに、中間層パターン4aと下層レジス
トパターン3aをエッチングマスクとして用い、SiN
膜2のエッチングをマグネトロン型反応性イオンエッチ
ング装置を用いて行った(図2(a))。ソースガスと
して流量30SCCMのCHF3 、流量80SCCMの
CO、流量80SCCMのAr、および流量5SCCM
のO2 を用い、励起電力350W、真空度3mTorr
のエッチング条件でエッチングを行ったところ、中間層
パターン4と下層レジストパターン3が途中で削れなく
なることなく、SiN膜2のエッチングを行なうことが
できた。
Further, using the intermediate layer pattern 4a and the lower resist pattern 3a as an etching mask,
The film 2 was etched using a magnetron-type reactive ion etching apparatus (FIG. 2A). CHF 3 at a flow rate of 30 SCCM, CO at a flow rate of 80 SCCM, Ar at a flow rate of 80 SCCM, and a flow rate of 5 SCCM as source gases
Of O 2 , excitation power of 350 W, vacuum degree of 3 mTorr
The etching was performed under the following etching conditions. As a result, the etching of the SiN film 2 could be performed without the intermediate layer pattern 4 and the lower layer resist pattern 3 being cut off halfway.

【0130】この時のSiN膜2は垂直に異方性よくエ
ッチングされており、エッチング前のレジストパターン
幅aと加工終了後のSiN膜パターン2aのパターン幅
cを断面SEMで測定し、中間層4、下層レジスト3及
びSiN膜2のエッチングにより生じた寸法変換差を求
めたところ、断面SEMで観察できる測定限界(5n
m)以下であり、許容範囲内(目標加工寸法180nm
の10%以内)に収まっていることが分かった。
At this time, the SiN film 2 is vertically etched with good anisotropy, and the resist pattern width a before etching and the pattern width c of the SiN film pattern 2a after processing are measured by a cross section SEM. 4. When the dimensional conversion difference caused by the etching of the lower resist 3 and the SiN film 2 was determined, the measurement limit (5n
m) or less and within an allowable range (a target processing dimension of 180 nm).
Within 10%).

【0131】次に、ウェハー基板1を180℃で180
秒間ベーキングし、赤外吸収スペクトルを測定したとこ
ろ、1100cm-1付近でシロキサン結合による吸収が
観測された。これは、ベークによりポリシラン中のSi
−Si結合が酸化されたためである。続いて、フッ酸に
ウエハー基板1を120秒間浸漬させた後、純水でウェ
ハーを洗浄し、シリコン有機膜3を溶解除去した。その
際、シリコン有機膜3上のポリシリコン膜4も同時にS
iN膜、ウエハー基板を溶解することなく選択的に剥離
することができた。
Next, the wafer substrate 1 is heated at 180 ° C. for 180
After baking for 2 seconds and measuring the infrared absorption spectrum, absorption due to a siloxane bond was observed at around 1100 cm -1 . This is because the bake
This is because the -Si bond was oxidized. Subsequently, after immersing the wafer substrate 1 in hydrofluoric acid for 120 seconds, the wafer was washed with pure water to dissolve and remove the silicon organic film 3. At this time, the polysilicon film 4 on the silicon organic film 3 also
The iN film and the wafer substrate could be selectively peeled without dissolving.

【0132】実施例4 シリコンウェハー11上に成膜がなされた膜厚300n
mのTEOS酸化膜12上に、下層レジスト13として
東レダウコーニング社製のスピンオングラス(商品名
FOX)をスピンコーテング法で塗布した後、空気中で
200℃で120秒間ベーキングを行った。この時のス
ピンオングラスの膜厚は100nmである。続いて、ス
ピンオングラス13上に中間層として膜厚300nmの
アモルファスシリコン膜14をスパッター法で成膜し
た。そして、アモルファスシリコン膜14上に東京応化
工業社製ポジ型化学増幅型レジストTDUR−P007
を塗布し、98℃で120秒間ベーキングを行なった
(図3(a))。この時のレジストの膜厚は200nm
である。
Example 4 Film thickness of 300 n formed on silicon wafer 11
m on the TEOS oxide film 12 as spin-on glass (trade name, manufactured by Dow Corning Toray Co., Ltd.)
FOX) was applied by a spin coating method, and then baked in air at 200 ° C. for 120 seconds. At this time, the film thickness of the spin-on glass is 100 nm. Subsequently, an amorphous silicon film 14 having a thickness of 300 nm was formed as an intermediate layer on the spin-on glass 13 by a sputtering method. Then, a positive chemically amplified resist TDUR-P007 manufactured by Tokyo Ohka Kogyo Co., Ltd. is formed on the amorphous silicon film 14.
And baked at 98 ° C. for 120 seconds (FIG. 3A). At this time, the thickness of the resist is 200 nm.
It is.

【0133】次に、KrFエキシマレーザー光を光源と
した縮小光学型ステッパーを用いてパターン露光を行い
(露光量30mJ/cm2)、98℃で120秒間のベ
ーキングを行った後、0.21規定のTMAH現像液で
現像処理を行い、0.18μmL/Sのレジストパター
ン15aを形成した(図3(b))。このレジストパタ
ーン15aの膜厚は170nmである。レジストパター
ン15aを断面SEM観察したところ、図3(b)に示
すように、良好な形状でパターンが形成されていること
が確認できた。
Next, pattern exposure was carried out using a reduction optical stepper using KrF excimer laser light as a light source (exposure amount: 30 mJ / cm 2), baking was performed at 98 ° C. for 120 seconds, and then 0.21 normalization was performed. Development was performed with a TMAH developer to form a resist pattern 15a of 0.18 μmL / S (FIG. 3B). The thickness of this resist pattern 15a is 170 nm. When the cross section of the resist pattern 15a was observed by SEM, it was confirmed that the pattern was formed in a good shape as shown in FIG.

【0134】以上のように形成したレジストパターン1
5aをエッチングマスクとして用い、マグネトロン型R
IE装置によりアモルファスシリコン膜14をエッチン
グした(図3(c))。即ち、ソースガスとして流量2
0SCCMのCl2 を用い、励起電力300W、真空度
30mTorrのエッチング条件でエッチングを行った
ところ、レジストパターン15aが途中で削れてなくな
ることなく、エッチングすることができた。
The resist pattern 1 formed as described above
5a as an etching mask and a magnetron type R
The amorphous silicon film 14 was etched by the IE device (FIG. 3C). That is, the flow rate 2
When etching was performed using Cl 2 of 0 SCCM under an etching power of 300 W and a vacuum degree of 30 mTorr, the resist pattern 15 a could be etched without being removed in the middle.

【0135】なお、エッチング終了後、残ったレジスト
パターン15aの膜厚は100nmである。エッチング
前のレジストパターン15aの幅dとアモルファスシリ
コンパターン14aの幅eを断面SEMで測定すること
により、アモルファスシリコンのエッチングで生じた寸
法変換差(アモルファスシリコンのパターン幅e−レジ
ストパターン幅d)を求めたところ、5nmあることが
分った。
After the etching is completed, the remaining resist pattern 15a has a thickness of 100 nm. By measuring the width d of the resist pattern 15a before etching and the width e of the amorphous silicon pattern 14a by a cross-sectional SEM, the dimensional conversion difference (amorphous silicon pattern width e-resist pattern width d) caused by the etching of the amorphous silicon can be calculated. As a result, it was found that the thickness was 5 nm.

【0136】さらに、アモルファスシリコンパターン1
4aをエッチングマスクとしてとして用いて、マグネト
ロン型RIE装置により、スピンオングラス膜13とT
EOS酸化膜12を一括してエッチングした(図4
(a))。ソースガスとして流量30SCCMのC4
8 、流量20SCCMのArを用い、励起電力350
W、真空度15mTorrのエッチング条件でエッチン
グを行ったところ、アモルファスシリコンパターン14
aが途中で削れてなくなることなく、エッチングを行な
うことができた。
Further, the amorphous silicon pattern 1
4a as an etching mask, the spin-on-glass film 13 and T
The EOS oxide film 12 was etched all at once (FIG. 4
(A)). C 4 F with a flow rate of 30 SCCM as a source gas
8. Excitation power of 350 using 20 SCCM flow rate Ar
When etching was performed under the etching conditions of W and a vacuum degree of 15 mTorr, the amorphous silicon pattern 14 was obtained.
The etching could be performed without a being removed during the process.

【0137】エッチング前のレジストパターン15aの
幅dと加工終了後のTEOS酸化膜パターン12aのパ
ターン幅fを断面SEMで測定することにより、アモル
ファスシリコン膜14、スピンオングラス膜13及びT
EOS酸化膜12のエッチングで生じた寸法変換差(T
EOS酸化膜パターン12aのパターン幅f−レジスト
パターン15aの幅d)を求めたところ、10nmで許
容範囲内(目標加工寸法180nmの10%以内)にあ
ることが分った。
By measuring the width d of the resist pattern 15a before etching and the pattern width f of the TEOS oxide film pattern 12a after processing by a cross-sectional SEM, the amorphous silicon film 14, the spin-on-glass film 13 and the T
The dimensional conversion difference (T
When the pattern width f of the EOS oxide film pattern 12a-the width d) of the resist pattern 15a was determined, it was found that the width was 10 nm, which was within the allowable range (within 10% of the target processing dimension of 180 nm).

【0138】次に、0.27規定のTMAH現像液にウ
ェハー基板11を120秒間浸漬した後、純水でウェハ
ー11の表面を洗浄し、スピンオングラス膜パターン1
3aを溶解除去した。その際、シリコン膜14とレジス
トパターン15aも下地のスピンオングラス膜パターン
13aが除去されたため、同時に除去することができた
(図4(b))。剥離後、TEOS酸化膜パターン12
aの膜厚を測定したところ、300nmであり、TEO
S酸化膜パターン12aは溶解していないことが分っ
た。
Next, the wafer substrate 11 was immersed in a 0.27 N TMAH developer for 120 seconds, and then the surface of the wafer 11 was washed with pure water.
3a was dissolved and removed. At this time, the silicon film 14 and the resist pattern 15a could be removed at the same time because the underlying spin-on-glass film pattern 13a was removed (FIG. 4B). After peeling, TEOS oxide film pattern 12
a was 300 nm and the TEO
It was found that the S oxide film pattern 12a was not dissolved.

【0139】また、TEOS酸化膜パターン12aの開
口部の直下に位置するシリコンウエハー部分も溶解され
ておらず、レジストパターン、シリコン膜、下層レジス
トをTEOS酸化膜およびシリコンウエハーと選択的に
剥離することができた。
Also, the silicon wafer portion immediately below the opening of the TEOS oxide film pattern 12a is not dissolved, and the resist pattern, the silicon film, and the lower layer resist are selectively peeled off from the TEOS oxide film and the silicon wafer. Was completed.

【0140】比較例4 シリコンウェハー41上に、実施例4と同様の方法で作
成したTEOS酸化膜42上に、分子量5000のノボ
ラック樹脂10gを乳酸エチル90gに溶解した溶液材
料を塗布し、220℃で180秒間ベーキングを行な
い、下層レジスト膜43を作成した。ベーキング後の下
層レジスト膜43の膜厚は300nmである。
Comparative Example 4 A solution of 10 g of a novolak resin having a molecular weight of 5000 dissolved in 90 g of ethyl lactate was applied onto a silicon wafer 41 on a TEOS oxide film 42 formed in the same manner as in Example 4. Was performed for 180 seconds to form a lower resist film 43. The thickness of the lower resist film 43 after baking is 300 nm.

【0141】次に、下層レジスト43上に中間層として
膜厚200nmのSiO2 膜44をLPCVD法で成膜
した。そして、実施例4と同様の方法で、SiO2 膜4
4上にレジスト膜45を形成し(図10(a))、これ
をパターニングしてレジストパターン45aを形成した
(図10(b))。このレジストパターン45aを断面
SEM観察したところ、図10(b)に示すように、良
好な形状でパターニングできていることが分った。
Next, a 200 nm-thick SiO 2 film 44 was formed as an intermediate layer on the lower resist 43 by LPCVD. Then, the SiO 2 film 4 is formed in the same manner as in the fourth embodiment.
A resist film 45 was formed on the substrate 4 (FIG. 10A), and was patterned to form a resist pattern 45a (FIG. 10B). When the cross section of the resist pattern 45a was observed by SEM, it was found that the resist pattern 45a was patterned in a good shape as shown in FIG.

【0142】以上のように形成したレジストパターン4
5aをマスクとして用いて、中間膜44のエッチングを
行った(図10(c))。エッチング装置にはマグネト
ロン型RIE装置を用い、ソースガスとして流量20S
CCMのC4 8 、流量100SCCMのCO、流量2
00SCCMのArを用い、励起電力300W、真空度
30mTorrのエッチング条件でエッチングを行っ
た。レジストパターン幅jと中間層パターン44aのパ
ターン幅kを断面SEMで測定し、中間層44のエッチ
ングで生じた寸法変換差(中間層パターンのパターン幅
k−レジストパターン幅j)を求めたところ、15nm
あることが分った。
The resist pattern 4 formed as described above
The intermediate film 44 was etched using 5a as a mask (FIG. 10C). A magnetron type RIE apparatus was used as the etching apparatus, and the flow rate was 20 S as a source gas.
CCM of C 4 F 8, CO flow 100 SCCM, the flow rate 2
Etching was performed using Ar of 00 SCCM under the etching conditions of an excitation power of 300 W and a degree of vacuum of 30 mTorr. The resist pattern width j and the pattern width k of the intermediate layer pattern 44a were measured by a cross-sectional SEM, and a dimensional conversion difference (pattern width k of the intermediate layer pattern−resist pattern width j) caused by etching of the intermediate layer 44 was obtained. 15 nm
I found something.

【0143】次に、中間層パターン44aをマスクとし
て用いて下層レジスト43のエッチングを行なった。エ
ッチング装置としてはマグネトロン型RIE装置を用
い、ソースガスとして流量20SCCMのO2 を用い、
励起電力300W、真空度30mTorrのエッチング
条件でエッチングを行った。レジストパターン幅jと下
層レジストパターン43aのパターン幅lを断面SEM
で測定し、中間層と下層レジストのエッチングで生じた
寸法変換差(下層レジストのパターン幅l−レジストパ
ターン幅j)を求めたところ、20nmあることが分か
った。
Next, lower layer resist 43 was etched using intermediate layer pattern 44a as a mask. A magnetron type RIE device was used as an etching device, and O 2 at a flow rate of 20 SCCM was used as a source gas.
Etching was performed under the etching conditions of an excitation power of 300 W and a degree of vacuum of 30 mTorr. The resist pattern width j and the pattern width 1 of the lower resist pattern 43a are cross-sectional SEM
The dimensional conversion difference (pattern width 1 of lower layer resist—resist pattern width j) caused by etching of the intermediate layer and the lower layer resist was found to be 20 nm.

【0144】更に、中間層パターン44aと下層レジス
トパターン43aをマスクとして用いて、TEOS酸化
膜42のエッチングを、マグネトロン型反応性イオンエ
ッチング装置により行った(図11(a))。ソースガ
スとして流量30SCCMのC4 8 、流量20SCC
MのCOおよび流量100SCCMのArを用い、励起
電力350W、真空度15mTorrのエッチング条件
でエッチングを行ったところ、中間層パターン44aと
下層レジストパターン43aが途中で削れてなくなるこ
となく、TEOS酸化膜42のエッチングを行なうこと
ができた。
Further, using the intermediate layer pattern 44a and the lower resist pattern 43a as a mask, the TEOS oxide film 42 was etched by a magnetron reactive ion etching apparatus (FIG. 11A). C 4 F 8 with a flow rate of 30 SCCM and a flow rate of 20 SCC as a source gas
When etching was performed using M CO and Ar at a flow rate of 100 SCCM under an etching condition of 350 W of excitation power and 15 mTorr of vacuum, the intermediate layer pattern 44 a and the lower resist pattern 43 a were not cut off in the middle, and the TEOS oxide film 42 was not removed. Was able to be etched.

【0145】レジストパターン45aのパターン幅jと
TEOS酸化膜パターン42aのパターン幅mを断面S
EMで測定し、中間層44、下層レジスト43及びTE
OS酸化膜42のエッチングにより生じた寸法変換差
(TEOS酸化膜42のパターン幅m−レジストパター
ン幅j)を求めたところ、35nmあり、許容範囲(目
標加工寸法180nmの10%以内)に収まらないこと
が分かった。
The pattern width j of the resist pattern 45a and the pattern width m of the TEOS oxide film pattern 42a are defined by
Measured by EM, the intermediate layer 44, the lower resist 43 and TE
The dimensional conversion difference (the pattern width m of the TEOS oxide film 42 minus the resist pattern width j) caused by the etching of the OS oxide film 42 was 35 nm, which was not within the allowable range (within 10% of the target processing size of 180 nm). I understood that.

【0146】以上のように、本比較例の方法では、本発
明の方法よりもエッチング工程が1回多く、即ち、発明
の方法により3層レジスト法のエッチング工程を一回減
らすことが可能となったが分かる。その結果、プロセス
コストを減らすことができたばかりでなく、エッチング
時に生じる寸法変換差を低減することができ、被加工膜
を所望の寸法で加工できることが可能となった。
As described above, in the method of this comparative example, the number of etching steps is one more than that of the method of the present invention, that is, the number of etching steps of the three-layer resist method can be reduced by one according to the method of the present invention. I understand. As a result, not only the process cost can be reduced, but also the dimensional conversion difference generated at the time of etching can be reduced, and the film to be processed can be processed to a desired size.

【0147】比較例5 実施例4と同様にして、シリコンウエハー51上に作成
したTEOS酸化膜52上に、LPCVD法で膜厚20
0nmのポリシリコン膜53を形成した。次に、実施例
4との同様の方法でポリシリコン膜上にレジスト54を
塗布し(図12(a))、0.18μmL/Sのレジス
トパターン54aを形成した(図12(b))。
Comparative Example 5 In the same manner as in Example 4, a film thickness of 20 was formed on a TEOS oxide film 52 formed on a silicon wafer 51 by LPCVD.
A 0 nm polysilicon film 53 was formed. Next, a resist 54 was applied on the polysilicon film in the same manner as in Example 4 (FIG. 12A), and a resist pattern 54a of 0.18 μmL / S was formed (FIG. 12B).

【0148】更に、レジストパターン54aをエッチン
グマスクとして用いて、ポリシリコン膜53のエッチン
グを、マグネトロン型反応性イオンエッチング装置を用
いて行なった。ソースガスとして流量30SCCMのH
Brを用い、励起電力500W、真空度40mTorr
のエッチング条件でエッチングを行なったところ、レジ
ストパターン54がエッチング途中で削れてなくなるこ
となく、ポリシリコン膜53をエッチングすることがで
きた(図12)(c))。
Further, using the resist pattern 54a as an etching mask, the polysilicon film 53 was etched using a magnetron type reactive ion etching apparatus. H at a flow rate of 30 SCCM as a source gas
Using Br, an excitation power of 500 W and a degree of vacuum of 40 mTorr
When the etching was performed under the above etching conditions, the polysilicon film 53 could be etched without the resist pattern 54 being removed during the etching (FIG. 12C).

【0149】続いて、パターニングされたポリシリコン
パターン53aをエッチングマスクとして用いて、TE
OS酸化膜52をマグネトロン型エッチング装置を用い
てエッチングした。ソースガスとして流量20SCCM
のC4 8 、および流量40SCCMのArを用い、励
起電力200W、真空度40mTorrのエッチング条
件でエッチングを行なったところ、ポリシリコンパター
ン53aがエッチング途中で削れてなくなることなく、
TEOS酸化膜パターン52aを形成することができた
(図13(a))。
Subsequently, using the patterned polysilicon pattern 53a as an etching mask,
The OS oxide film 52 was etched using a magnetron type etching device. Flow rate 20 SCCM as source gas
When etching was performed using C 4 F 8 and Ar at a flow rate of 40 SCCM under etching conditions of an excitation power of 200 W and a degree of vacuum of 40 mTorr, the polysilicon pattern 53 a was not removed during the etching.
A TEOS oxide film pattern 52a was formed (FIG. 13A).

【0150】次に、エッチングマスクとして用いたポリ
シリコンパターン53aの剥離をケミカルドライエッチ
ング装置を用いて行なった。ソースガスとして流量30
SCCMのHBrを用い、励起電力400W、真空度3
0mTorrのエッチング条件でエッチングを行なった
ところ、ポリシリコンパターン53aを剥離することが
できた(図13(b)。しかし、シリコンウエハー51
の露出する部分Aもエッチングされていることが分かっ
た。
Next, the polysilicon pattern 53a used as an etching mask was peeled off using a chemical dry etching apparatus. Flow rate 30 as source gas
Using HCM of SCCM, excitation power 400W, vacuum degree 3
When the etching was performed under the etching condition of 0 mTorr, the polysilicon pattern 53a could be peeled off (FIG. 13B. However, the silicon wafer 51 was removed).
It was also found that the exposed portion A of was also etched.

【0151】このように、ポリシリコンパターン53a
のみをエッチングマスクとして用いると、剥離の際に下
地膜のエッチングすべきではない部分までエッチングさ
れてしまうという問題が生じることが分かる。
As described above, the polysilicon pattern 53a
It can be seen that the use of only an etching mask causes a problem in that a portion of the base film that should not be etched is removed during peeling.

【0152】実施例5 シリコンウェハー11上に成膜がなされた膜厚300n
mのTEOS酸化膜12上に、下層レジスト13として
東レダウコーニング社製のSiO2 膜12上に、下層レ
ジスト13として東レダウコーニング社製のスピンオン
グラス(商品名FOX)をスピンコーテング法で塗布し
た後、酸素濃度30ppmの窒素雰囲気下で350℃で
120秒間ベーキングを行った。この時のスピンオング
ラスの膜厚は100nmである。続いて、スピンオング
ラス13上に中間層として膜厚300nmのポリシラン
膜14を成膜した。ポリシラン膜14は、化学式2−1
3に示す平均分子量30,000のポリシラン5gをア
ニソール95gに溶解して作成した溶液をスピンコーテ
ィング法により塗布した後、160℃で120秒間ベー
キングを行うことにより成膜した。
Example 5 A film thickness of 300 n formed on a silicon wafer 11
m, a spin-on glass (trade name: FOX) manufactured by Toray Dow Corning Co., Ltd. was applied as a lower resist 13 on the SiO 2 film 12 manufactured by Toray Dow Corning Co. as a lower resist 13 by a spin coating method. Thereafter, baking was performed at 350 ° C. for 120 seconds in a nitrogen atmosphere having an oxygen concentration of 30 ppm. At this time, the film thickness of the spin-on glass is 100 nm. Subsequently, a 300 nm-thick polysilane film 14 was formed as an intermediate layer on the spin-on glass 13. The polysilane film 14 has a chemical formula 2-1
A solution prepared by dissolving 5 g of polysilane having an average molecular weight of 30,000 shown in No. 3 in 95 g of anisole was applied by spin coating, and then baked at 160 ° C. for 120 seconds to form a film.

【0153】そして、ポリシラン膜14上にシップレー
社製ポジ型化学増幅型レジストAPEX−Eを塗布し、
98℃で120秒間ベーキングを行なった(図3
(a))。この時のレジストの膜厚は200nmであ
る。
Then, a positive chemically amplified resist APEX-E manufactured by Shipley Co. was applied on the polysilane film 14, and
Baking was performed at 98 ° C. for 120 seconds (FIG. 3)
(A)). At this time, the thickness of the resist is 200 nm.

【0154】次に、KrFエキシマレーザー光を光源と
した縮小光学型ステッパーを用いてパターン露光を行い
(露光量24mJ/cm2 )、98℃で120秒間のベ
ーキングを行った後、0.21規定のTMAH現像液で
現像処理を行い、0.18μmL/Sパターン15aを
形成した(図3(b))。レジストパターン15aの膜
厚は170nmである。レジストパターン15aの断面
を観察したところ、図3(b)に示すように、良好な形
状でパターンが形成されていることが確認できた。
Next, pattern exposure was performed using a reduction optical type stepper using a KrF excimer laser beam as a light source (exposure amount: 24 mJ / cm 2 ), baking was performed at 98 ° C. for 120 seconds, and then 0.21 standard. Was developed with a TMAH developer solution to form a 0.18 μmL / S pattern 15a (FIG. 3B). The thickness of the resist pattern 15a is 170 nm. Observation of the cross section of the resist pattern 15a confirmed that the pattern was formed in a good shape as shown in FIG.

【0155】以上のように形成したレジストパターン1
5aをエッチングマスクとして用い、マグネトロン型R
IE装置によりシリコン有機膜14をエッチングした
(図3(c))。即ち、ソースガスとして流量100S
CCMのCl2 を用い、励起電力300W、真空度30
mTorrのエッチング条件でエッチングを行ったとこ
ろ、レジストパターン15aが途中で削れてなくなるこ
となく、エッチングすることができた。
The resist pattern 1 formed as described above
5a as an etching mask and a magnetron type R
The silicon organic film 14 was etched by the IE device (FIG. 3C). That is, the flow rate is 100 S as the source gas.
Using CCM Cl 2 , excitation power 300W, vacuum degree 30
When etching was performed under the etching conditions of mTorr, etching could be performed without removing the resist pattern 15a in the middle.

【0156】なお、エッチング終了後、残ったレジスト
パターン15aの膜厚は90nmである。エッチング前
のレジストパターン15aのパターン幅dとポリシラン
パターン14aのパターン幅eを断面SEMで測定する
ことにより、有機シリコン膜のエッチングで生じた寸法
変換差(ポリシランパターンのパターン幅e−レジスト
パターンのパターン幅d)を求めたところ、7nmある
ことが分った。
After completion of the etching, the remaining resist pattern 15a has a thickness of 90 nm. By measuring the pattern width d of the resist pattern 15a before etching and the pattern width e of the polysilane pattern 14a with a cross-sectional SEM, a dimensional conversion difference (pattern width e of polysilane pattern−pattern of resist pattern) caused by etching of the organic silicon film is obtained. When the width d) was determined, it was found to be 7 nm.

【0157】さらに、ポリシランパターン14aをエッ
チングマスクとしてとして用いて、マグネトロン型RI
E装置により、スピンオングラス膜13とSiO2 膜1
2を一括してエッチングした(図4(a))。ソースガ
スとして流量10SCCMのC4 8 、流量100SC
CMのCO、流量200SCCMのArを用い、励起電
力800W、真空度60mTorrのエッチング条件で
エッチングを行ったところ、ポリシランパターン14a
が途中で削れてなくなることなく、エッチングを行なう
ことができた。
Further, using the polysilane pattern 14a as an etching mask, a magnetron type RI is used.
Spin-on-glass film 13 and SiO 2 film 1
2 were collectively etched (FIG. 4A). C 4 F 8 with a flow rate of 10 SCCM and a flow rate of 100 SC as a source gas
When etching was performed using CO of CM and Ar at a flow rate of 200 SCCM under the etching conditions of an excitation power of 800 W and a degree of vacuum of 60 mTorr, the polysilane pattern 14a was obtained.
Was able to be etched without being removed in the middle.

【0158】エッチング前のレジストパターン幅dと加
工終了後のSiO2 膜パターン12aのパターン幅fを
断面SEMで測定することにより、ポリシラン膜14、
スピンオングラス膜13、およびSiO2 膜12のエッ
チングで生じた寸法変換差(SiO2 膜パターン12a
のパターン幅f−レジストパターン15aのパターン幅
d)を求めたところ、12nmで許容範囲内(目標加工
寸法0.18μmの10%以内)にあることが分った。
By measuring the resist pattern width d before etching and the pattern width f of the SiO 2 film pattern 12a after processing by a cross-sectional SEM, the polysilane film 14,
The dimensional conversion difference (SiO 2 film pattern 12a) generated by etching the spin-on-glass film 13 and the SiO 2 film 12
When the pattern width f) of the resist pattern 15a was determined, it was found that the pattern width f was within the allowable range at 12 nm (within 10% of the target processing dimension of 0.18 μm).

【0159】次に、0.27規定のTMAH現像液にウ
ェハー基板11を120秒間浸漬した後、純水でウェハ
ー11の表面を洗浄し、スピンオングラス膜パターン1
3aを溶解除去した。その際、ポリシランパターン14
aとレジストパターン15aも下地のスピンオングラス
膜パターン13aが除去されたため、同時に除去するこ
とができた(図4(b))。剥離後、SiO2 膜パター
ン12aの膜厚を測定したところ、300nmであり、
SiO2 膜パターン12aは溶解していないことが分っ
た。
Next, after immersing the wafer substrate 11 in a 0.27 N TMAH developer for 120 seconds, the surface of the wafer 11 was washed with pure water to obtain a spin-on-glass film pattern 1.
3a was dissolved and removed. At this time, the polysilane pattern 14
a and the resist pattern 15a could be removed at the same time because the underlying spin-on-glass film pattern 13a was removed (FIG. 4B). After peeling, the thickness of the SiO 2 film pattern 12a was measured, and was found to be 300 nm.
It was found that the SiO 2 film pattern 12a was not dissolved.

【0160】また、SiO2 膜パターンの開口部の直下
に位置するシリコンウエハー部分も溶解されておらず、
レジストパターン、ポリシランパターン、スピンオング
ラス膜パターンを、SiO2 膜パターンおよびシリコン
ウエハーと選択的に剥離することができた。
Also, the silicon wafer portion located immediately below the opening of the SiO 2 film pattern was not dissolved.
The resist pattern, polysilane pattern, and spin-on-glass film pattern could be selectively removed from the SiO 2 film pattern and the silicon wafer.

【0161】実施例6 本実施例では、実施例2において、パターン露光を電子
ビームで行った場合について説明する。まず実施例2と
同様にして、シリコンウエハー1上にTEOS酸化膜
2、下層レジスト3、ポリシリコン4、およびレジスト
5を順次形成した。次いで、電子ビーム描画装置(JB
X−5DII、JEOL社製)を用い、加速電圧50k
eV、ドーズ量10μC/cm2 で描画を行った。次
に、実施例1と同様にして露光後の加熱、現像処理を行
ったところ、図1(b)に示すように、良好な形状で
0.18μmラインアンドスペースパターン5aが形成
できていることが分かった。
Embodiment 6 In this embodiment, the case where the pattern exposure is performed by an electron beam in Embodiment 2 will be described. First, in the same manner as in Example 2, a TEOS oxide film 2, a lower resist 3, a polysilicon 4, and a resist 5 were sequentially formed on a silicon wafer 1. Next, an electron beam writing apparatus (JB
X-5DII, manufactured by JEOL) at an acceleration voltage of 50 k
Writing was performed at eV and a dose of 10 μC / cm 2 . Next, heating and development after exposure were performed in the same manner as in Example 1. As shown in FIG. 1B, a 0.18 μm line and space pattern 5a having a good shape was formed. I understood.

【0162】その後、実施例2と同様にしてTEOS酸
化膜2を加工した後、下層レジストパターン3aをアニ
ソールで溶解除去することによって、レジストパターン
5a、ポリシリコンパターン4aおよび下層レジストパ
ターン3aを、TEOS酸化膜パターン2aおよびシリ
コンウエハー1に対し選択的に剥離した。
After processing the TEOS oxide film 2 in the same manner as in Example 2, the resist pattern 5a, the polysilicon pattern 4a and the lower resist pattern 3a are removed by dissolving and removing the lower resist pattern 3a with anisole. The oxide film pattern 2a and the silicon wafer 1 were selectively peeled off.

【0163】このように、本発明では、パターン露光
を、紫外光のみならず電子ビームを用いて行うことも可
能である。レジスト直下が導電性のあるシリコン膜から
なるため、描画中にチャージアップが生ずることなく、
位置ずれのないレジストパターンを得ることができる。
As described above, according to the present invention, pattern exposure can be performed using not only ultraviolet light but also an electron beam. Since the area directly under the resist is made of a conductive silicon film, there is no charge-up during writing,
A resist pattern without displacement can be obtained.

【0164】実施例7 本実施例では、実施例4において、パターン露光を電子
ビームで行った場合について説明する。まず実施例2と
同様にして、シリコンウエハー上にTEOS酸化膜、下
層レジスト、ポリシリコン、およびレジストを順次形成
した。次いで、電子ビーム描画装置(JBX−5DI
I、JEOL社製)を用い、加速電圧50keV、ドー
ズ量10μC/cm2 で描画を行った。次に、実施例1
と同様にして露光後の加熱、現像処理を行ったところ、
図1(b)に示すように、良好な形状で0.18μmラ
インアンドスペースパターンが形成できていることが分
かった。
Embodiment 7 In this embodiment, the case where pattern exposure is performed by an electron beam in Embodiment 4 will be described. First, in the same manner as in Example 2, a TEOS oxide film, a lower layer resist, polysilicon, and a resist were sequentially formed on a silicon wafer. Next, an electron beam writing apparatus (JBX-5DI)
I, manufactured by JEOL) at an acceleration voltage of 50 keV and a dose of 10 μC / cm 2 . Next, Example 1
After heating and developing after exposure in the same manner as
As shown in FIG. 1B, it was found that a 0.18 μm line and space pattern was formed in a good shape.

【0165】その後、実施例2と同様にしてTEOS酸
化膜を加工した後、下層レジストをアニソールで溶解除
去することによって、レジストパターン、ポリシリコン
および下層レジストを、TEOS酸化膜およびシリコン
ウエハーに対し選択的に剥離した。
Then, after processing the TEOS oxide film in the same manner as in Example 2, the lower resist is dissolved and removed with anisole to select a resist pattern, polysilicon and a lower resist with respect to the TEOS oxide film and the silicon wafer. Peeled off.

【0166】このように、本発明では、パターン露光
を、紫外光のみならず電子ビームを用いて行うことも可
能である。レジスト直下が導電性のあるシリコン膜から
なるため、描画中にチャージアップが生ずることなく、
位置ずれのないレジストパターンを得ることができる。
As described above, in the present invention, pattern exposure can be performed using not only ultraviolet light but also an electron beam. Since the area directly under the resist is made of a conductive silicon film, there is no charge-up during writing,
A resist pattern without displacement can be obtained.

【0167】実施例8 上記化学式1−1、1−13、2−1、および2−12
を溶媒であるアニソール、キシレン、トルエン、クメン
にそれぞれ溶解し、16種類のポリシラン溶液を調製し
た。配合量はいずれの溶液でもポリシラン8g、溶媒9
2gとした。次いで、溶液を紫外光から遮断する遮光瓶
に入れ、室温で一ヶ月間保存した。そして、それぞれの
溶液をシリコンウエハー上に塗布し、80℃で60秒間
ベーキングを行って溶媒を気化させ、シリコン有機膜を
成膜した。
Example 8 The above chemical formulas 1-1, 1-13, 2-1 and 2-12
Was dissolved in solvents such as anisole, xylene, toluene and cumene to prepare 16 kinds of polysilane solutions. The compounding amount was 8 g of polysilane and 9
2 g. The solution was then placed in a light tight bottle protected from ultraviolet light and stored at room temperature for one month. Then, each solution was applied on a silicon wafer and baked at 80 ° C. for 60 seconds to evaporate the solvent to form a silicon organic film.

【0168】次に、赤外分光法でそれぞれの膜について
シロキサン結合の生成量を調べた。シロキサン結合によ
る吸収強度をSi−フェニル結合による吸収強度で規格
化して求めたシロキサン結合の生成量(=シロキサン結
合よる吸収ピークの面積/Si−フェニル結合による吸
収ピークの面積)を下記表1に示す。
Next, the amount of siloxane bonds formed in each film was examined by infrared spectroscopy. Table 1 shows the amount of siloxane bond generation (= area of absorption peak due to siloxane bond / area of absorption peak due to Si-phenyl bond) obtained by normalizing the absorption strength due to siloxane bond with the absorption strength due to Si-phenyl bond. .

【0169】[0169]

【表1】 [Table 1]

【0170】続いて、実施例1と同様の方法で成膜した
レジストとシリコン有機膜とのエッチング選択比を調べ
た結果を下記表2に示す。エッチング条件は、実施例1
でレジストパターンをエッチングマスクとしてシリコン
有機膜をエッチングした場合と同様の条件とした。ま
た、エッチングレートの測定はべた膜で行った。レジス
トのエッチングレートは、75nm/分である。なお、
エッチング選択比は、(シリコン有機膜のエッチングレ
ート)/(レジストのエッチングレート)で定義した。
Subsequently, the results of examining the etching selectivity between the resist formed by the same method as in Example 1 and the silicon organic film are shown in Table 2 below. The etching conditions were the same as in Example 1.
The conditions were the same as when the silicon organic film was etched using the resist pattern as an etching mask. The measurement of the etching rate was performed on a solid film. The etching rate of the resist is 75 nm / min. In addition,
The etching selectivity was defined as (etching rate of silicon organic film) / (etching rate of resist).

【0171】[0171]

【表2】 [Table 2]

【0172】上記表2から、酸化を抑えたシリコン有機
膜を成膜することができたため、レジストと高いエッチ
ング選択比がとれていることが分かる。
From Table 2, it can be seen that a silicon organic film with suppressed oxidation was formed, and thus a high etching selectivity with the resist was obtained.

【0173】比較例6 実施例4の4種類のポリシランをシクロヘキサノンに溶
解して、4種類の溶液材料を作成した。配合量はいずれ
の溶液でもポリシラン8g、シクロヘキサノン92gと
した。そして、実施例8と同様にして酸化の進行状態、
およびレジストとのエッチング選択比を調べた。
Comparative Example 6 Four kinds of solution materials were prepared by dissolving four kinds of polysilanes of Example 4 in cyclohexanone. The blending amounts were 8 g of polysilane and 92 g of cyclohexanone in each solution. Then, the progress of oxidation is performed in the same manner as in Example 8,
And the etching selectivity with resist.

【0174】上記表1から、シクロヘキサノン溶媒を用
いて調製した溶液で作成した膜では酸化が進んでおり、
溶液保存中にポリシランンの酸化が進んでいることがわ
かる。これは、シクロヘキサノンが他の化合物と反応し
易い不飽和結合をもっているためと思われる。また、上
記表2から、シリコン有機膜の酸化が進んでいるため
に、レジストとのエッチング選択比が低下していること
が分かる。
From Table 1 above, it can be seen that the oxidation of the film made with the solution prepared using the cyclohexanone solvent is advanced,
It can be seen that the oxidation of polysilane progressed during storage of the solution. This is presumably because cyclohexanone has an unsaturated bond that easily reacts with other compounds. Also, from Table 2 above, it can be seen that the oxidation selectivity with respect to the resist is lowered due to the progress of oxidation of the silicon organic film.

【0175】本比較例と実施例との比較から、不飽和結
合を含まない溶媒を用いることにより、貯蔵安定性が増
加し、酸化の進行を抑えたシリコン有機膜を抑えること
ができることがわかる。その結果、レジストとのエッチ
ング選択比を高く維持することが可能となり、レジスト
の膜厚を薄くすることができる。
From a comparison between this comparative example and the example, it is found that the use of a solvent containing no unsaturated bond increases the storage stability and suppresses a silicon organic film in which the progress of oxidation is suppressed. As a result, the etching selectivity with the resist can be kept high, and the thickness of the resist can be reduced.

【0176】[0176]

【発明の効果】以上詳述したように、本発明の第1およ
び第2の態様によれば、3層レジスト法において、レジ
ストパターンをマスクとして用いて中間層と下層レジス
トを一括してエッチングすることにより、被加工膜の加
工までに必要なエッチング工程数を減らし、かつエッチ
ング時に生じる寸法変化差を低減し、寸法制御性よく被
加工膜を加工することが可能である。また、本発明の第
3および第4の態様によれば、3層レジスト法におい
て、中間層をマスクとして用いて下層レジストおよび被
加工膜を一括してエッチングすることにより、被加工膜
の加工までに必要なエッチング工程数を減らし、かつエ
ッチング時に生じる寸法変化差を低減し、寸法制御性よ
く被加工膜を加工することが可能である。
As described above in detail, according to the first and second aspects of the present invention, in the three-layer resist method, the intermediate layer and the lower layer resist are collectively etched using the resist pattern as a mask. Accordingly, it is possible to reduce the number of etching steps required until processing of the film to be processed, reduce a dimensional change difference generated at the time of etching, and process the film to be processed with good dimensional control. Further, according to the third and fourth aspects of the present invention, in the three-layer resist method, the lower resist and the film to be processed are collectively etched using the intermediate layer as a mask, so that the processing of the film to be processed is performed. It is possible to reduce the number of etching steps required for etching, reduce the difference in dimensional change occurring during etching, and process the film to be processed with good dimensional control.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1および第2の態様に係る半導体装
置の製造方法を工程順に示す断面図。
FIG. 1 is a sectional view showing a method of manufacturing a semiconductor device according to first and second embodiments of the present invention in the order of steps.

【図2】本発明の第1および第2の態様に係る半導体装
置の製造方法を工程順に示す断面図。
FIG. 2 is a sectional view showing a method of manufacturing a semiconductor device according to first and second embodiments of the present invention in the order of steps.

【図3】本発明の第3および第4の態様に係る半導体装
置の製造方法を工程順に示す断面図。
FIG. 3 is a sectional view illustrating a method of manufacturing a semiconductor device according to third and fourth embodiments of the present invention in the order of steps.

【図4】本発明の第3および第4の態様に係る半導体装
置の製造方法を工程順に示す断面図。
FIG. 4 is a sectional view illustrating a method of manufacturing a semiconductor device according to third and fourth embodiments of the present invention in the order of steps.

【図5】比較例1に係る半導体装置の製造方法を工程順
に示す断面図。
FIG. 5 is a sectional view showing the method of manufacturing the semiconductor device according to Comparative Example 1 in the order of steps.

【図6】比較例1に係る半導体装置の製造方法を工程順
に示す断面図。
FIG. 6 is a sectional view showing the method of manufacturing the semiconductor device according to Comparative Example 1 in the order of steps.

【図7】比較例2におけるレジストパターンの断面形状
をSEM観察した結果を示す断面図。
FIG. 7 is a cross-sectional view showing the result of SEM observation of the cross-sectional shape of a resist pattern in Comparative Example 2.

【図8】比較例3に係る半導体装置の製造方法を工程順
に示す断面図。
FIG. 8 is a sectional view illustrating a method of manufacturing a semiconductor device according to Comparative Example 3 in the order of steps.

【図9】比較例3に係る半導体装置の製造方法を工程順
に示す断面図。
FIG. 9 is a sectional view illustrating a method of manufacturing a semiconductor device according to Comparative Example 3 in the order of steps.

【図10】比較例4に係る半導体装置の製造方法を工程
順に示す断面図。
FIG. 10 is a sectional view illustrating a method of manufacturing a semiconductor device according to Comparative Example 4 in the order of steps.

【図11】比較例4に係る半導体装置の製造方法を工程
順に示す断面図。
FIG. 11 is a sectional view illustrating a method of manufacturing a semiconductor device according to Comparative Example 4 in the order of steps.

【図12】比較例5に係る半導体装置の製造方法を工程
順に示す断面図。
FIG. 12 is a sectional view showing the method of manufacturing the semiconductor device according to Comparative Example 5 in the order of steps;

【図13】比較例5に係る半導体装置の製造方法を工程
順に示す断面図。
FIG. 13 is a sectional view showing the method of manufacturing the semiconductor device according to Comparative Example 5 in the order of steps.

【符号の説明】[Explanation of symbols]

1,11,21,31,41,51…シリコン基板 2,22…タングステン膜 2a,22a…タングステンパターン 3,23,26,43…下層レジスト膜 3a,23a,26a,43a…下層レジストパターン 4,14…アモルファスシリコン膜 4a,14a…アモルファスシリコンパターン 5,25,45…上層レジスト膜 5a,25a,45a…上層レジスト膜パターン 24,44…SiO2 膜 24a,44a…SiO2 膜パターン 15,27,34,54…レジスト 15a,27a,34a,54a…レジストパターン 12,32,42,52…TEOS酸化膜 12a,32a,42a,52a…TEOS酸化膜パタ
ーン 13…スピンオングラス 13a…スピンオングラスパターン 33,53…ポリシリコン膜 33a,53a…ポリシリコンパターン
1, 11, 21, 31, 41, 51: silicon substrate 2, 22: tungsten film 2a, 22a: tungsten pattern 3, 23, 26, 43: lower resist film 3a, 23a, 26a, 43a: lower resist pattern 4, 14 ... amorphous silicon film 4a, 14a ... amorphous silicon pattern 5,25,45 ... top resist film 5a, 25a, 45a ... upper resist film pattern 24, 44 ... SiO 2 film 24a, 44a ... SiO 2 film pattern 15 and 27, 34, 54 ... resist 15a, 27a, 34a, 54a ... resist pattern 12, 32, 42, 52 ... TEOS oxide film 12a, 32a, 42a, 52a ... TEOS oxide film pattern 13 ... spin-on glass 13a ... spin-on glass pattern 33, 53 ... Polysilicon film 33a, 5 3a: polysilicon pattern

Claims (13)

【特許請求の範囲】[Claims] 【請求項1】 (a)被加工膜上に、主鎖にSi−Si
結合を有する化合物を含むシリコン有機膜を形成する工
程と、 (b)前記シリコン有機膜上にシリコン膜を形成する工
程と、 (c)前記シリコン膜上に感光性樹脂膜を形成する工程
と、 (d)前記感光性樹脂膜に対してパターン露光を行い、
レジストパターンを形成する工程と、 (e)前記レジストパターンをエッチングマスクとして
用いて、前記シリコン膜および前記シリコン有機膜を一
括してエッチングする工程と、 (g)前記エッチングにより形成された前記シリコン膜
及び前記シリコン有機膜のパターンをエッチングマスク
として用いて、前記被加工膜をエッチングする工程と、 (h)前記シリコン有機膜を除去する工程と、を具備す
る半導体装置の製造方法。
1. (a) On a film to be processed, Si-Si is added to a main chain.
Forming a silicon organic film containing a compound having a bond; (b) forming a silicon film on the silicon organic film; and (c) forming a photosensitive resin film on the silicon film. (D) performing pattern exposure on the photosensitive resin film;
A step of forming a resist pattern; (e) a step of collectively etching the silicon film and the silicon organic film using the resist pattern as an etching mask; and (g) the silicon film formed by the etching. And (h) removing the silicon organic film by using the pattern of the silicon organic film as an etching mask; and (h) removing the silicon organic film.
【請求項2】 前記被加工膜は、シリコン基板、導電性
膜、有機系材料からなる絶縁膜、およびシリコン原子を
含む絶縁膜からなる群から選ばれた一種であることを特
徴とする請求項1に記載の半導体装置の製造方法。
2. The film to be processed is a kind selected from the group consisting of a silicon substrate, a conductive film, an insulating film made of an organic material, and an insulating film containing silicon atoms. 2. The method for manufacturing a semiconductor device according to item 1.
【請求項3】 (a)シリコン原子を含む絶縁膜上に、
シロキサン結合を有する化合物を含むシリコン有機膜を
形成する工程と、 (b)前記シリコン有機膜上にシリコン膜を形成する工
程と、 (c)前記シリコン膜上に感光性樹脂膜を形成する工程
と、 (d)前記感光性樹脂膜に対してパターン露光を行い、
レジストパターンを形成する工程と、 (e)前記レジストパターンをエッチングマスクとして
用いて、前記シリコン膜をエッチングする工程と、 (g)前記エッチングにより形成された前記シリコン膜
のパターンをエッチングマスクとして用いて、前記シリ
コン有機膜と前記シリコン原子を含む絶縁膜を一括して
エッチングする工程と、 (h)前記シリコン有機膜を除去する工程と、を具備す
る半導体装置の製造方法。
3. (a) On an insulating film containing silicon atoms,
Forming a silicon organic film containing a compound having a siloxane bond; (b) forming a silicon film on the silicon organic film; and (c) forming a photosensitive resin film on the silicon film. (D) performing pattern exposure on the photosensitive resin film,
Forming a resist pattern; (e) etching the silicon film using the resist pattern as an etching mask; and (g) using the silicon film pattern formed by the etching as an etching mask. A method of collectively etching the silicon organic film and the insulating film containing silicon atoms; and (h) removing the silicon organic film.
【請求項4】 前記シリコン有機膜は、シロキサン結合
を有する化合物を有機溶剤に溶解して得た溶液を塗布し
た後、ベーキングすることにより形成される請求項3に
記載の半導体装置の製造方法。
4. The method for manufacturing a semiconductor device according to claim 3, wherein the silicon organic film is formed by applying a solution obtained by dissolving a compound having a siloxane bond in an organic solvent and then baking.
【請求項5】 前記シリコン有機膜は、Si−Si結合
を主鎖に有する化合物を有機溶剤に溶解して得た溶液を
塗布し、ベーキングし、酸素の存在する雰囲気下で加熱
するかまたはエネルギービームを照射することにより形
成される請求項3に記載の半導体装置の製造方法。
5. The silicon organic film is coated with a solution obtained by dissolving a compound having a Si—Si bond in a main chain in an organic solvent, baked, and heated under an atmosphere in which oxygen is present, or heated or baked in an oxygen-containing atmosphere. 4. The method according to claim 3, wherein the semiconductor device is formed by irradiating a beam.
【請求項6】 (a)シリコン原子を含む絶縁膜上に、
シロキサン結合を有する化合物を含む第1のシリコン有
機膜を形成する工程と、 (b)前記第1のシリコン有機膜上に、主鎖にSi−S
i結合を有する化合物を含む第2のシリコン有機膜を形
成する工程と、 (c)前記第2のシリコン有機膜上に感光性樹脂膜を形
成する工程と、 (d)前記感光性樹脂膜に対してパターン露光を行い、
レジストパターンを形成する工程と、 (e)前記レジストパターンをエッチングマスクとして
用いて、前記第2のシリコン有機膜をエッチングする工
程と、 (g)前記エッチングにより形成された前記第2のシリ
コン有機膜のパターンをエッチングマスクとして用い
て、前記第1のシリコン有機膜および前記シリコン原子
を含む絶縁膜を一括してエッチングする工程と、 (h)前記第1のシリコン有機膜を除去する工程と、を
具備する半導体装置の製造方法。
6. (a) On an insulating film containing silicon atoms,
Forming a first silicon organic film containing a compound having a siloxane bond; and (b) forming a main chain of Si—S on the first silicon organic film.
forming a second silicon organic film containing a compound having an i-bond; (c) forming a photosensitive resin film on the second silicon organic film; and (d) forming a photosensitive resin film on the photosensitive resin film. Pattern exposure,
A step of forming a resist pattern; (e) a step of etching the second silicon organic film using the resist pattern as an etching mask; and (g) a second silicon organic film formed by the etching. (H) using the pattern (1) as an etching mask to collectively etch the first silicon organic film and the insulating film containing silicon atoms; and (h) removing the first silicon organic film. A method for manufacturing a semiconductor device provided.
【請求項7】 前記第1のシリコン有機膜は、シロキサ
ン結合を有する化合物を有機溶剤に溶解して得た溶液を
塗布した後、ベーキングすることにより形成される請求
項6に記載の半導体装置の製造方法。
7. The semiconductor device according to claim 6, wherein the first silicon organic film is formed by applying a solution obtained by dissolving a compound having a siloxane bond in an organic solvent, and then baking. Production method.
【請求項8】 前記第1のシリコン有機膜は、Si−S
i結合を主鎖に有する化合物を有機溶剤に溶解して得た
溶液を塗布し、ベーキングし、酸素の存在する雰囲気下
で加熱するかまたは紫外光を照射することにより形成さ
れる請求項6に記載の半導体装置の製造方法。
8. The method according to claim 1, wherein the first silicon organic film is formed of Si—S
7. The method according to claim 6, wherein a solution obtained by dissolving a compound having an i-bond in the main chain in an organic solvent is applied, baked, and heated or irradiated with ultraviolet light in an atmosphere where oxygen is present. The manufacturing method of the semiconductor device described in the above.
【請求項9】 前記主鎖にSi−Si結合を有する化合
物は、ポリシランまたはポリシレンである請求項1、
5、6および8のいずれかの項に記載の半導体装置の製
造方法。
9. The compound having a Si—Si bond in the main chain is polysilane or polysilene.
9. The method for manufacturing a semiconductor device according to any one of items 5, 6, and 8.
【請求項10】 前記工程(e)または(g)は、反応
性プラズマエッチング、マグネトロン反応性プラズマエ
ッチング、電子ビームプラズマエッチング、TCPエッ
チング、ICPエッチング、またはECRプラズマエッ
チングにより行われる請求項1、3および6のいずれか
の項に記載の半導体装置の製造方法。
10. The method according to claim 1, wherein said step (e) or (g) is performed by reactive plasma etching, magnetron reactive plasma etching, electron beam plasma etching, TCP etching, ICP etching, or ECR plasma etching. 7. The method of manufacturing a semiconductor device according to any one of the above items.
【請求項11】 前記シリコン原子を含む絶縁膜は、酸
化シリコン膜、窒化シリコン膜、酸化窒化シリコン膜、
またはスピンオングラス膜である請求項2、3および6
のいずれかの項に記載の半導体装置の製造方法。
11. The insulating film containing silicon atoms includes a silicon oxide film, a silicon nitride film, a silicon oxynitride film,
Or a spin-on-glass film.
13. The method for manufacturing a semiconductor device according to any one of the above items.
【請求項12】 (a)被加工膜上に、主鎖にSi−S
i結合を有する化合物を含むシリコン有機膜を形成する
工程と、 (b)前記シリコン有機膜上に感光性樹脂膜を形成する
工程と、 (c)前記感光性樹脂膜に対してパターン露光を行い、
レジストパターンを形成する工程とを具備し、前記シリ
コン有機膜が、前記主鎖にSi−Si結合を有する化合
物と、不飽和結合を含有しない溶媒を少なくとも含む溶
液を塗布することによって成膜されることを特徴とする
パターン形成方法。
12. (a) On the film to be processed, Si—S is added to the main chain.
forming a silicon organic film containing a compound having an i-bond; (b) forming a photosensitive resin film on the silicon organic film; and (c) performing pattern exposure on the photosensitive resin film. ,
Forming a resist pattern, wherein the silicon organic film is formed by applying a solution containing at least a compound having a Si-Si bond in the main chain and a solvent containing no unsaturated bond. A pattern forming method, characterized in that:
【請求項13】 前記主鎖にSi−Si結合を有する化
合物が、前記主鎖のシリコンに水素が結合した化合物で
あることを特徴とする請求項12に記載のパターン形成
方法。
13. The pattern forming method according to claim 12, wherein the compound having a Si—Si bond in the main chain is a compound in which hydrogen is bonded to silicon in the main chain.
JP8727197A 1997-03-24 1997-03-24 Production of semiconductor device and pattern forming method Pending JPH10268526A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8727197A JPH10268526A (en) 1997-03-24 1997-03-24 Production of semiconductor device and pattern forming method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8727197A JPH10268526A (en) 1997-03-24 1997-03-24 Production of semiconductor device and pattern forming method

Publications (1)

Publication Number Publication Date
JPH10268526A true JPH10268526A (en) 1998-10-09

Family

ID=13910111

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8727197A Pending JPH10268526A (en) 1997-03-24 1997-03-24 Production of semiconductor device and pattern forming method

Country Status (1)

Country Link
JP (1) JPH10268526A (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020068958A (en) * 2001-02-22 2002-08-28 닛뽄덴끼 가부시끼가이샤 Method of Manufacturing a Semiconductor Device and the Semiconductor Device
WO2004003988A1 (en) * 2002-06-27 2004-01-08 Tokyo Electron Limited Plasma processing method
US6756319B2 (en) 2000-07-06 2004-06-29 Samsung Electronics Co., Ltd. Silica microstructure and fabrication method thereof
JP2005050908A (en) * 2003-07-30 2005-02-24 Hitachi High-Technologies Corp Method and apparatus for etching lsi device
US6887649B2 (en) 2001-06-14 2005-05-03 Fujitsu Limited Multi-layered resist structure and manufacturing method of semiconductor device
US6960533B2 (en) 2000-02-25 2005-11-01 Hitachi, Ltd. Method of processing a sample surface having a masking material and an anti-reflective film using a plasma
US6986851B2 (en) 2001-08-20 2006-01-17 Tokyo Electron Limited Dry developing method
JP2007005377A (en) * 2005-06-21 2007-01-11 Tokyo Electron Ltd Plasma etching method, control program, computer storage medium and plasma etching apparatus
JP2007165703A (en) * 2005-12-15 2007-06-28 Nec Electronics Corp Patterning method for multi-layered resist film and manufacturing method for semiconductor device
JP2007273866A (en) * 2006-03-31 2007-10-18 Tokyo Electron Ltd Etching method, plasma treatment device, storage medium
US7473377B2 (en) 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US7582220B1 (en) 1999-10-26 2009-09-01 Tokyo Electron Limited Etching method
US8163460B2 (en) 2005-05-24 2012-04-24 Nissan Chemical Industries, Ltd. Underlayer coating forming composition for lithography containing polysilane compound
US8177990B2 (en) 2006-03-31 2012-05-15 Tokyo Electron Limited Etching method, plasma processing system and storage medium
JP2012521659A (en) * 2009-03-24 2012-09-13 東京エレクトロン株式会社 Plasma etching method
KR20140016108A (en) * 2012-07-30 2014-02-07 엘지디스플레이 주식회사 Method of fabricating the organic light emitting diode display device

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7582220B1 (en) 1999-10-26 2009-09-01 Tokyo Electron Limited Etching method
US6960533B2 (en) 2000-02-25 2005-11-01 Hitachi, Ltd. Method of processing a sample surface having a masking material and an anti-reflective film using a plasma
US6756319B2 (en) 2000-07-06 2004-06-29 Samsung Electronics Co., Ltd. Silica microstructure and fabrication method thereof
US6972453B2 (en) 2001-02-22 2005-12-06 Nec Corporation Method of manufacturing a semiconductor device capable of etching a multi-layer of organic films at a high selectivity
KR20020068958A (en) * 2001-02-22 2002-08-28 닛뽄덴끼 가부시끼가이샤 Method of Manufacturing a Semiconductor Device and the Semiconductor Device
US6887649B2 (en) 2001-06-14 2005-05-03 Fujitsu Limited Multi-layered resist structure and manufacturing method of semiconductor device
US6986851B2 (en) 2001-08-20 2006-01-17 Tokyo Electron Limited Dry developing method
US7473377B2 (en) 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
WO2004003988A1 (en) * 2002-06-27 2004-01-08 Tokyo Electron Limited Plasma processing method
JP2005050908A (en) * 2003-07-30 2005-02-24 Hitachi High-Technologies Corp Method and apparatus for etching lsi device
US8163460B2 (en) 2005-05-24 2012-04-24 Nissan Chemical Industries, Ltd. Underlayer coating forming composition for lithography containing polysilane compound
JP4652140B2 (en) * 2005-06-21 2011-03-16 東京エレクトロン株式会社 Plasma etching method, control program, computer storage medium
JP2007005377A (en) * 2005-06-21 2007-01-11 Tokyo Electron Ltd Plasma etching method, control program, computer storage medium and plasma etching apparatus
JP2007165703A (en) * 2005-12-15 2007-06-28 Nec Electronics Corp Patterning method for multi-layered resist film and manufacturing method for semiconductor device
JP4734111B2 (en) * 2005-12-15 2011-07-27 ルネサスエレクトロニクス株式会社 Multilayer resist film patterning method and semiconductor device manufacturing method
JP2007273866A (en) * 2006-03-31 2007-10-18 Tokyo Electron Ltd Etching method, plasma treatment device, storage medium
US8177990B2 (en) 2006-03-31 2012-05-15 Tokyo Electron Limited Etching method, plasma processing system and storage medium
JP2012521659A (en) * 2009-03-24 2012-09-13 東京エレクトロン株式会社 Plasma etching method
KR20140016108A (en) * 2012-07-30 2014-02-07 엘지디스플레이 주식회사 Method of fabricating the organic light emitting diode display device

Similar Documents

Publication Publication Date Title
US10964541B2 (en) Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
US7482280B2 (en) Method for forming a lithography pattern
JPH10268526A (en) Production of semiconductor device and pattern forming method
WO2009096371A1 (en) Fine pattern mask, method for producing the same, and method for forming fine pattern using the mask
US8759220B1 (en) Patterning process
JP2002014474A (en) Pattern forming method
JP4640657B2 (en) Use of spin-on photopatternable interlayer dielectric materials and intermediate semiconductor device structures utilizing the same
JP4004014B2 (en) Method for forming resist pattern
JPH10209134A (en) Pattern-forming method
US6806021B2 (en) Method for forming a pattern and method of manufacturing semiconductor device
JP3872928B2 (en) Pattern formation method
US7235490B2 (en) Method of manufacturing semiconductor device
JP3772077B2 (en) Pattern formation method
JP2002198283A (en) Resist pattern formation method
JP2000310863A (en) Pattern forming method
JP2674589B2 (en) Method of forming resist pattern
JP2002296791A (en) Method for forming pattern
JP2000031118A (en) Formation of pattern
US10388521B2 (en) Method to increase the lithographic process window of extreme ultra violet negative tone development resists
JP2002343767A (en) Pattern forming method
TW202407456A (en) Method for forming a resist pattern
JP3035536B1 (en) Semiconductor pattern forming apparatus and semiconductor pattern forming method
JP2005158951A (en) Semiconductor device manufacturing method
JPH1124281A (en) Pattern forming method
JP2006163176A (en) Method for forming pattern, and method for manufacturing semiconductor device