JPH10209134A - Pattern-forming method - Google Patents

Pattern-forming method

Info

Publication number
JPH10209134A
JPH10209134A JP24216897A JP24216897A JPH10209134A JP H10209134 A JPH10209134 A JP H10209134A JP 24216897 A JP24216897 A JP 24216897A JP 24216897 A JP24216897 A JP 24216897A JP H10209134 A JPH10209134 A JP H10209134A
Authority
JP
Japan
Prior art keywords
film
organic silicon
etching
silicon film
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP24216897A
Other languages
Japanese (ja)
Other versions
JP3435318B2 (en
Inventor
Yasuhiko Sato
康彦 佐藤
Kiyonobu Onishi
廉伸 大西
Yasuro Mitsuyoshi
靖郎 三吉
Hideto Matsuyama
日出人 松山
Yoshihiko Nakano
義彦 中野
Sawako Fujioka
佐和子 藤岡
Rikako Kawada
利佳子 川田
Shuji Hayase
修二 早瀬
Masaki Narita
雅貴 成田
Hideshi Shiobara
英志 塩原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP24216897A priority Critical patent/JP3435318B2/en
Publication of JPH10209134A publication Critical patent/JPH10209134A/en
Application granted granted Critical
Publication of JP3435318B2 publication Critical patent/JP3435318B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

PROBLEM TO BE SOLVED: To improve the etching selection ratio of the resist and polysilane film, by etching an organic silicon film and transferring a resist pattern to the organic silicon film, by using etching gas which contains at least one kind of specific atoms. SOLUTION: A film 2 to be worked is formed on a wafer substrate 1, on the film an organic silicon film 3 containing silicon and organic silicon compound having bonds to silicon in a main chain is formed. The thickness of the film 3 is preferably 0.005-5μm. The glass transition temperature of the film 3 is at least 0 deg.C. Resist solution is spread on the film 3, and resist 4 is formed by heat treatment. The film thickness of the resist 4 is preferably 0.01-10μm. A resist pattern 5 is formed by development using an alkali developer such as TMAH and choline. The resist pattern 5 is used as an etching mask, the organic silicon film 3 is subjected to dry-etching, and the resist pattern 5 is transferred to the film 3. As the etching gas, at least one or more kinds of gas containing chlorine or bromine or iodine atoms are used.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、有機シリコン膜パ
ターンをエッチングマスクとして用いるパターン形成方
法に関する。
The present invention relates to a pattern forming method using an organic silicon film pattern as an etching mask.

【0002】[0002]

【従来の技術】半導体素子の製造方法においては、半導
体ウェハー上に複数の物質を堆積し、これら堆積により
形成された膜を所望のパターンにパターニングする工程
を多く含んでいる。このパターニング工程は、一般にレ
ジストと呼ばれる感光性物質をウェハー上の被加工膜の
上に堆積し、このレジスト膜を、選択的に紫外光を光源
として用いてパターン露光し、現像することによってな
される。
2. Description of the Related Art A method for manufacturing a semiconductor device includes many steps of depositing a plurality of substances on a semiconductor wafer and patterning a film formed by the deposition into a desired pattern. This patterning process is performed by depositing a photosensitive material, generally called a resist, on a film to be processed on a wafer, pattern-exposing the resist film selectively using ultraviolet light as a light source, and developing the resist film. .

【0003】このようなパターニング工程では、露光光
の被加工膜からの反射光を防ぐことが重要であり、特開
昭49−55280号公報では、レジスト膜と被加工膜
との間に反射防止膜を形成する方法が開示されている。
反射防止膜としては種々の材料が用いられているが、プ
ロセスコストが安価なスピンコーテング法で塗布が可能
な、以下の材料が主に用いられる。
In such a patterning step, it is important to prevent the reflected light of the exposure light from the film to be processed. In Japanese Patent Application Laid-Open No. 49-55280, the antireflection A method for forming a film is disclosed.
Various materials are used for the anti-reflection film, but the following materials that can be applied by a spin coating method with low process cost are mainly used.

【0004】(1)スピングラスに染料を添加した材料
(Jpn.J.Appl.Phys.Vol.35(1
996)pp.L1257−L1259) (2)ポリサルフォンなどのプラズマ分解型の樹脂(特
開昭59−93448号公報) (3)ポリシラン(米国特許5、401、614号公
報)
(1) A material obtained by adding a dye to a spin glass (Jpn. J. Appl. Phys. Vol. 35 (1)
996) pp. L2257-L1259) (2) Plasma decomposition type resin such as polysulfone (JP-A-59-93448) (3) Polysilane (US Pat. No. 5,401,614)

【0005】[0005]

【発明が解決しようとする課題】しかしながら、(1)
の材料では、高解像力を有する化学増幅型レジストを用
いた場合、裾引きや食われが発生し、良好なレジストプ
ロファイルが得られないという問題がある。また、
(2)の材料では、レジストパターンをドライエッチン
グ法で転写する際に、反射防止膜とレジストとのエッチ
ングレートがほぼ等しいために、反射防止膜のエッチン
グ途中でレジストパターンが全てなくなり、所望の寸法
で反射防止膜を加工できないという問題が生じる。特
に、解像度を高めるためにレジストの膜厚を反射防止膜
と同程度まで薄くした場合、この問題はより一層顕著に
なる。
However, (1)
However, when a chemically amplified resist having a high resolution is used, there is a problem that footing or erosion occurs and a good resist profile cannot be obtained. Also,
In the case of the material (2), when the resist pattern is transferred by the dry etching method, since the etching rates of the antireflection film and the resist are substantially equal to each other, the resist pattern completely disappears during the etching of the antireflection film, and the desired size is obtained. This causes a problem that the antireflection film cannot be processed. In particular, when the thickness of the resist is reduced to the same level as that of the anti-reflection film in order to increase the resolution, this problem becomes more remarkable.

【0006】更に、(3)の材料では、レジストパター
ンをドライエッチング法で転写する際に、ポリシラン膜
が変質するという場合があり、ポリシラン膜のエッチン
グを正常に行うのが困難である。また、ポリシラン膜を
ハロゲン系ガスでエッチングすると、レジストパターン
が堆積物で太り、寸法制御性よくエッチングすることが
できないという問題がある。
Further, in the case of the material (3), when the resist pattern is transferred by the dry etching method, the polysilane film may be deteriorated, and it is difficult to perform normal etching of the polysilane film. Further, when the polysilane film is etched with a halogen-based gas, there is a problem that the resist pattern is thickened by deposits and cannot be etched with good dimensional control.

【0007】そこで、本発明の目的は、ポリシラン膜の
変質を生ずることがなく、レジストとポリシラン膜との
エッチング選択比を向上させることを可能としたパター
ン形成方法を提供することにある。
It is an object of the present invention to provide a pattern forming method capable of improving the etching selectivity between a resist and a polysilane film without causing deterioration of the polysilane film.

【0008】本発明の他の目的は、ポリシラン膜のパタ
ーニングの際のレジストパターンの太りを防止したパタ
ーン形成方法を提供することにある。
Another object of the present invention is to provide a pattern forming method capable of preventing a resist pattern from being thickened when patterning a polysilane film.

【0009】また、本発明の他の目的は、寸法制御性よ
くパターンを形成することを可能とするパターン形成方
法を提供することにある。
Another object of the present invention is to provide a pattern forming method capable of forming a pattern with good dimensional control.

【0010】更に、本発明の目的は、残渣を発生させる
ことなく反射防止膜の剥離を行うことを可能とするパタ
ーン形成方法を提供することにある。
It is a further object of the present invention to provide a pattern forming method capable of removing an antireflection film without generating a residue.

【0011】[0011]

【課題を解決するための手段】上記課題を解決するた
め、本発明(請求項1)は、被加工膜上に、シリコンと
シリコンとの結合を主鎖に有する有機シリコン化合物を
含有し、ガラス転移温度が0℃以上の有機シリコン膜を
形成する工程と、前記有機シリコン膜上にレジストパタ
ーンを形成する工程と、塩素、臭素、および沃素からな
る群から選ばれた原子の少なくとも1種を含むエッチン
グガスを用いて、前記有機シリコン膜をエッチングする
ことにより前記レジストパターンを前記有機シリコン膜
に転写する工程とを具備することを特徴とするパターン
形成方法を提供する。
In order to solve the above-mentioned problems, the present invention (claim 1) comprises an organic silicon compound having a bond between silicon and silicon in a main chain on a film to be processed; A step of forming an organic silicon film having a transition temperature of 0 ° C. or higher, a step of forming a resist pattern on the organic silicon film, and at least one atom selected from the group consisting of chlorine, bromine and iodine. Transferring the resist pattern to the organic silicon film by etching the organic silicon film using an etching gas.

【0012】本発明(請求項2)は、上述のパターン形
成方法(請求項1)において、前記レジストパターンお
よび前記有機シリコン膜をエッチングマスクとして用い
て、被加工膜をエッチングする工程を更に具備すること
を特徴とする。
According to the present invention (claim 2), in the above-described pattern forming method (claim 1), the method further comprises a step of etching the film to be processed by using the resist pattern and the organic silicon film as an etching mask. It is characterized by the following.

【0013】本発明(請求項3)は、上述のパターン形
成方法(請求項1)において、前記レジストパターンを
除去する工程と、前記有機シリコン膜をエッチングマス
クとして用いて被加工膜をエッチングする工程を更に具
備することを特徴とする。
According to the present invention (claim 3), in the above-mentioned pattern forming method (claim 1), a step of removing the resist pattern and a step of etching a film to be processed using the organic silicon film as an etching mask. Is further provided.

【0014】本発明(請求項4)は、上述のパターン形
成方法(請求項1)において、前記有機シリコン膜は、
シリコンとシリコンとの結合を主鎖に有する有機シリコ
ン化合物を含む溶液材料で塗膜を形成し、前記塗膜を加
熱することにより形成されることを特徴とする。
According to the present invention (claim 4), in the pattern forming method (claim 1), the organic silicon film is
A coating film is formed from a solution material containing an organic silicon compound having a bond between silicon and silicon in a main chain, and the coating film is formed by heating the coating film.

【0015】本発明(請求項5)は、上述のパターン形
成方法(請求項1)において、前記有機シリコン膜は、
シリコンとシリコンとの結合を主鎖に有する有機シリコ
ン化合物を含む溶液材料で塗膜を形成し、前記有機シリ
コン化合物を架橋することにより形成されることを特徴
とする。
According to the present invention (claim 5), in the pattern forming method (claim 1), the organic silicon film is
The coating is formed by forming a coating film with a solution material containing an organic silicon compound having a bond between silicon and silicon in a main chain, and crosslinking the organic silicon compound.

【0016】本発明(請求項6)は、上述のパターン形
成方法(請求項5)において、前記架橋が前記塗膜を加
熱することによりなされることを特徴とする。
The present invention (Claim 6) is characterized in that, in the above-described pattern forming method (Claim 5), the crosslinking is performed by heating the coating film.

【0017】本発明(請求項7)は、上述のパターン形
成方法(請求項5)において、前記架橋が前記塗膜を加
熱すること、前記塗膜に対してエネルギービームを照射
すること、および前記塗膜を加熱しながら、前記塗膜に
対してエネルギービームを照射することからなる群から
選ばれた方法によってなされることを特徴とする。
According to the present invention (claim 7), in the above-mentioned pattern forming method (claim 5), the crosslinking heats the coating film, irradiates the coating film with an energy beam; The method is characterized by being performed by a method selected from the group consisting of irradiating the coating film with an energy beam while heating the coating film.

【0018】本発明(請求項8)は、上述のパターン形
成方法(請求項1)において、前記有機シリコン化合物
は、下記一般式により表されることを特徴とする。
The present invention (claim 8) is characterized in that, in the above-described pattern forming method (claim 1), the organic silicon compound is represented by the following general formula.

【0019】[0019]

【化3】 (式中、R1 、R2 、R3 、R4 およびR5 は、水素原
子、置換または無置換の炭素数3以下の炭化水素基であ
り、R6 は、水素原子または炭素数1〜20の置換また
は無置換の脂肪族炭化水素基または芳香族炭化水素基を
示す。) 本発明(請求項9)は、上述のパターン形成方法(請求
項1)において、前記被加工膜は、金属配線層、および
シリコン系材料膜からなる群から選ばれた1種であるこ
とを特徴とする。
Embedded image (Wherein, R 1 , R 2 , R 3 , R 4 and R 5 are a hydrogen atom, a substituted or unsubstituted hydrocarbon group having 3 or less carbon atoms, and R 6 is a hydrogen atom or a carbon atom having 1 to 3 carbon atoms. 20 represents a substituted or unsubstituted aliphatic hydrocarbon group or an aromatic hydrocarbon group.) In the present invention (claim 9), in the above-described pattern forming method (claim 1), the film to be processed may be a metal. It is one type selected from the group consisting of a wiring layer and a silicon-based material film.

【0020】本発明(請求項10)は、上述のパターン
形成方法(請求項1)において、前記被加工膜は、シリ
コン系絶縁膜であることを特徴とする。
The present invention (Claim 10) is characterized in that, in the above-described pattern forming method (Claim 1), the film to be processed is a silicon-based insulating film.

【0021】本発明(請求項11)は、上述のパターン
形成方法(請求項1)において、前記シリコン系絶縁膜
のエッチングは、フッ素系ガスを含むエッチングガスを
用いて行われることを特徴とする。
The present invention (Claim 11) is characterized in that in the above-described pattern forming method (Claim 1), the etching of the silicon-based insulating film is performed using an etching gas containing a fluorine-based gas. .

【0022】本発明(請求項12)は、上述のパターン
形成方法(請求項1)において、前記シリコン系絶縁膜
は、酸化シリコン膜、窒化シリコン膜、酸窒化シリコン
膜、およびスピンオングラス膜からなる群から選ばれた
1種であることを特徴とする。
According to a twelfth aspect of the present invention, in the above-described pattern forming method (the first aspect), the silicon-based insulating film comprises a silicon oxide film, a silicon nitride film, a silicon oxynitride film, and a spin-on-glass film. It is characterized by being one kind selected from the group.

【0023】本発明(請求項13)は、上述のパターン
形成方法(請求項1)において、前記有機シリコン膜
は、導電性物質または光を照射することにより導電性を
生ずる物質を含有することを特徴とする。
According to a thirteenth aspect of the present invention, in the above-described pattern forming method (the first aspect), the organic silicon film contains a conductive substance or a substance which becomes conductive when irradiated with light. Features.

【0024】本発明(請求項14)は、上述のパターン
形成方法(請求項1)において、前記有機シリコン膜
は、Cl2 およびHBrからなる群から選ばれた少なく
とも1種を含むエッチングガスを用いてエッチングされ
ることを特徴とする。
According to the present invention (claim 14), in the pattern forming method (claim 1) described above, the organic silicon film uses an etching gas containing at least one selected from the group consisting of Cl 2 and HBr. And etched.

【0025】本発明(請求項15)は、被加工膜上にシ
リコンとシリコンとの結合を主鎖に有する有機シリコン
化合物を含有し、ガラス転移温度が0℃以上の有機シリ
コン膜を形成する工程と、前記有機シリコン膜上にレジ
ストパターンを形成する工程と、塩素、臭素、および沃
素からなる群から選ばれた原子の少なくとも1種を含む
エッチングガスを用いて、前記有機シリコン膜をエッチ
ングする工程と、前記有機シリコン膜を酸化処理する工
程と、酸化処理された前記有機シリコン膜を含むパター
ンをエッチングマスクとして用いて前記被加工膜をエッ
チングする工程とを具備することを特徴とするパターン
形成方法を提供する。
The present invention (claim 15) provides a step of forming an organic silicon film having a glass transition temperature of 0 ° C. or more on a film to be processed, containing an organic silicon compound having a bond between silicon and silicon in a main chain. Forming a resist pattern on the organic silicon film; and etching the organic silicon film using an etching gas containing at least one atom selected from the group consisting of chlorine, bromine and iodine. A process of oxidizing the organic silicon film; and a step of etching the film to be processed using a pattern including the oxidized organic silicon film as an etching mask. I will provide a.

【0026】本発明(請求項16)は、上述のパターン
形成方法(請求項15)において、前記酸化処理は、エ
ネルギービームの照射、プラズマの照射、および酸化剤
を含む溶液への浸漬からなる群から選ばれた1種によっ
てなされることを特徴とする。
According to the present invention (claim 16), in the above-mentioned pattern forming method (claim 15), the oxidizing treatment includes irradiation with an energy beam, irradiation with plasma, and immersion in a solution containing an oxidizing agent. It is characterized by being performed by one kind selected from the group consisting of:

【0027】本発明(請求項17)は、上述のパターン
形成方法(請求項15)において、前記被加工膜は、窒
化シリコン、シリコン系材料および金属配線層からなる
群から選ばれた1種であることを特徴とする。法。
According to the present invention (claim 17), in the above-mentioned pattern forming method (claim 15), the film to be processed is one kind selected from the group consisting of silicon nitride, a silicon-based material and a metal wiring layer. There is a feature. Law.

【0028】本発明(請求項18)は、被加工膜上にシ
リコンとシリコンとの結合を主鎖に有する有機シリコン
化合物を含有し、ガラス転移温度が0℃以上の有機シリ
コン膜を形成する工程と、前記有機シリコン膜上にレジ
ストパターンを形成する工程と、塩素、臭素、および沃
素からなる群から選ばれた原子の少なくとも1種を含む
エッチングガスを用いて、前記有機シリコン膜をエッチ
ングする工程と、パターンをエッチングマスクとして用
いて前記被加工膜をエッチングする工程と、前記有機シ
リコン膜を含むパターンを、塩素、臭素、および弗素か
らなる群から選ばれた原子の少なくとも1種を含むガス
と、酸素原子を含むガスの混合ガスを用いて剥離する工
程とを具備することを特徴とするパターン形成方法を提
供する。本発明(請求項19)は、被加工膜上にシリコ
ンとシリコンとの結合を主鎖に有する有機シリコン化合
物を含有し、ガラス転移温度が0℃以上の有機シリコン
膜を形成する工程と、前記有機シリコン膜上にレジスト
パターンを形成する工程と、塩素、臭素、および沃素か
らなる群から選ばれた原子の少なくとも1種を含むエッ
チングガスを用いて、前記有機シリコン膜をエッチング
する工程と、パターンをエッチングマスクとして用いて
前記被加工膜をエッチングする工程と、前記有機シリコ
ン膜を含むパターンを、アミン系溶媒を含む溶液および
フッ素原子を含む溶液からなる群から選ばれた少なくと
も1種の溶液により処理することにより剥離する工程と
を具備することを特徴とするパターン形成方法を提供す
る。本発明(請求項20)は、上述のパターン形成方法
(請求項18、19)において、前記有機シリコン化合
物は、下記一般式により表される構造を主鎖に有するこ
とを特徴とする。
The present invention (claim 18) provides a step of forming an organic silicon film having a glass transition temperature of 0 ° C. or more on a film to be processed, which contains an organic silicon compound having a bond between silicon and silicon in a main chain. Forming a resist pattern on the organic silicon film; and etching the organic silicon film using an etching gas containing at least one atom selected from the group consisting of chlorine, bromine and iodine. Etching the film to be processed using the pattern as an etching mask; and forming the pattern including the organic silicon film into a gas containing at least one atom selected from the group consisting of chlorine, bromine, and fluorine. Stripping using a mixed gas of a gas containing oxygen atoms. The present invention (Claim 19) includes a step of forming an organic silicon film having a glass transition temperature of 0 ° C. or more on a film to be processed, containing an organic silicon compound having a bond between silicon and silicon in a main chain. Forming a resist pattern on the organic silicon film, etching the organic silicon film using an etching gas containing at least one atom selected from the group consisting of chlorine, bromine, and iodine; Etching the film to be processed using as an etching mask, and forming a pattern including the organic silicon film by using at least one solution selected from the group consisting of a solution containing an amine-based solvent and a solution containing a fluorine atom. And a step of separating by processing. The present invention (Claim 20) is characterized in that in the above-described pattern forming method (Claims 18 and 19), the organic silicon compound has a structure represented by the following general formula in the main chain.

【0029】[0029]

【化4】 (式中、R1 、R2 、R3 、R4 およびR5 は、水素原
子、置換または無置換の炭素数3以下の炭化水素基であ
り、R6 は、水素原子または炭素数1〜20の置換また
は無置換の脂肪族炭化水素基を示す。) 以下、本発明のパターン形成方法について、図面を参照
して、より具体的に説明する。
Embedded image (Wherein, R 1 , R 2 , R 3 , R 4 and R 5 are a hydrogen atom, a substituted or unsubstituted hydrocarbon group having 3 or less carbon atoms, and R 6 is a hydrogen atom or a carbon atom having 1 to 3 carbon atoms. 20 represents a substituted or unsubstituted aliphatic hydrocarbon group.) Hereinafter, the pattern forming method of the present invention will be described more specifically with reference to the drawings.

【0030】図1(a)〜(f)は、本発明の一態様に
係るパターン形成方法を工程順に示す断面図である。
FIGS. 1A to 1F are sectional views showing a pattern forming method according to one embodiment of the present invention in the order of steps.

【0031】まず、図1(a)に示すように、ウェハー
基板1上に被加工膜2を形成する。被加工膜2は、特に
限定されることはないが、例えば、酸化シリコン膜、窒
化シリコン膜、酸窒化シリコン膜、或はスピンオングラ
ス、マスクの製造の際に用いられるブランク材などのシ
リコン系絶縁膜、アモルファスシリコン、ポリシリコ
ン、シリコン基板などのシリコン系材料、アルミニウ
ム、アルミニウムシリサイド、カッパー、タングステン
などの配線材料等を挙げることができる。
First, as shown in FIG. 1A, a film to be processed 2 is formed on a wafer substrate 1. The film 2 to be processed is not particularly limited. For example, a silicon oxide film, a silicon nitride film, a silicon oxynitride film, or a silicon-based insulating material such as spin-on glass or a blank material used in manufacturing a mask. Examples include films, amorphous silicon, polysilicon, silicon materials such as silicon substrates, and wiring materials such as aluminum, aluminum silicide, copper, and tungsten.

【0032】次に、図1(b)に示すように、被加工膜
2上にシリコンとシリコンとの結合を主鎖に有する有機
シリコン化合物を含有する有機シリコン膜3を形成す
る。有機シリコン膜3の膜厚は、0.005〜5μmが
好ましい。その理由は、膜厚が0.005μm未満で
は、光露光によりパターン形成を行う場合、下地基板か
らの反射光を十分に抑えることができず、5μmより厚
いと、レジストパターンをドライエッチング法で有機シ
リコン膜にパターン転写する際に、寸法変換差が顕著に
発生するためである。
Next, as shown in FIG. 1B, an organic silicon film 3 containing an organic silicon compound having a bond between silicon and silicon in a main chain is formed on the film 2 to be processed. The thickness of the organic silicon film 3 is preferably 0.005 to 5 μm. The reason is that when the film thickness is less than 0.005 μm, when forming a pattern by light exposure, the reflected light from the underlying substrate cannot be sufficiently suppressed. When the film thickness is more than 5 μm, the resist pattern is formed by dry etching. This is because a dimensional conversion difference is remarkably generated when the pattern is transferred to the silicon film.

【0033】有機シリコン膜3のガラス転移温度は、0
℃以上であるべきである。その理由は、有機シリコン膜
3のガラス転移温度が低いと、ドライエッチング法によ
りレジストパターンを有機シリコン膜3にパターン転写
する際に、有機シリコン膜3が変質し、有機シリコン膜
3のエッチングを正常に行うことができないためであ
る。
The glass transition temperature of the organic silicon film 3 is 0
It should be above ° C. The reason is that if the glass transition temperature of the organic silicon film 3 is low, when the resist pattern is transferred to the organic silicon film 3 by the dry etching method, the organic silicon film 3 is deteriorated and the etching of the organic silicon film 3 is performed normally. Because it cannot be done.

【0034】有機シリコン膜3の形成方法は、溶液を塗
布する方法と、CVD法(化学的気相蒸着法)のような
気相法により成膜する方法のどちらでもよいが、塗布法
で有機シリコン膜を形成することが好ましい。その理由
は、塗布法は、CVD法と比べプロセスが簡易であり、
プロセスコストを低くすることが出来るからである。こ
こで、塗布法による有機シリコン膜の形成方法について
詳述する。まず、シリコンとシリコンの結合を主鎖に有
する有機シリコン化合物を有機溶剤に溶解して溶液材料
を作成する。シリコンとシリコンの結合を主鎖に有する
有機シリコン化合物としては、例えば一般式(SiR11
12)で表わすことができるポリシランが挙げられる
(ここで、R11およびR12は、水素原子または炭素数1
〜20の置換もしくは非置換の脂肪族炭化水素または芳
香族炭価水素などを示す)。ポリシランとしては、単独
重合体でも共重合体でもよく、2種以上のポリシランが
酸素原子、窒素原子、脂肪族基、芳香族基を介して互い
に結合した構造を有するものでもよい。
The method of forming the organic silicon film 3 may be either a method of applying a solution or a method of forming a film by a gas phase method such as a CVD method (chemical vapor deposition method). It is preferable to form a silicon film. The reason is that the coating method is simpler than the CVD method,
This is because the process cost can be reduced. Here, a method for forming an organic silicon film by a coating method will be described in detail. First, an organic silicon compound having a bond between silicon and silicon in its main chain is dissolved in an organic solvent to prepare a solution material. Examples of the organic silicon compound having a bond between silicon and silicon in its main chain include, for example, a compound represented by the general formula (SiR 11
R 12 ), wherein R 11 and R 12 are a hydrogen atom or a carbon atom
To 20 substituted or unsubstituted aliphatic hydrocarbons or aromatic hydrocarbons). The polysilane may be a homopolymer or a copolymer, or may have a structure in which two or more polysilanes are bonded to each other via an oxygen atom, a nitrogen atom, an aliphatic group, or an aromatic group.

【0035】本発明に使用される有機シリコン化合物の
具体例を下記式[1−1]〜[1−114]に示す。な
お、式中、m、nは、正の整数を表わす。
Specific examples of the organosilicon compound used in the present invention are shown in the following formulas [1-1] to [1-114]. In the formula, m and n represent positive integers.

【0036】[0036]

【化5】 Embedded image

【0037】[0037]

【化6】 Embedded image

【0038】[0038]

【化7】 Embedded image

【0039】[0039]

【化8】 Embedded image

【0040】[0040]

【化9】 Embedded image

【0041】[0041]

【化10】 Embedded image

【0042】[0042]

【化11】 Embedded image

【0043】[0043]

【化12】 Embedded image

【0044】[0044]

【化13】 Embedded image

【0045】[0045]

【化14】 Embedded image

【0046】[0046]

【化15】 Embedded image

【0047】[0047]

【化16】 Embedded image

【0048】[0048]

【化17】 Embedded image

【0049】[0049]

【化18】 Embedded image

【0050】[0050]

【化19】 以上の化合物の重量平均分子量は、特に限定されること
はないが、200〜100、000が好ましい。その理
由は、分子量が200未満では、レジストの溶媒に有機
シリコン膜が溶解してしまい、一方、100、000を
超えると、有機溶剤に溶解しにくくなり、溶液材料を作
成しにくくなるためである。有機シリコン化合物は、一
種類に限ることはなく、数種類の化合物を混合してもよ
い。
Embedded image The weight average molecular weight of the above compounds is not particularly limited, but is preferably from 200 to 100,000. The reason is that if the molecular weight is less than 200, the organic silicon film is dissolved in the solvent of the resist, while if it exceeds 100,000, it is difficult to dissolve in the organic solvent and it is difficult to prepare a solution material. . The organic silicon compound is not limited to one kind, and several kinds of compounds may be mixed.

【0051】有機シリコン化合物に対しては、必要に応
じて、貯蔵安定性をはかるための熱重合防止剤、被加工
膜への密着性を向上させるための密着性向上剤、被加工
膜からレジスト膜中へ反射する光を防ぐために紫外光を
吸収する染料、ポリサルフォン、ポリベンズイミダゾー
ルなどの紫外光を吸収するポリマー、導電性物質、光や
熱により導電性が生じる物質、或いは有機シリコン化合
物を架橋させ得る架橋剤を添加してもよい。
For the organosilicon compound, if necessary, a thermal polymerization inhibitor for measuring storage stability, an adhesion improver for improving the adhesion to the film to be processed, and a resist for the film to be processed. Crosslinks UV-absorbing dyes, polysulfone, polybenzimidazole or other UV-absorbing polymers, conductive substances, substances that become conductive by light or heat, or organic silicon compounds to prevent light reflected into the film A crosslinker which can be added may be added.

【0052】導電性物質としては、例えば、有機スルフ
ォン酸、有機カルボン酸、多価アルコール、多価チオー
ル(例えばヨウ素、臭素)、SbF5 、PF5 、B
5 、SnF5 などが挙げられる。光、熱などのエネル
ギーを加えることにより導電性が生じる物質としては、
炭素クラスタ(C60、C70)、シアノアントラセン、ジ
シアノアントラセン、トリフェニルピリウム、テトラフ
ルオロボレート、テトラシアノキノジメタン、テトラシ
アノエチレン、フタルイミドトリフレート、パークロロ
ペンタシクロドデカン、ジシアノベンゼン、ベンゾニト
リル、トリクロロメチルトリアジン、ベンゾイルペルオ
キシド、ベンゾフェノンテトラカルボン酸、t―ブチル
ペオキシドなどが挙げられる。より具体的には、下記式
[2−1]〜[2−106]に示す化合物を挙げること
ができる。
Examples of the conductive substance include organic sulfonic acid, organic carboxylic acid, polyhydric alcohol, polyhydric thiol (for example, iodine and bromine), SbF 5 , PF 5 , B
F 5 , SnF 5 and the like. As a substance that becomes conductive by applying energy such as light or heat,
Carbon clusters (C 60 , C 70 ), cyanoanthracene, dicyanoanthracene, triphenylpyrium, tetrafluoroborate, tetracyanoquinodimethane, tetracyanoethylene, phthalimide triflate, perchloropentacyclododecane, dicyanobenzene, benzonitrile , Trichloromethyltriazine, benzoyl peroxide, benzophenonetetracarboxylic acid, t-butyl peroxide and the like. More specifically, there can be mentioned compounds represented by the following formulas [2-1] to [2-106].

【0053】[0053]

【化20】 Embedded image

【0054】[0054]

【化21】 Embedded image

【0055】[0055]

【化22】 Embedded image

【0056】[0056]

【化23】 Embedded image

【0057】[0057]

【化24】 Embedded image

【0058】[0058]

【化25】 Embedded image

【0059】[0059]

【化26】 Embedded image

【0060】[0060]

【化27】 Embedded image

【0061】[0061]

【化28】 Embedded image

【0062】[0062]

【化29】 架橋剤を添加する場合、有機シリコン化合物は、主鎖の
シリコンに水素が結合したものが好ましい。このような
有機シリコン化合物として、例えば式[1−1]〜[1
−26]に記載の構造を挙げることができる。
Embedded image When a crosslinking agent is added, the organosilicon compound is preferably a compound in which hydrogen is bonded to silicon in the main chain. As such organosilicon compounds, for example, the formulas [1-1] to [1]
-26].

【0063】架橋剤は、有機シリコン化合物を架橋させ
て、レジストと有機シリコン化合物とのミキシングを防
止するとともに、耐熱性を向上させるために加えられ
る。
The cross-linking agent is added to cross-link the organic silicon compound to prevent mixing between the resist and the organic silicon compound, and to improve heat resistance.

【0064】架橋剤としては、多重結合を有する有機物
を用いることができる。多重結合を有する有機物とは、
二重結合または三重結合を有する化合物、より具体的に
は、ビニル基、アクリル基、アリール基、イミド基、ア
セチレニル基などを有する化合物である。このような多
重結合を有する有機物は、モノマー、オリゴマー、ポリ
マーのいずれでもよい。
As the crosslinking agent, an organic substance having a multiple bond can be used. The organic substance having a multiple bond is
A compound having a double bond or a triple bond, more specifically, a compound having a vinyl group, an acrylic group, an aryl group, an imide group, an acetylenyl group, or the like. The organic substance having such a multiple bond may be any of a monomer, an oligomer, and a polymer.

【0065】このような多重結合を有する有機物は、熱
または光により有機シリコン化合物のSi−H結合との
間で付加反応を起こし、有機シリコン化合物を架橋させ
る。なお、多重結合を有する有機物は、自己重合してい
てもよい。多重結合を有する有機物の具体例を以下に示
す。
The organic substance having such a multiple bond causes an addition reaction with the Si—H bond of the organic silicon compound by heat or light to crosslink the organic silicon compound. Note that the organic substance having a multiple bond may be self-polymerized. Specific examples of the organic substance having a multiple bond are shown below.

【0066】[0066]

【化30】 Embedded image

【0067】[0067]

【化31】 Embedded image

【0068】[0068]

【化32】 Embedded image

【0069】[0069]

【化33】 Embedded image

【0070】[0070]

【化34】 Embedded image

【0071】[0071]

【化35】 Embedded image

【0072】[0072]

【化36】 Embedded image

【0073】[0073]

【化37】 Embedded image

【0074】[0074]

【化38】 Embedded image

【0075】[0075]

【化39】 上述のように、有機シリコン化合物に対して多重結合を
有する有機物を混合した場合、触媒としてラジカル発生
剤または酸発生剤を添加してもよい。これらのラジカル
発生剤または酸発生剤は、多重結合を有する有機物とS
i−Hの付加反応または自己重合を助ける役割を有す
る。
Embedded image As described above, when an organic substance having a multiple bond is mixed with the organic silicon compound, a radical generator or an acid generator may be added as a catalyst. These radical generators or acid generators are composed of an organic substance having a multiple bond and S
It has a role of assisting i-H addition reaction or self-polymerization.

【0076】ラジカル発生剤としては、アゾ化合物(例
えば、アゾビスイソブチロニトリル)、過酸化物、アル
キルアリールケトン、シリルペルオキシド、有機ハロゲ
ン化物などが挙げられる。ラジカル発生剤は、光照射ま
たは加熱により分子中のO−O結合またはC−C結合が
分解してラジカルを発生する。ラジカル発生剤として
は、例えば化学式[4−1]〜[4−24]により表さ
れるものが挙げられる。 ベンゾイルペルオキシド [4−1] ジターシャルブチルペルオキシド [4−2] ベンゾイン [4−3] ベンゾインアルキルエーテル [4−4] ベンゾインアルキルアリールチオエーテル [4−5] ベンゾイルアリールエーテル [4−6] ベンジルアルキルアリールチオエーテル [4−7] ベンジルアラルキルエタノール [4−8] フェニルグリオキサルアルキルアセタール [4−9] ベンゾイルオキシム [4−10] トリフェニル−t−ブチルシリルペルオキシド [4−11]
Examples of the radical generator include azo compounds (for example, azobisisobutyronitrile), peroxides, alkylaryl ketones, silyl peroxides, and organic halides. The radical generator generates a radical by decomposing an O—O bond or a C—C bond in a molecule by light irradiation or heating. Examples of the radical generator include those represented by chemical formulas [4-1] to [4-24]. Benzoyl peroxide [4-1] di-tert-butyl peroxide [4-2] benzoin [4-3] benzoin alkyl ether [4-4] benzoin alkylaryl thioether [4-5] benzoyl aryl ether [4-6] benzylalkylaryl Thioether [4-7] Benzyl aralkyl ethanol [4-8] Phenylglyoxal alkyl acetal [4-9] Benzoyl oxime [4-10] Triphenyl-t-butylsilyl peroxide [4-11]

【0077】[0077]

【化40】 Embedded image

【0078】[0078]

【化41】 ラジカル発生剤のうち、有機ハロゲン化物としては、一
般式[4−18]で表されるトリハロメチル−s−トリ
アジン(例えば米国特許第3779778号明細書参
照)が好ましい。一般式[4−18]において、Qは臭
素または塩素、R11は−CQ3 、−NH2 、−NH
13、−OR13または置換もしくは非置換のフェニル
基、R12は−CQ3 、−NH2 、−NHR13、−N(R
132 、−OR13、−(CH=CH)n −Wまたは置換
もしくは非置換のフェニル基、(ここで、R13はフェニ
ル基、ナフチル基または炭素数6以下の低級アルキル
基、nは1〜3の整数、Wは芳香環、複素環、または下
記一般式で表される基である。)を示す。これらは、場
合によっては、多重結合を有する化合物を存在させなく
ても、光または熱によりポリシランを架橋させることも
ある。
Embedded image Among the radical generators, the organic halide is preferably a trihalomethyl-s-triazine represented by the general formula [4-18] (for example, see US Pat. No. 3,779,778). In the general formula [4-18], Q is bromine or chlorine, and R 11 is -CQ 3 , -NH 2 , -NH
R 13 , —OR 13 or a substituted or unsubstituted phenyl group, R 12 represents —CQ 3 , —NH 2 , —NHR 13 , —N (R
13 ) 2 , -OR 13 ,-(CH = CH) n -W or a substituted or unsubstituted phenyl group, wherein R 13 is a phenyl group, a naphthyl group or a lower alkyl group having 6 or less carbon atoms, and n is And W is an aromatic ring, a heterocyclic ring, or a group represented by the following general formula. These may crosslink the polysilane by light or heat in some cases without the presence of a compound having multiple bonds.

【0079】[0079]

【化42】 式中、Zは酸素または硫黄、R14は低級アルキル基また
はフェニル基を示す。一般式[4−18]で表されるト
リハロメチル−s−トリアジンのうちでは、特に、R12
が−(CH=CH)n −Wであるビニルトリハロメチル
−s−トリアジン(例えば米国特許第3987037号
明細書参照)が好ましい。ビニルトリハロメチル−s−
トリアジンは、トリハロメチル基と、トリアジン環と共
役するエチレン性不飽和結合とを有し、光分解性を示す
s−トリアジンである。
Embedded image In the formula, Z represents oxygen or sulfur, and R 14 represents a lower alkyl group or a phenyl group. Among the trihalomethyl-s-triazines represented by the general formula [4-18], particularly, R 12
Is preferably-(CH = CH) n- W (see, for example, U.S. Patent No. 3987037). Vinyltrihalomethyl-s-
Triazine is an s-triazine having a trihalomethyl group and an ethylenically unsaturated bond conjugated to a triazine ring, and showing photodegradability.

【0080】なお、Wで表される芳香環または複素環に
は、以下のような置換基が導入されていてもよい。例え
ば、塩素、臭素、フェニル基、炭素数6以下の低級アル
キル基、ニトロ基、フェノキシ基、アルコキシ基、アセ
トキシ基、アセチル基、アミノ基およびアルキルアミノ
基などである。
The following substituents may be introduced into the aromatic or heterocyclic ring represented by W. Examples thereof include chlorine, bromine, phenyl group, lower alkyl group having 6 or less carbon atoms, nitro group, phenoxy group, alkoxy group, acetoxy group, acetyl group, amino group and alkylamino group.

【0081】一般式[4−18]で表されるトリハロメ
チル−s−トリアジンを化学式[4−25]〜[4−3
4に]、その他のラジカル発生剤を化学式[4−35]
〜[4−39]に示す。これらのハロゲン化物は、場合
によっては、多重結合を有する化合物を存在させなくて
も、光または熱によりポリシランを架橋させることもあ
る。
The trihalomethyl-s-triazine represented by the general formula [4-18] is converted to a compound represented by any of the chemical formulas [4-25] to [4-3]
4] and other radical generators represented by the chemical formula [4-35]
To [4-39]. In some cases, these halides can crosslink the polysilane by light or heat without the presence of a compound having a multiple bond.

【0082】[0082]

【化43】 Embedded image

【0083】[0083]

【化44】 酸発生剤としては、例えばオニウム塩、ハロゲン含有化
合物、オルトキノンジアジド化合物、スルホン化合物、
スルホン酸化合物、ニトロベンジル化合物が挙げられ
る。これらのうちでも、オニウム塩、オルトキノンジア
ジド化合物が好ましい。
Embedded image Examples of the acid generator include onium salts, halogen-containing compounds, orthoquinonediazide compounds, sulfone compounds,
Sulfonic acid compounds and nitrobenzyl compounds are exemplified. Of these, onium salts and orthoquinonediazide compounds are preferred.

【0084】オニウム塩としては、ヨードニウム塩、ス
ルホニウム塩、ホスホニウム塩、ジアゾニウム塩、アン
モニウム塩が挙げられる。好ましくは、化学式[4−4
0]〜[4−42]で表される化合物が挙げられる。
The onium salts include iodonium salts, sulfonium salts, phosphonium salts, diazonium salts, and ammonium salts. Preferably, the chemical formula [4-4]
0] to [4-42].

【0085】ハロゲン含有化合物としては、ハロアルキ
ル基含有炭化水素系化合物、ハロアルキル基含有炭化水
素系化合物、ハロアルキル基含有ヘテロ環状化合物など
が挙げられる。特に、化学式[4−43]および[4−
44]で表される化合物が好ましい。
Examples of the halogen-containing compound include a haloalkyl group-containing hydrocarbon compound, a haloalkyl group-containing hydrocarbon compound, and a haloalkyl group-containing heterocyclic compound. In particular, the chemical formulas [4-43] and [4-
44] is preferred.

【0086】ジニンジアジド化合物としては、ジアゾベ
ンゾキノン化合物、ジアゾナフトキノン化合物などが挙
げられる。特に、化学式[4−45]〜[4−48]で
表される化合物が好ましい。
Examples of the dinindiazide compound include a diazobenzoquinone compound and a diazonaphthoquinone compound. Particularly, compounds represented by chemical formulas [4-45] to [4-48] are preferable.

【0087】スルホン化合物としては、β−ケトスルホ
ン、β−スルホニルスルホンなどが挙げられる。特に、
化学式[4−49]で表される化合物が好ましい。
Examples of the sulfone compound include β-ketosulfone and β-sulfonylsulfone. Especially,
The compound represented by the chemical formula [4-49] is preferable.

【0088】ニトロベンジル化合物としては、ニトロベ
ンジルスルホネート化合物、ジニトロベンジルスルホネ
ート化合物などが挙げられる。特に、化学式[4−5
0]で表される化合物が好ましい。
Examples of the nitrobenzyl compound include a nitrobenzylsulfonate compound and a dinitrobenzylsulfonate compound. In particular, the chemical formula [4-5]
0] are preferred.

【0089】スルホン酸化合物としては、アルキルスル
ホン酸エステル、ハロアルキルスルホン酸エステル、ア
リールスルホン酸エステル、イミノスルホネートなどが
挙げられる。特に、化学式[4−51]〜[4−53]
で表される化合物が好ましい。
Examples of the sulfonic acid compound include an alkylsulfonic acid ester, a haloalkylsulfonic acid ester, an arylsulfonic acid ester, and iminosulfonate. In particular, the chemical formulas [4-51] to [4-53]
The compound represented by is preferred.

【0090】[0090]

【化45】 (式中、R14〜R16は互いに同一であっても異なってい
てもよく、それぞれ水素原子、アミノ基、ニトロ基、シ
アノ基、置換もしくは非置換のアルキル基またはアルコ
キシル基、XはSbF6 、PF6 、BF4 、CF3 CO
2 、ClO4 、CF3 SO3
Embedded image (Wherein, R 14 to R 16 may be the same or different, and each represents a hydrogen atom, an amino group, a nitro group, a cyano group, a substituted or unsubstituted alkyl group or an alkoxyl group, and X represents SbF 6 , PF 6 , BF 4 , CF 3 CO
2 , ClO 4 , CF 3 SO 3 ,

【0091】[0091]

【化46】 17は水素原子、アミノ基、アニリノ基、置換もしくは
非置換のアルキル基またはアルコキシル基、R18、R19
は互いに同一であっても異なっていてもよく、それぞれ
置換もしくは非置換のアルコキシル基、R20は水素原
子、アミノ基、アニリノ基、置換もしくは非置換のアル
キル基またはアルコキシル基を示す。
Embedded image R 17 is a hydrogen atom, an amino group, an anilino group, a substituted or unsubstituted alkyl group or an alkoxyl group, R 18 , R 19
May be the same or different, and each represents a substituted or unsubstituted alkoxyl group, and R 20 represents a hydrogen atom, an amino group, an anilino group, a substituted or unsubstituted alkyl group or an alkoxyl group.

【0092】[0092]

【化47】 (式中、R21は、トリクロロメチル基、フェニル基、メ
トキシフェニル基、ナフチル基またはメトキシナフチル
基を示す。)
Embedded image (In the formula, R 21 represents a trichloromethyl group, a phenyl group, a methoxyphenyl group, a naphthyl group or a methoxynaphthyl group.)

【0093】[0093]

【化48】 (式中、R22〜R24は、互いに同一であっても異なって
いてもよく、それぞれ水素原子、ハロゲン原子、メチル
基、メトキシ基または水酸基を示す。)
Embedded image (In the formula, R 22 to R 24 may be the same or different and each represents a hydrogen atom, a halogen atom, a methyl group, a methoxy group or a hydroxyl group.)

【0094】[0094]

【化49】 (式中、R25は、−CH2 −、−C(CH3 2 −、−
C(=O)−または−SO2 −を示し、qは1〜6の整
数、rは0〜5の整数で、qとrの合計は1〜6であ
る。)
Embedded image (Wherein R 25 represents —CH 2 —, —C (CH 3 ) 2 —,
C (= O) - or -SO 2 - indicates, q is an integer from 1 to 6, r is an integer of 0 to 5, the sum of q and r is 1-6. )

【0095】[0095]

【化50】 (式中、R26は、水素原子またはメチル基、R27は−C
2 −、−C(CH3 2 −、−C(=O)−または−
SO2 −を示し、sは1〜6の整数、tは0〜5の整数
で、sとtの合計は1〜6である。)
Embedded image (Wherein, R 26 is a hydrogen atom or a methyl group, and R 27 is —C
H 2 -, - C (CH 3) 2 -, - C (= O) - or -
SO 2 - indicates, s is an integer from 1 to 6, t is an integer of 0 to 5, the sum of s and t is 1-6. )

【0096】[0096]

【化51】 (式中、R28〜R31は、互いに同一であっても異なって
いてもよく、それぞれ置換もしくは非置換のアルキル基
またはハロゲン原子、Yは−C(=O)−または−SO
2 −を示し、uは0〜3の整数である。)
Embedded image (Wherein, R 28 to R 31 may be the same or different from each other, and each represents a substituted or unsubstituted alkyl group or a halogen atom, and Y represents —C (= O) — or —SO
Represents 2- , and u is an integer of 0 to 3. )

【0097】[0097]

【化52】 (式中、R32は、置換もしくは非置換のアルキル基、R
33は水素原子またはメチル基、R34
Embedded image (Wherein R 32 is a substituted or unsubstituted alkyl group, R
33 is a hydrogen atom or a methyl group, and R 34 is

【0098】[0098]

【化53】 (ただし、R35は、水素原子またはメチル基、R36、R
37は互いに同一であっても異なっていてもよく、それぞ
れ置換もしくは非置換のアルコキシル基を示し、vは1
〜3の整数である。)
Embedded image (However, R 35 is a hydrogen atom or a methyl group, R 36 , R
37 may be the same or different, and each represents a substituted or unsubstituted alkoxyl group;
-3. )

【0099】[0099]

【化54】 (式中、R38、R39は、互いに同一であっても異なって
いてもよく、それぞれ水素原子または置換もしくは非置
換のアルキル基、R40、R41は互いに同一であっても異
なっていてもよく、それぞれ水素原子または置換もしく
は非置換のアルキル基またはアリール基を示す。)
Embedded image (In the formula, R 38 and R 39 may be the same or different from each other, and a hydrogen atom or a substituted or unsubstituted alkyl group, R 40 and R 41 may be the same or different from each other. And each represents a hydrogen atom or a substituted or unsubstituted alkyl group or aryl group.)

【0100】[0100]

【化55】 (式中、R42は水素原子または置換もしくは非置換のア
ルキル基、R43、R44は互いに同一であっても異なって
いてもよく、それぞれ置換もしくは非置換のアルキル基
またはアリール基を示し、R43とR44はは互いに結合し
て環構造を形成していてもよい。
Embedded image (In the formula, R 42 represents a hydrogen atom or a substituted or unsubstituted alkyl group, R 43 and R 44 may be the same or different, and each represents a substituted or unsubstituted alkyl group or an aryl group; R 43 and R 44 may combine with each other to form a ring structure.

【0101】[0101]

【化56】 (式中、Zはフッ素原子または塩素原子を示す、) 本発明において、有機シリコン化合物の架橋剤として
は、上述した多重結合を有する有機物以外にも以下のよ
うな物質を用いることが出来る。例えば、ヒドロキシル
基を有する有機物、エポキシ基を有する有機物、アミノ
基を有する有機物、ピリジンオキシド、アルコキシシリ
ル基、シリルエステル基、オキシムシリル基、エモキシ
シリル基、アミノシリル基、アミドシリル基、アミノキ
シシリル基またはハロゲンを有するケイ素化合物、有機
金属化合物、ハロゲンを含む化合物などである。
Embedded image (In the formula, Z represents a fluorine atom or a chlorine atom.) In the present invention, as a crosslinking agent for the organosilicon compound, the following substances can be used in addition to the above-described organic substance having a multiple bond. For example, an organic substance having a hydroxyl group, an organic substance having an epoxy group, an organic substance having an amino group, pyridine oxide, an alkoxysilyl group, a silyl ester group, an oximusilyl group, an ethoxysilyl group, an aminosilyl group, an amidosilyl group, an aminoxysilyl group, or halogen , Organometallic compounds, compounds containing halogen, and the like.

【0102】ヒドロキシル基を有する化合物としては、
多価アルコール、ノボラック樹脂、カルボキシル基を有
する化合物、シラノールが挙げられる。これらの化合物
は、光または熱によりSi−Hと反応して有機シリコン
化合物を架橋させる。このような化合物の具体例を化学
式[5−1]〜[5−28]に示す。
As the compound having a hydroxyl group,
Examples include polyhydric alcohols, novolak resins, compounds having a carboxyl group, and silanols. These compounds react with Si—H by light or heat to crosslink the organosilicon compound. Specific examples of such compounds are shown in chemical formulas [5-1] to [5-28].

【0103】エポキシ基を有する化合物としては、一般
にエピビスタイプのエポキシ樹脂、または脂環式エポキ
シ樹脂と呼ばれるものが挙げられる。これらの樹脂で
は、一部にヒドロキシル基が付加していてもよい。ま
た、これらの樹脂とともに上述した酸発生剤を添加して
もよい。このような化合物の具体例を化学式[6−1]
〜[6−12]に示す。
Examples of the compound having an epoxy group include those generally called epibis type epoxy resins or alicyclic epoxy resins. In these resins, a hydroxyl group may be partially added. Further, the above-mentioned acid generator may be added together with these resins. A specific example of such a compound is represented by a chemical formula [6-1].
To [6-12].

【0104】アミノ基を有する化合物としては、例えば
化学式[7−1]〜[7−9]に示したものが挙げられ
る。
Examples of the compound having an amino group include those represented by the chemical formulas [7-1] to [7-9].

【0105】ピリジンオキシドとしては、例えば化学式
[8−1]〜[8−6]に示したものが挙げられる。
Examples of the pyridine oxide include those represented by chemical formulas [8-1] to [8-6].

【0106】アルコキシシリル基、シリルエステル基、
オキシムシリル基、エノキシシリル基、アミノシリル
基、アミドシリル基、アミノキシシリル基またはハロゲ
ンを有するケイ素化合物としては、例えば化学式[9−
1]〜[9−52]に示したものが挙げられる。これら
の化学式において、Xは上記の置換基を表す。なお、こ
れらの化合物とともに、通常、シリコーンの縮合触媒と
して使用される白金、有機スズ化合物などの金属触媒、
塩基を使用してもよい。
An alkoxysilyl group, a silyl ester group,
Examples of the silicon compound having an oximesilyl group, an enoxysilyl group, an aminosilyl group, an amidosilyl group, an aminoxysilyl group, or a halogen include a compound represented by the chemical formula [9-
1] to [9-52]. In these chemical formulas, X represents the above substituent. In addition, together with these compounds, platinum usually used as a condensation catalyst for silicone, metal catalysts such as organotin compounds,
A base may be used.

【0107】有機金属化合物とは、有機基が置換した金
属塩、金属錯体を意味する。金属としては、B、Mg、
Al、Ca、Ti、V、Mn、Fe、Co、Ni、C
u、Zn、Zr、Mo、Rh、Pd、Cd、In、Sn
が用いられる。このような化合物の具体例を、化学式
[10−1]〜[10−9]に示す。
The organometallic compound means a metal salt or a metal complex substituted by an organic group. B, Mg,
Al, Ca, Ti, V, Mn, Fe, Co, Ni, C
u, Zn, Zr, Mo, Rh, Pd, Cd, In, Sn
Is used. Specific examples of such compounds are shown in chemical formulas [10-1] to [10-9].

【0108】ハロゲンを含む化合物としては、例えば化
学式[11−1]〜[11−9]に示したものが挙げら
れる。
Examples of the compounds containing halogen include those represented by the chemical formulas [11-1] to [11-9].

【0109】[0109]

【化57】 Embedded image

【0110】[0110]

【化58】 Embedded image

【0111】[0111]

【化59】 Embedded image

【0112】[0112]

【化60】 Embedded image

【0113】[0113]

【化61】 Embedded image

【0114】[0114]

【化62】 Embedded image

【0115】[0115]

【化63】 Embedded image

【0116】[0116]

【化64】 Embedded image

【0117】[0117]

【化65】 Embedded image

【0118】[0118]

【化66】 Embedded image

【0119】[0119]

【化67】 Embedded image

【0120】[0120]

【化68】 Embedded image

【0121】[0121]

【化69】 有機溶剤としては、極性溶剤でも、無極性溶剤でもよい
が、多重結合を有する溶剤は有機シリコン化合物と反応
しやすく、溶液材料が経時変化を起こしやすくなるた
め、多重結合を含まない溶剤を使用する方が好ましい。
Embedded image As the organic solvent, either a polar solvent or a non-polar solvent may be used, but a solvent having a multiple bond is likely to react with an organic silicon compound, and a solution material is likely to change with time. Is more preferred.

【0122】以上の方法により塗布材料を作成し、被加
工膜上に、例えばスピンコーティング法などで溶液材料
を塗布した後、加熱して溶剤を気化することにより、有
機シリコン膜を形成する。この段階でレジストに対する
十分な選択比が得られるガラス転移温度が得られればよ
いが、得られない場合、さらに塗膜に対して加熱、或い
はエネルギービームを照射して塗膜を架橋させることが
必要である。
A coating material is prepared by the above method, and a solution material is coated on the film to be processed by, for example, a spin coating method, and then heated to evaporate the solvent, thereby forming an organic silicon film. At this stage, it is only necessary to obtain a glass transition temperature that provides a sufficient selectivity to the resist, but if not, it is necessary to further heat or irradiate the energy beam to the coating to crosslink the coating. It is.

【0123】従って、本発明は、架橋して耐熱性が向上
し、0℃以上のガラス転移温度が得られれば、必ずしも
シリコンとシリコンとの結合を主鎖に有する化合物のガ
ラス転移温度が0℃以上である必要はない。
Therefore, according to the present invention, if the heat resistance is improved by crosslinking and a glass transition temperature of 0 ° C. or higher is obtained, the glass transition temperature of a compound having a bond between silicon and silicon in the main chain is necessarily 0 ° C. There is no need to be above.

【0124】エネルギービームとしては、例えば紫外
光、X線、電子線、イオン線などを挙げることができ
る。特に、加熱とエネルギービームの照射を同時に行う
ことで架橋反応の進行を早め、実用的なプロセス処理時
間でガラス転移温度を著しく向上させることができる。
なお、加熱、或いはエネルギービームの照射でシリコン
とシリコンとの結合を主鎖に有する有機シリコン化合物
中の主鎖のシリコンとシリコンとの結合が開烈し、酸素
と結合し、酸化しやすくなり、レジストとシリコン有機
膜とのエッチング選択比が低下する場合が生じる。この
ような場合、加熱およびエネルギービームの照射は、空
気中より酸素濃度が低い雰囲気下で行うことが好まし
い。
Examples of the energy beam include ultraviolet light, X-ray, electron beam, ion beam and the like. In particular, simultaneous heating and energy beam irradiation can accelerate the progress of the crosslinking reaction, and can significantly improve the glass transition temperature in a practical processing time.
In addition, the bond between silicon and silicon in the main chain in the organic silicon compound having the bond between silicon and silicon in the main chain by heating or irradiation with an energy beam is expanded, combined with oxygen, and easily oxidized. In some cases, the etching selectivity between the resist and the silicon organic film decreases. In such a case, the heating and the irradiation with the energy beam are preferably performed in an atmosphere having a lower oxygen concentration than in air.

【0125】次に、有機シリコン膜3上にレジストパタ
ーンを形成する。まず、図1(c)に示すように、有機
シリコン膜3上にレジスト溶液を塗布して、加熱処理を
行い、レジスト4を形成する。レジスト4の膜厚を薄く
すれば、それだけ、露光時の露光量裕度、フォーカス裕
度、或は解像度を向上させることができる。そのため、
レジスト4の膜厚は、有機シリコン膜3を寸法制御性よ
くエッチングできる膜厚であれば薄い方がよく、好まし
くは0.01〜10μmが好ましい。
Next, a resist pattern is formed on the organic silicon film 3. First, as shown in FIG. 1C, a resist solution is applied on the organic silicon film 3 and heat treatment is performed to form a resist 4. If the thickness of the resist 4 is reduced, the exposure latitude, the focus latitude, or the resolution can be improved. for that reason,
The thickness of the resist 4 is preferably as thin as possible so long as the organic silicon film 3 can be etched with good dimensional controllability, and preferably 0.01 to 10 μm.

【0126】レジストの種類は、特に限定されることは
なく、目的に応じて、ポジ型またはネガ型を選択して使
用することができる。具体的には、ポジ型レジストとし
ては、例えば、ナフトキノンジアジドとノボラック樹脂
とからなるレジスト(IX−770、日本合成ゴム社
製)、t−BOCで保護したポリビニルフェノール樹脂
とオニウム塩とからなる化学増幅型レジスト(APEX
−E、シップレー社製)などが挙げられる。また、ネガ
型のレジストとしては、例えば、ポリビニルフェノール
とメラミン樹脂および光酸発生材からなる化学増幅型レ
ジスト(SNR248、シップレー社製)、ポリビニル
フェノールとビスアジド化合物とからなるレジスト(R
D−2000D、日立化成社製)などが挙げられるが、
これらに限定されることはない。
The type of the resist is not particularly limited, and a positive type or a negative type can be selected and used according to the purpose. Specifically, as the positive resist, for example, a resist (IX-770, manufactured by Nippon Synthetic Rubber Co., Ltd.) composed of naphthoquinonediazide and a novolak resin, a chemical composed of a polyvinylphenol resin protected with t-BOC and an onium salt Amplification type resist (APEX
-E, manufactured by Shipley Co., Ltd.). Examples of the negative resist include, for example, a chemically amplified resist (SNR248, manufactured by Shipley Co., Ltd.) composed of polyvinyl phenol, a melamine resin and a photoacid generator, and a resist (R) composed of polyvinyl phenol and a bisazide compound.
D-2000D, manufactured by Hitachi Chemical Co., Ltd.).
It is not limited to these.

【0127】これらのレジスト溶液を有機シリコン膜3
上に、例えばスピンコーテング法などで塗布した後、加
熱して溶媒を気化させることでレジスト4を作成する。
次に、所望のパターンを有するマスクを通して露光光で
ある可視光、紫外光などのエネルギービームをレジスト
に対して照射する。露光光源としては、水銀灯、XeF
(波長=351nm)、XeCl(波長=308n
m)、KrF(波長=248nm)、KrCl(波長=
222nm)、ArF(波長=193nm)、F2 (波
長=151nm)等のエキシマレーザを挙げることがで
きる。なお、露光光源にはX線、電子ビーム、或いはイ
オンビームを用いてもよい。
These resist solutions are applied to the organic silicon film 3
The resist 4 is formed on the upper surface by, for example, spin coating, and then heating to vaporize the solvent.
Next, the resist is irradiated with an energy beam such as visible light or ultraviolet light as exposure light through a mask having a desired pattern. Exposure light source: mercury lamp, XeF
(Wavelength = 351 nm), XeCl (wavelength = 308 n)
m), KrF (wavelength = 248 nm), KrCl (wavelength =
Excimer lasers such as 222 nm), ArF (wavelength = 193 nm), and F 2 (wavelength = 151 nm). Note that an X-ray, an electron beam, or an ion beam may be used as an exposure light source.

【0128】そして、図1(d)に示すように、TMA
H、コリンなどのアルカリ現像液で現像処理を行い、レ
ジストパターン5を形成する。また、必要に応じて、光
露光を行った場合に生じるレジスト中の多重反射を減少
させるために、上層反射防止膜、或は、電子ビーム露光
を行った場合に生じるチャージアップを防ぐために、上
層帯電防止膜をレジストの上に形成してもよい。
Then, as shown in FIG.
A resist pattern 5 is formed by performing a development process using an alkali developer such as H or choline. Also, if necessary, an upper antireflection film in order to reduce multiple reflections in the resist generated when performing light exposure, or an upper antireflection film in order to prevent charge-up that occurs when performing electron beam exposure. An antistatic film may be formed on the resist.

【0129】次に、図1(e)に示すように、レジスト
パターン5をエッチングマスクとして用いて、有機シリ
コン膜3をドライエッチングすることにより、前記レジ
ストパターン5を前記有機シリコン膜3に転写する。エ
ッチング方式としては、例えば反応性イオンエッチン
グ、マグネトロン型反応性イオンエッチング、電子ビー
ムイオンエッチング、ICPエッチング、またはECR
イオンエッチングなど微細加工可能なものであれば、特
に限定されることはない。
Next, as shown in FIG. 1E, the resist pattern 5 is transferred to the organic silicon film 3 by dry-etching the organic silicon film 3 using the resist pattern 5 as an etching mask. . As an etching method, for example, reactive ion etching, magnetron type reactive ion etching, electron beam ion etching, ICP etching, or ECR
There is no particular limitation as long as it can be finely processed, such as ion etching.

【0130】レジストとの選択比を維持するため、ウェ
ハーを設置した電極に印加する電力密度は10W/cm
2 以下に抑えることが望ましい。その理由は、有機シリ
コン膜のエッチングは、ケミカルエッチングに近く、ス
パッター性が強くなることでレジストのエッチング速度
が上昇し、選択比が低下するのを防ぐためである。ま
た、さらにプラズマ生成とバイアス生成を独立して行え
るような装置を用いる場合には、上記理由によりバイア
スを低くするとともに、イオンの数が過剰にならないよ
うにプラズマ生成に用いる電力を抑える必要がある。そ
のため、プラズマ生成に用いる電力は、処理するウェハ
ー面積に対して10W/cm2 以下に抑えることが望ま
しいのである。
In order to maintain the selectivity with the resist, the power density applied to the electrode on which the wafer is placed is 10 W / cm.
It is desirable to keep it to 2 or less. The reason is that the etching of the organic silicon film is close to the chemical etching, and the sputterability is increased, so that the etching rate of the resist is increased and the selectivity is prevented from being lowered. In addition, when using an apparatus that can perform plasma generation and bias generation independently, it is necessary to lower the bias for the above-described reason and to suppress the power used for plasma generation so that the number of ions is not excessive. . Therefore, it is desirable that the power used for plasma generation be suppressed to 10 W / cm 2 or less with respect to the area of the wafer to be processed.

【0131】また、有機シリコン膜のエッチングの際
に、ウェハーの温度を20℃以上に保持することで、寸
法変換差のない加工を達成することができる。エッチン
グガスとしては、塩素、臭素、或は沃素原子を含むガス
を少なくとも1つ以上用いることが好ましく、例えば、
HCl、CF3 Cl、CF2 Cl2 、CF3 Br、CC
4 、C2 5 Cl2 、Cl2 、SiCl4 、Br2
2 、HBr、HI、BCl3 などのガスを挙げること
ができる。これらのガスは、1種類を用いても、複数種
類を混合して用いてもよい。また、ハロゲン系ガス以外
のCO、H2 、O2 、He、N2 、Ar、SO2 などを
添加してもよい。
Further, by maintaining the temperature of the wafer at 20 ° C. or higher during the etching of the organic silicon film, it is possible to achieve processing without a dimensional conversion difference. As the etching gas, it is preferable to use at least one gas containing a chlorine, bromine, or iodine atom.
HCl, CF 3 Cl, CF 2 Cl 2 , CF 3 Br, CC
l 4 , C 2 F 5 Cl 2 , Cl 2 , SiCl 4 , Br 2 ,
Gases such as I 2 , HBr, HI, and BCl 3 can be used. One type of these gases may be used, or a plurality of types may be mixed and used. Further, CO, H 2 , O 2 , He, N 2 , Ar, SO 2 and the like other than the halogen-based gas may be added.

【0132】以上のようにして、有機シリコン膜をエッ
チングすることで、有機シリコン膜が変質せず、かつレ
ジストに対して高選択比をとることができ、また、高寸
法制御性をもった加工が成し遂げられる。
As described above, by etching the organic silicon film, the organic silicon film is not deteriorated, a high selectivity can be obtained with respect to the resist, and the processing has high dimensional controllability. Is achieved.

【0133】次に、図1(f)に示すように、レジスト
パターン5と有機シリコン膜パターン6をエッチングマ
スクとして用いて、被加工膜2の加工を行う。レジスト
パターン5に対する有機シリコン膜パターン6のエッチ
ング選択比(有機シリコン膜のエッチングレート/レジ
ストのエッチングレート)が高いため、レジストパター
ン5と有機シリコン膜パターン6の残りが十分であるた
め、エッチングマスク不足による肩落ちや後退を防ぐこ
とができ、寸法制御性よく被加工膜2を加工し、パター
ン7を形成することができる。
Next, as shown in FIG. 1F, the film to be processed 2 is processed using the resist pattern 5 and the organic silicon film pattern 6 as an etching mask. Since the etching selectivity of the organic silicon film pattern 6 to the resist pattern 5 (the etching rate of the organic silicon film / the etching rate of the resist) is high, the remaining of the resist pattern 5 and the organic silicon film pattern 6 is sufficient, so that the etching mask is insufficient. , And the pattern 7 can be formed by processing the film to be processed 2 with good dimensional controllability.

【0134】被加工膜が金属配線膜、或はシリコン系材
料からなる場合には、有機シリコン膜のエッチングで用
いられるガスによって加工し、同一装置内で連続工程で
被加工膜を加工することが好ましく、有機シリコン膜と
被加工膜が一括してエッチングできるので、工程数を簡
略化することができる。
When the film to be processed is made of a metal wiring film or a silicon-based material, the film to be processed can be processed by a gas used for etching the organic silicon film in a continuous process in the same apparatus. Preferably, the organic silicon film and the film to be processed can be collectively etched, so that the number of steps can be simplified.

【0135】また、図2(a)および(b)に示すよう
に、レジストパターン5を除去して、図2(c)に示す
ように、有機シリコン膜パターン6のみをエッチングマ
スクとして用いて、被加工膜2をエッチングし、パター
ン7を形成してもよい。高アスペクト比をもつ超微細な
被加工膜2の加工の際は、シリコン有機膜の加工後、別
装置、或は同一装置にてシリコン有機膜上のレジストパ
ターン5を除去し、加工の際のアスペクト比を下げるこ
とが好ましい。この場合、エッチングマスクとなるの
は、レジストパターン5によってパターン転写された有
機シリコン膜パターン6のみであり、これによってアス
ペクト比を小さく抑えることができ、マイクロローディ
ング効果を抑えることができる。
Also, as shown in FIGS. 2A and 2B, the resist pattern 5 is removed, and as shown in FIG. 2C, only the organic silicon film pattern 6 is used as an etching mask. The pattern 7 may be formed by etching the processing target film 2. When processing the ultra-fine processing target film 2 having a high aspect ratio, after processing the silicon organic film, the resist pattern 5 on the silicon organic film is removed by another device or the same device. It is preferable to reduce the aspect ratio. In this case, the etching mask is only the organic silicon film pattern 6 transferred by the resist pattern 5, whereby the aspect ratio can be kept small and the microloading effect can be kept down.

【0136】以上説明した本発明のパターン形成方法に
よると、有機シリコン膜を変質させることなく、レジス
トに対して高い選択比でエッチングすることができ、レ
ジストの膜厚を薄くしても、寸法制御性良く、被加工膜
の加工を行うことができる。有機シリコン膜のガラス転
移温度が低い場合、或は有機シリコン膜を弗素系ガスで
エッチングした場合には、有機シリコン膜がスポンジ状
に変質してしまう。これは、おそらく、プラズマ中のハ
ロゲンラジカルが有機シリコン膜の内部まで浸透しやす
く、ハロゲン化シリコン化合物となって膜中から揮発
し、揮発しにくい有機成分がエッチングされずに残った
ためと考えられる。
According to the pattern forming method of the present invention described above, etching can be performed at a high selectivity with respect to the resist without deteriorating the organic silicon film. It is possible to process the film to be processed with good efficiency. When the glass transition temperature of the organic silicon film is low, or when the organic silicon film is etched with a fluorine-based gas, the organic silicon film is transformed into a sponge shape. This is probably because halogen radicals in the plasma easily penetrate into the interior of the organic silicon film, become a silicon halide compound, volatilize from the film, and hardly volatilizable organic components remain without being etched.

【0137】そこで、本発明の方法では、有機シリコン
膜のガラス転移温度を高めるか、或は有機シリコン膜を
架橋させることで、プラズマ中で発生したハロゲンラジ
カルを有機シリコン膜の内部まで浸透しにくくすること
により変質が抑制できたものと考えられる。
Therefore, in the method of the present invention, by increasing the glass transition temperature of the organic silicon film or by crosslinking the organic silicon film, it is difficult for the halogen radicals generated in the plasma to penetrate into the organic silicon film. It is considered that the alteration was able to be suppressed by doing so.

【0138】次に、種々の本発明の好ましい態様につい
て説明する。
Next, various preferred embodiments of the present invention will be described.

【0139】(1)本発明の方法において、有機シリコ
ン化合物として、主鎖に下記一般式[12]に示す構造
を有する化合物を用いること。
(1) In the method of the present invention, a compound having a structure represented by the following general formula [12] in the main chain is used as the organosilicon compound.

【0140】[0140]

【化70】 (式中、R1 、R2 、R3 、R4 およびR5 は、水素原
子、置換または無置換の炭素数3以下の炭化水素基であ
り、R6 は、水素原子または炭素数1〜20の置換また
は無置換の脂肪族炭化水素基または芳香族炭化水素基を
示す。) 上記式[12]に示す構造を有する有機シリコン化合物
の具体例として、下記式13−1〜13−54]に示す
ものを挙げることができる。
Embedded image (Wherein, R 1 , R 2 , R 3 , R 4 and R 5 are a hydrogen atom, a substituted or unsubstituted hydrocarbon group having 3 or less carbon atoms, and R 6 is a hydrogen atom or a carbon atom having 1 to 3 carbon atoms. 20 represents a substituted or unsubstituted aliphatic hydrocarbon group or aromatic hydrocarbon group.) As specific examples of the organosilicon compound having the structure represented by the above formula [12], the following formulas 13-1 to 13-54] Can be mentioned.

【0141】[0141]

【化71】 Embedded image

【0142】[0142]

【化72】 Embedded image

【0143】[0143]

【化73】 Embedded image

【0144】[0144]

【化74】 Embedded image

【0145】[0145]

【化75】 Embedded image

【0146】[0146]

【化76】 Embedded image

【0147】[0147]

【化77】 Embedded image

【0148】[0148]

【化78】 有機シリコン化合物として、上記一般式[12]に示す
構造を有する化合物を用いた場合には、有機シリコン膜
をエッチングする際に、レジストパターンおよび有機シ
リコン膜パターンが太ることがなく、レジストに対して
高選択比で有機シリコン膜をエッチングすることがで
き、レジストの膜厚を薄くしても所望の寸法で被加工膜
の加工を行うことが可能になる。
Embedded image When a compound having a structure represented by the general formula [12] is used as the organic silicon compound, the resist pattern and the organic silicon film pattern do not become thick when etching the organic silicon film, and the The organic silicon film can be etched with a high selectivity, and the film to be processed can be processed to a desired size even if the thickness of the resist is reduced.

【0149】これは、おそらく、上記一般式に示す構造
を有する有機シリコン化合物は、塩素、臭素、或は沃素
原子を含むガスでエッチングすると、レジストおよび有
機シリコン膜の側壁に再付着する生成物を形成しにくい
ため、レジストパターンが太らないと考えられる。
This is probably because the organosilicon compound having the structure represented by the above general formula, when etched with a gas containing chlorine, bromine, or iodine atoms, has a product that adheres to the resist and the side wall of the organosilicon film. It is considered that the resist pattern is not thick because it is difficult to form.

【0150】(2)本発明の方法において、被加工膜と
して、シリコン系絶縁膜を用いること。
(2) In the method of the present invention, a silicon-based insulating film is used as a film to be processed.

【0151】シリコン系絶縁膜としては、酸化シリコン
膜、窒化シリコン膜、酸窒化シリコン膜、或はスピンオ
ングラスなどを用いることができる。また、フォトマス
クの製造の際に用いられるブランク材でもよい。
As the silicon-based insulating film, a silicon oxide film, a silicon nitride film, a silicon oxynitride film, spin-on-glass, or the like can be used. Further, a blank material used in manufacturing a photomask may be used.

【0152】シリコンとシリコンの結合を主鎖に有する
有機シリコン化合物を含有する有機シリコン膜は、シリ
コン系絶縁膜をエッチングするのに適したプラズマ中で
はエッチングレートは遅く、エッチング耐性がある。そ
の結果、レジストパターンと有機シリコン膜からなるエ
ッチングマスク材、或は有機シリコン膜パターンのみか
らなるエッチングマスク材がシリコン系絶縁膜のエッチ
ング時に後退することを防ぐことができ、寸法制御性よ
く、シリコン系絶縁膜の加工を行うことが可能となる。
An organic silicon film containing an organic silicon compound having a silicon-to-silicon bond in its main chain has a low etching rate in plasma suitable for etching a silicon-based insulating film and has etching resistance. As a result, it is possible to prevent the etching mask material composed of the resist pattern and the organic silicon film or the etching mask material composed of only the organic silicon film pattern from being receded during the etching of the silicon-based insulating film. It becomes possible to process a system insulating film.

【0153】このように、本発明のパターン形成方法
は、被加工膜としてシリコン系絶縁膜を用いた場合に、
特に優れた効果が得られる。
As described above, according to the pattern forming method of the present invention, when a silicon-based insulating film is used as a film to be processed,
Particularly excellent effects can be obtained.

【0154】(3)本発明の方法において、有機シリコ
ン膜パターンの形成後、有機シリコン膜パターンに対し
て酸化処理を行うこと。
(3) In the method of the present invention, after forming the organic silicon film pattern, oxidizing the organic silicon film pattern.

【0155】被加工膜としては、アモルファスシリコ
ン、ポリシリコンなどのシリコン系材料、アルミニウ
ム、アルミニウムシリサイド、カッパー、タングステン
などの配線材料、シリコンナイトライド等が好ましい。
The film to be processed is preferably a silicon-based material such as amorphous silicon or polysilicon, a wiring material such as aluminum, aluminum silicide, copper, or tungsten, or silicon nitride.

【0156】望ましい酸化処理方法としては、電子ビー
ム、イオンビーム、紫外光、X線などのエネルギービー
ムを有機シリコン膜パターンに照射する方法、酸素プラ
ズマで酸素ラジカルを有機シリコン膜パターンに対して
照射する方法、H2 2 溶液、Fe2 (CN6 )溶液、
KMnO4 溶液、H2 2 とH2 SO4 との混合溶液、
Cr2 OとH2 SO4 との混合溶液、HClO4 とH2
SO4 との混用溶液、KMnO4 とH3 PO4 との混合
溶液、K2 2 8 とH2 SO4 との混合溶液、(NH
4 2 2 8 とH2 2 とH2 SO4 との混合溶液、
HNO3 とH2SO4 との混合溶液、(NH4 2 2
8 とH2 SO4 との混合溶液などの酸化剤を含む溶液
に被処理体を浸透させる方法が挙げられる。
As a preferable oxidation treatment method, a method of irradiating the organic silicon film pattern with an energy beam such as an electron beam, an ion beam, ultraviolet light, or X-rays, or irradiating oxygen radicals to the organic silicon film pattern with oxygen plasma. Method, H 2 O 2 solution, Fe 2 (CN 6 ) solution,
KMnO 4 solution, mixed solution of H 2 O 2 and H 2 SO 4 ,
A mixed solution of Cr 2 O and H 2 SO 4 , HClO 4 and H 2
Mixed solution with SO 4 , mixed solution of KMnO 4 and H 3 PO 4 , mixed solution of K 2 S 2 O 8 and H 2 SO 4 , (NH
4 ) a mixed solution of 2 S 2 O 8 , H 2 O 2 and H 2 SO 4 ,
A mixed solution of HNO 3 and H 2 SO 4 , (NH 4 ) 2 S 2
There is a method in which an object to be processed is permeated into a solution containing an oxidizing agent such as a mixed solution of O 8 and H 2 SO 4 .

【0157】図1(e)に示す状態で酸化処理を行う
と、図3(a)に示すように、有機シリコン膜パターン
6は酸化されて、酸化処理膜パターン8となる。その
際、酸化処理方法によっては、レジストパターン5が灰
化されてなくなってしまう。しかし、図3(c)に示す
ように、レジストパターン5が残っていてもよい。
When the oxidation treatment is performed in the state shown in FIG. 1E, the organic silicon film pattern 6 is oxidized to become an oxidation treatment film pattern 8 as shown in FIG. At that time, depending on the oxidation treatment method, the resist pattern 5 is incinerated and disappears. However, as shown in FIG. 3C, the resist pattern 5 may remain.

【0158】酸化処理が行われた後、図3(c)に示す
ように、酸化処理膜パターン8をエッチングマスクとし
て用いて、被加工膜2のエッチングを行う。なお、図3
(a)では、酸化処理膜パターン8のみをエッチングマ
スクとして用いて、被加工膜2をエッチングしている場
合を示しているが、図3(c)に示すように、レジスト
パターン5と酸化処理膜パターン8をエッチングマスク
として用いて、被加工膜2の加工を行ってもよい。
After the oxidizing process is performed, as shown in FIG. 3C, the film to be processed 2 is etched using the oxidized film pattern 8 as an etching mask. Note that FIG.
FIG. 3A shows a case where the film to be processed 2 is etched using only the oxidized film pattern 8 as an etching mask, but as shown in FIG. The film to be processed 2 may be processed using the film pattern 8 as an etching mask.

【0159】この場合のソースガスについては、被加工
膜が配線材料、或はシリコン系材料である場合は、臭素
系ガス、塩素系ガスを用いて被加工膜のエッチングを行
うのが望ましい。被加工膜がSiN膜である場合は、弗
素系ガスと窒素ガスを少なくとも含むガス系、或は弗素
系ガスと塩素系ガスを少なくとも含む混合ガス系を用い
るのが好ましい。
As for the source gas in this case, when the film to be processed is a wiring material or a silicon-based material, it is desirable to etch the film to be processed using a bromine-based gas or a chlorine-based gas. When the film to be processed is a SiN film, it is preferable to use a gas system containing at least a fluorine-based gas and a nitrogen gas, or a mixed gas system containing at least a fluorine-based gas and a chlorine-based gas.

【0160】以上のように、有機シリコン膜パターンに
酸化処理を施した場合には、得られた酸化処理膜パター
ンは、パターン露光時には反射防止膜として作用し、被
加工膜をエッチングする際にはハードマスクとして作用
するため、ハードマスクとレジストとの間に反射防止膜
を介在させる必要がなくなる。その結果、レジストパタ
ーンをハードマスクに忠実に転写することができ、寸法
制御性良く、被加工膜を加工することができる。
As described above, when the organic silicon film pattern is oxidized, the obtained oxidized film pattern acts as an anti-reflection film at the time of pattern exposure, and at the time of etching the film to be processed, Since it acts as a hard mask, it is not necessary to interpose an antireflection film between the hard mask and the resist. As a result, the resist pattern can be faithfully transferred to the hard mask, and the film to be processed can be processed with good dimensional control.

【0161】(4)本発明の方法において、被加工膜の
パターニングの後、エッチングマスクとして用いられた
有機シリコン膜パターンを、塩素原子、臭素原子および
弗素原子からなる群から選ばれた少なくとも1種を含む
ガスと、酸素原子を含むガスを用いて剥離(除去)する
こと。
(4) In the method of the present invention, after patterning the film to be processed, the pattern of the organic silicon film used as the etching mask may be at least one selected from the group consisting of chlorine atoms, bromine atoms and fluorine atoms. (Removal) using a gas containing oxygen and a gas containing oxygen atoms.

【0162】即ち、被加工膜のパターニング後の有機シ
リコン膜パターンを、酸素原子を含むガスに、塩素、臭
素および弗素原子からなる群から選ばれた少なくとも1
種の原子を含むガスを微量添加したガスを用いて発生さ
せたプラズマにさらすことによって、有機シリコン膜パ
ターンを、残すことなく完全に剥離することができる。
さの際、被加工膜が除去されることはない。
That is, the organic silicon film pattern after patterning the film to be processed is obtained by adding an oxygen atom-containing gas to at least one gas selected from the group consisting of chlorine, bromine and fluorine atoms.
By exposing the organic silicon film pattern to plasma generated by using a gas to which a gas containing a small amount of atoms is added, the organic silicon film pattern can be completely removed without leaving.
At this time, the film to be processed is not removed.

【0163】剥離の際に、プラズマ中の塩素、臭素、或
は弗素ラジカルは、被加工膜のエッチングを促進するた
め、これらの原子を含むガスの添加量が微量ですむこと
は、その分、被加工膜の削れ量を少なくすることができ
る。これは、おそらく、有機シリコン膜は、有機シリコ
ン膜のエッチング時に塩素、ヨウ素、或は臭素原子を膜
中に取り込みやすく、その分、有機シリコン膜の剥離の
際に、塩素、臭素、或は弗素原子の量を減らすことが可
能になるものと考えられる。
At the time of peeling, chlorine, bromine or fluorine radicals in the plasma accelerate the etching of the film to be processed, so that a small amount of the gas containing these atoms is required. The shaving amount of the film to be processed can be reduced. This is probably because the organic silicon film tends to take in chlorine, iodine, or bromine atoms into the film when etching the organic silicon film, and accordingly, when peeling the organic silicon film, chlorine, bromine, or fluorine is removed. It is believed that the amount of atoms can be reduced.

【0164】また、特に、主鎖に上記一般式[12]に
示す構造を有する有機シリコン化合物を用いた場合に
は、有機シリコン膜の剥離レートを速めることができ、
被加工膜の削れ量を少なくして有機シリコン膜を剥離す
ることができる。おそらく、一般式12に示す構造を有
する有機シリコン化合物は、塩素、臭素、或は弗素ラジ
カルと反応し、容易に気化しやすいためと考えられる。
In particular, when an organic silicon compound having the structure represented by the above general formula [12] is used for the main chain, the peeling rate of the organic silicon film can be increased,
The organic silicon film can be peeled off while reducing the amount of shaving of the film to be processed. Probably, the organosilicon compound having the structure represented by the general formula 12 reacts with chlorine, bromine, or fluorine radical and is easily vaporized.

【0165】なお(4)の態様における好ましい実施形
態を以下に例示する。
A preferred embodiment of the mode (4) will be exemplified below.

【0166】(a)有機シリコン膜の剥離工程におい
て、CF4 、SF6 、またはNF3 を含むガスを用いる
こと。
(A) In the step of removing the organic silicon film, a gas containing CF 4 , SF 6 , or NF 3 is used.

【0167】(b)有機シリコン膜を酸素原子を含むガ
スによって処理する工程と、塩素、臭素および弗素原子
からなる群から選ばれた少なくとも一種を含むガスによ
って処理する工程とを連続して行う、或は交互に行うこ
と。
(B) a step of treating the organic silicon film with a gas containing oxygen atoms and a step of treating the organic silicon film with a gas containing at least one selected from the group consisting of chlorine, bromine and fluorine atoms; Or alternate.

【0168】(c)有機シリコン膜を酸素原子を含むガ
スによって処理する工程と、CF4、SF6 およびNF
3 からなる群から選ばれた少なくとも一種を含むガスに
よって処理する工程とを連続して行う、或は交互に行う
こと。
(C) a step of treating the organic silicon film with a gas containing oxygen atoms, CF 4 , SF 6 and NF
And a step of treating with a gas containing at least one selected from the group consisting of 3 or alternately.

【0169】(d)有機シリコン膜を剥離する際に、処
理基板の温度を約100℃以下に保つこと。処理基板の
温度が100℃以下では、酸素ラジカルにより有機シリ
コン膜がグラス化する反応が起きにくくなり、有機シリ
コン膜の剥離レートが向上する。
(D) Keeping the temperature of the processing substrate at about 100 ° C. or less when the organic silicon film is peeled off. When the temperature of the processing substrate is 100 ° C. or lower, the reaction of vitrifying the organic silicon film due to oxygen radicals is less likely to occur, and the peeling rate of the organic silicon film is improved.

【0170】(e)有機シリコン膜を、塩素原子、臭素
原子および弗素原子からなる群から選ばれた少なくとも
1種と、酸素原子とを含むガスを用いて発生したプラズ
マにさらした後、アミン系溶媒を含む溶液にて処理を行
うこと。
(E) After exposing the organosilicon film to a plasma generated using a gas containing at least one selected from the group consisting of chlorine, bromine and fluorine atoms and oxygen atoms, an amine-based film is formed. Perform treatment with a solution containing a solvent.

【0171】(f)有機シリコン膜を、アミン系溶媒、
弗化水素、弗化アンモニウム、水酸化ナトニウム、硫
酸、および過酸化水素の少なくとも一種類を含む溶液に
浸漬することにより、剥離するか、またはそのような浸
漬の後、アミン系溶媒、弗化水素、弗化アンモニウム、
水酸化ナトニウム、硫酸、過酸化水素のうちの上述の溶
液とは異なる一種類を含む溶液に浸漬して剥離するこ
と。
(F) The organic silicon film is formed by using an amine solvent,
Exfoliation by immersion in a solution containing at least one of hydrogen fluoride, ammonium fluoride, sodium hydroxide, sulfuric acid, and hydrogen peroxide, or after such immersion, an amine-based solvent, hydrogen fluoride , Ammonium fluoride,
Immersion in a solution containing one of sodium hydroxide, sulfuric acid, and hydrogen peroxide, which is different from the above solution, and stripping.

【0172】特に、有機シリコン化合物が、上述の一般
式12に示す構造を主鎖に有するものである場合には、
溶液が膜中に浸透しやすくなり、剥離レートが向上す
る。
In particular, when the organosilicon compound has the structure represented by the general formula 12 in the main chain,
The solution easily permeates into the film, and the peeling rate is improved.

【0173】(g)(f)において、有機シリコン膜を
上記溶液に浸漬した後、酸素原子を含むガスを用いて発
生させたプラズマにさらす処理を行うこと。また、この
酸素原子を含むガスに、塩素原子、臭素原子、或は弗素
原子の何れかを含むガスを含ませることができる。塩素
原子、臭素原子、或は弗素原子の何れかを含むガスとし
ては、CF4 、SF6 、NF3 が挙げられる。
(G) In (f), after the organic silicon film is immersed in the above solution, the film is exposed to plasma generated using a gas containing oxygen atoms. Further, the gas containing an oxygen atom can include a gas containing any of a chlorine atom, a bromine atom, and a fluorine atom. Examples of the gas containing any of a chlorine atom, a bromine atom and a fluorine atom include CF 4 , SF 6 and NF 3 .

【0174】(h)(f)において、有機シリコン膜を
硫酸と過酸化水素を含む溶液に浸漬した後、弗化水素ま
たは弗化アンモニウムを含む溶液に浸漬すること。
(H) In (f), the organic silicon film is immersed in a solution containing sulfuric acid and hydrogen peroxide, and then immersed in a solution containing hydrogen fluoride or ammonium fluoride.

【0175】以上の好ましい実施形態に係る方法を用い
て有機シリコン膜を剥離するが、その際、レジストパタ
ーンも同時に剥離してもよい。
The organic silicon film is stripped using the method according to the preferred embodiment described above. At this time, the resist pattern may be stripped at the same time.

【0176】実施例1 まず、始めに以下の(A1)〜(A10)に示す方法に
より、式[1−1]に示す有機シリコン化合物を含有す
る有機シリコン膜をシリコンウェハー上に形成した。ま
た、以下の(R1)〜(R4)に示す方法により、レジ
ストおよび比較のために従来型反射防止膜をシリコンウ
ェハー上に形成した。
Example 1 First, an organic silicon film containing an organic silicon compound represented by the formula [1-1] was formed on a silicon wafer by the methods shown in the following (A1) to (A10). Further, a resist and a conventional antireflection film for comparison were formed on a silicon wafer by the following methods (R1) to (R4).

【0177】(A1):式[1−1]に示す、重量平均
分子量5、000の有機シリコン化合物10gをトルエ
ン90gに溶解して作成した溶液材料を、スピンコーテ
ング法により下地基板上に塗布した。次いで、下地基板
をホットプレートを用いて100℃で90秒間加熱し、
溶剤を気化乾燥させ、有機シリコン膜を形成した。
(A1): A solution material prepared by dissolving 10 g of an organosilicon compound having a weight-average molecular weight of 5,000, represented by the formula [1-1], in 90 g of toluene was applied onto a base substrate by spin coating. . Next, the base substrate was heated at 100 ° C. for 90 seconds using a hot plate,
The solvent was evaporated and dried to form an organic silicon film.

【0178】(A2):(A1)において、重量平均分
子量2、500の有機シリコン化合物を用いた。
(A2): In (A1), an organosilicon compound having a weight average molecular weight of 2,500 was used.

【0179】(A3):(A1)において、重量平均分
子量1、000の有機シリコン化合物を用いた。
(A3): In (A1), an organosilicon compound having a weight average molecular weight of 1,000 was used.

【0180】(A4):(A1)において、重量平均分
子量500の有機シリコン化合物を用いた。
(A4): In (A1), an organosilicon compound having a weight average molecular weight of 500 was used.

【0181】(A5):(A1)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、窒素雰囲気下(酸素濃度50ppm以下)で、ホ
ットプレートを用いて、500℃で1時間加熱し、有機
シリコン膜を架橋させた。
(A5): The solution material prepared as in (A1) was applied on an undersubstrate by a spin coating method, and then heated to 500 ° C. in a nitrogen atmosphere (oxygen concentration: 50 ppm or less) using a hot plate. For 1 hour to crosslink the organic silicon film.

【0182】(A6):(A1)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、ホットプレートを用いて、100℃で90秒間加
熱して溶剤を気化乾燥させた後、窒素雰囲気下(酸素濃
度50ppm以下)でKrFエキシマレーザーを有機シ
リコン膜全面に露光量400mJ/cm2 で照射して、
有機シリコン膜を架橋させた。
(A6): The solution material prepared as in (A1) was applied on an undersubstrate by spin coating, and then heated at 100 ° C. for 90 seconds using a hot plate to evaporate and dry the solvent. After that, the entire surface of the organic silicon film is irradiated with a KrF excimer laser at an exposure dose of 400 mJ / cm 2 under a nitrogen atmosphere (oxygen concentration 50 ppm or less),
The organic silicon film was crosslinked.

【0183】(A7):(A1)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、窒素雰囲気下(酸素濃度50ppm以下)でホッ
トプレートを用いて160℃で90秒間加熱しながら、
KrFエキシマレーザーを有機シリコン膜全面に露光量
80mJ/cm2 で照射して、有機シリコン膜を架橋さ
せた。
(A7): The solution material prepared as in (A1) was applied on an undersubstrate by spin coating, and then 90 ° C. at 160 ° C. using a hot plate under a nitrogen atmosphere (oxygen concentration 50 ppm or less). While heating for seconds
The entire surface of the organic silicon film was irradiated with a KrF excimer laser at an exposure amount of 80 mJ / cm 2 to crosslink the organic silicon film.

【0184】(A8):式[1−1]に示す重量平均分
子量5、000の有機シリコン化合物10g、式[3−
61]に示す架橋剤1g、ラジカル発生剤としてシリル
ペルオキシド0.1gをトルエン89.9gに溶解して
作成した溶液材料を、スピンコーテング法により下地基
板上に塗布した。次いで、窒素雰囲気下(酸素濃度50
ppm以下)でホットプレートを用いて180℃で1時
間加熱して、有機シリコン膜を架橋させた。
(A8): 10 g of an organosilicon compound having a weight average molecular weight of 5,000 represented by the formula [1-1] and a compound of the formula [3-
61], and a solution material prepared by dissolving 1 g of a cross-linking agent and 0.1 g of silyl peroxide as a radical generator in 89.9 g of toluene was applied onto a base substrate by spin coating. Then, under a nitrogen atmosphere (oxygen concentration 50
(ppm or less) using a hot plate at 180 ° C. for 1 hour to crosslink the organic silicon film.

【0185】(A9):式[1−1]に示す重量平均分
子量5、000の有機シリコン化合物10g、式[3−
61]に示す架橋剤1g、ラジカル発生剤としてシリル
ペルオキシド0.1gをトルエン89.9gに溶解して
作成した溶液材料をスピンコーテング法により下地基板
上に塗布した。次いで、ホットプレートを用いて100
℃で90秒間加熱して溶剤を気化乾燥させた後、窒素雰
囲気下(酸素濃度50ppm以下)でKrFエキシマレ
ーザーを有機シリコン膜全面に露光量150mJ/cm
2 で照射して、有機シリコン膜を架橋させた。
(A9) 10 g of an organosilicon compound having a weight average molecular weight of 5,000 represented by the formula [1-1] and a compound of the formula [3-
61], a solution material prepared by dissolving 1 g of a crosslinking agent and 0.1 g of silyl peroxide as a radical generator in 89.9 g of toluene was applied onto a base substrate by spin coating. Then, using a hot plate, 100
After heating at 90 ° C. for 90 seconds to evaporate and dry the solvent, the entire surface of the organic silicon film was exposed to a KrF excimer laser under a nitrogen atmosphere (oxygen concentration: 50 ppm or less) at a dose of 150 mJ / cm.
Irradiation was performed at 2 to crosslink the organic silicon film.

【0186】(A10):式[1−1]に示す重量平均
分子量5、000の有機シリコン化合物10g、式[3
−61]に示す架橋剤1g、ラジカル発生剤としてシリ
ルペルオキシド0.1gをトルエン88.9gに溶解し
て作成した溶液材料をスピンコーテング法により下地基
板上に塗布した。次いで、窒素雰囲気下(酸素濃度50
ppm以下)でホットプレートを用いて160℃で90
秒間加熱しながら、KrFエキシマレーザーを有機シリ
コン膜全面に露光量10mJ/cm2 で照射して、有機
シリコン膜を架橋させた。
(A10) 10 g of an organosilicon compound having a weight average molecular weight of 5,000 represented by the formula [1-1] and a compound of the formula [3]
-61], and a solution material prepared by dissolving 1 g of a crosslinking agent and 0.1 g of a silyl peroxide as a radical generator in 88.9 g of toluene was applied on a base substrate by a spin coating method. Then, under a nitrogen atmosphere (oxygen concentration 50
ppm or less) at 160 ° C. using a hot plate at 90 ° C.
While heating for 2 seconds, the entire surface of the organic silicon film was irradiated with a KrF excimer laser at an exposure amount of 10 mJ / cm 2 to crosslink the organic silicon film.

【0187】(R1):重量平均分子量11、000の
ポリビニルフェノールの水酸基の50%がターシャリブ
トキシカルボニル基で置換された抑止剤樹脂10g、酸
発生剤としてスルフォンイミド0.01gを乳酸エチル
89,99gに溶解して作成したレジスト溶液を、下地
基板上にスピンコーテング法により塗布した。次いで、
ホットプレートを用いて120℃で60秒間加熱してレ
ジストを形成した。
(R1): 10 g of an inhibitor resin in which 50% of hydroxyl groups of polyvinyl phenol having a weight average molecular weight of 11,000 were substituted with a tertiary butoxycarbonyl group, 0.01 g of sulfonimide as an acid generator was mixed with 89 l of ethyl lactate. A resist solution prepared by dissolving the resist solution in 99 g was applied onto a base substrate by a spin coating method. Then
The resist was formed by heating at 120 ° C. for 60 seconds using a hot plate.

【0188】(R2):ポリサルフォン10gをシクロ
ヘキサノン90gに溶解して作成した溶液材料を、下地
基板上にスピンコーテング法で塗布した後、ホットプレ
ートを用いて220℃で90秒間加熱した。
(R2): A solution material prepared by dissolving 10 g of polysulfone in 90 g of cyclohexanone was applied on a base substrate by spin coating, and then heated at 220 ° C. for 90 seconds using a hot plate.

【0189】(R3):ポリベンズイミダゾール10g
をシクロヘキサノン90gに溶解して作成した溶液材料
を、下地基板上にスピンコーテング法で塗布した後、ホ
ットプレートを用いて220℃で90秒間加熱した。
(R3): 10 g of polybenzimidazole
Was dissolved in 90 g of cyclohexanone, and applied to a base substrate by a spin coating method, and then heated at 220 ° C. for 90 seconds using a hot plate.

【0190】(R4):ノボラック樹脂10gをトルエ
ン90gに溶解して作成した溶液材料を、下地基板上に
スピンコーテング法で塗布した後、ホットプレートを用
いて320℃で90秒間加熱した。
(R4): A solution material prepared by dissolving 10 g of novolak resin in 90 g of toluene was applied on a base substrate by spin coating, and then heated at 320 ° C. for 90 seconds using a hot plate.

【0191】以上の(A1)〜(A10)の方法で形成
した有機シリコン膜のガラス転移温度を測定した。その
結果を下記表1に示す。
The glass transition temperature of the organic silicon film formed by the above-described methods (A1) to (A10) was measured. The results are shown in Table 1 below.

【0192】次に、マグネトロン型反応性イオンエッチ
ング装置を用いて、(A1)〜(A10)及び(R1)
〜(R4)の方法で得た膜を、下記のエッチング条件
(P1)〜(P4)でそれぞれエッチングして、エッチ
ング特性を調べた。
Next, (A1) to (A10) and (R1) were obtained using a magnetron-type reactive ion etching apparatus.
The films obtained by the methods (1) to (R4) were etched under the following etching conditions (P1) to (P4), and the etching characteristics were examined.

【0193】(P1) エッチングガス:流量120S
CCMのCF4 、電力密度:2W/cm2 、真空度:2
0mTorr、基板温度:50℃ (P2) エッチングガス:流量200SCCMのCl
2 、電力密度:1.5W/cm2 、真空度:30mTo
rr、基板温度:80℃ (P3) エッチングガス:流量150SCCMのHB
r、電力密度:1.7W/cm2 、真空度:12mTo
rr、基板温度:50℃ (P4) エッチングガス:流量20/180SCCM
のCF4 /Cl2 、電力密度:2W/cm2 、真空度:
12mTorr、基板温度:50℃ エッチング後の有機シリコン膜の状態を走査型電子顕微
鏡(SEM)で観察した結果、(P1)〜(P4)の何
れの条件でも、(A1)および(A2)の膜は、図4
(b)に示すようにスポンジ状に変質してエッチングが
正常に行われていないことが分かった。また、(A3)
〜(A10)の膜でも、(P1)の条件でエッチングし
た場合は変質しており、ガラス転移温度が高い膜でも弗
素系ガスでエッチングするとエッチングが正常に行われ
ないことがわかった。
(P1) Etching gas: flow rate 120S
CF 4 of CCM, power density: 2 W / cm 2 , degree of vacuum: 2
0 mTorr, substrate temperature: 50 ° C. (P2) etching gas: Cl at a flow rate of 200 SCCM
2 , power density: 1.5 W / cm 2 , degree of vacuum: 30 mTo
rr, substrate temperature: 80 ° C. (P3) etching gas: HB at a flow rate of 150 SCCM
r, power density: 1.7 W / cm 2 , degree of vacuum: 12 mTo
rr, substrate temperature: 50 ° C. (P4) etching gas: flow rate 20/180 SCCM
CF 4 / Cl 2 , power density: 2 W / cm 2 , degree of vacuum:
12 mTorr, substrate temperature: 50 ° C. As a result of observing the state of the organic silicon film after etching with a scanning electron microscope (SEM), the films (A1) and (A2) were obtained under any of the conditions (P1) to (P4). Figure 4
As shown in (b), it was found that the material was changed into a sponge shape and the etching was not performed normally. Also, (A3)
The films (A10) to (A10) were also deteriorated when etched under the condition of (P1), and it was found that etching was not performed properly even if the film had a high glass transition temperature when etched with a fluorine-based gas.

【0194】これに対し、(A3)〜(A10)の膜を
(P2)〜(P4)の条件でエッチングした場合は、図
4(a)に示すように変質はなく、エッチングが正常に
行われていることを確認した。
On the other hand, when the films (A3) to (A10) were etched under the conditions of (P2) to (P4), there was no alteration as shown in FIG. I confirmed that

【0195】レジストのエッチングレート、およびレジ
ストと有機シリコン膜のエッチング選択比(=有機シリ
コン膜のエッチングレート/レジストのエッチングレー
ト)、比較のためにレジストと従来型の反射防止膜との
エッチング選択比(=従来型の反射防止膜のエッチング
レート/レジストのエッチングレート)を下記表1に示
す。
The etching rate of the resist, the etching selectivity between the resist and the organic silicon film (= the etching rate of the organic silicon film / the etching rate of the resist), and the etching selectivity between the resist and the conventional antireflection film for comparison (= Etching rate of conventional antireflection film / etching rate of resist) is shown in Table 1 below.

【0196】下記表1に示すように、(A3)〜(A1
0)の膜の選択比は、ほぼ2以上であり、従来型の反射
防止膜よりも高い選択比である。従って、レジストの膜
厚を薄膜化しても、レジストが途中で削れることなく、
反射防止膜をエッチングすることが可能となる。
As shown in Table 1 below, (A3) to (A1)
The selectivity of the film 0) is about 2 or more, which is higher than that of the conventional antireflection film. Therefore, even if the film thickness of the resist is reduced, the resist is not removed in the middle,
It becomes possible to etch the antireflection film.

【0197】[0197]

【表1】 [Table 1]

【0198】[0198]

【表2】 [Table 2]

【0199】[0199]

【表3】 [Table 3]

【0200】[0200]

【表4】 実施例2 本実施例は、実施例1において、有機シリコン膜中に光
導電性を生じせしめるために、フラーレン(C60)を
添加した場合を示す。
[Table 4] Example 2 This example shows a case in which fullerene (C60) was added in Example 1 in order to generate photoconductivity in the organic silicon film.

【0201】(B1):式[1−1]に示す重量平均分
子量5、000の有機シリコン化合物10g、フラーレ
ン0.01gをトルエン89.99gに溶解して作成し
た溶液材料をスピンコーテング法により下地基板上に塗
布した。次いで、ホットプレートを用いて100℃で9
0秒間加熱して溶剤を気化乾燥させて、有機シリコン膜
を形成した。
(B1): A solution material prepared by dissolving 10 g of an organosilicon compound having a weight average molecular weight of 5,000 represented by the formula [1-1] and 0.01 g of fullerene in 89.99 g of toluene was used as a base material by a spin coating method. Coated on substrate. Next, 9 hours at 100 ° C. using a hot plate.
The solvent was vaporized and dried by heating for 0 second to form an organic silicon film.

【0202】(B2):(B1)において、重量平均分
子量2、500の有機シリコン化合物を用いた。
(B2): In (B1), an organosilicon compound having a weight average molecular weight of 2,500 was used.

【0203】(B3):(B1)において、重量平均分
子量1、000の有機シリコン化合物を用いた。
(B3): In (B1), an organosilicon compound having a weight average molecular weight of 1,000 was used.

【0204】(B4):(B1)において、重量平均分
子量500の有機シリコン化合物を用いた。
(B4): In (B1), an organosilicon compound having a weight average molecular weight of 500 was used.

【0205】(B5):(B1)にように作成した溶液
材料をスピンコーテング法により下地基板上に塗布した
後、窒素雰囲気下(酸素濃度50ppm以下)でホット
プレートを用いて500℃で1時間加熱して、有機シリ
コン膜を架橋させた。
(B5): The solution material prepared as in (B1) was applied on a base substrate by a spin coating method, and then was heated at 500 ° C. for 1 hour using a hot plate under a nitrogen atmosphere (oxygen concentration: 50 ppm or less). Heat was applied to crosslink the organic silicon film.

【0206】(B6):(B1)にように作成した溶液
材料をスピンコーテング法により下地基板上に塗布した
後、ホットプレートを用いて100℃で90秒間加熱し
て溶剤を気化乾燥させた後、窒素雰囲気下(酸素濃度5
0ppm以下)でKrFエキシマレーザーを有機シリコ
ン膜全面に露光量400mJ/cm2で照射して、有機
シリコン膜を架橋させた。
(B6): The solution material prepared as in (B1) was applied on an underlying substrate by spin coating, and then heated at 100 ° C. for 90 seconds using a hot plate to evaporate and dry the solvent. Under nitrogen atmosphere (oxygen concentration 5
(0 ppm or less), the entire surface of the organic silicon film was irradiated with a KrF excimer laser at an exposure dose of 400 mJ / cm 2 to crosslink the organic silicon film.

【0207】(B7):(B1)にように作成した溶液
材料をスピンコーテング法により下地基板上に塗布した
後、窒素雰囲気下(酸素濃度50ppm)でホットプレ
ートを用いて160℃で90秒間加熱しながら、KrF
エキシマレーザーを有機シリコン膜全面に露光量80m
J/cm2で照射して、有機シリコン膜を架橋させた。
(B7): The solution material prepared as in (B1) was applied on an underlying substrate by spin coating, and then heated at 160 ° C. for 90 seconds using a hot plate in a nitrogen atmosphere (oxygen concentration: 50 ppm). While KrF
Excimer laser is applied over the entire surface of the organic silicon film with a light exposure of 80 m.
Irradiation was performed at J / cm 2 to crosslink the organic silicon film.

【0208】(B8):式[1−1]に示す重量平均分
子量5、000の有機シリコン化合物10g、式[3−
61]に示す架橋剤1g、ラジカル発生剤としてシリル
ペルオキシド0.1g、フラーレン0.01gをトルエ
ン88.89gに溶解して作成した溶液材料を、スピン
コーテング法により下地基板上に塗布した。次いで、窒
素雰囲気下(酸素濃度50ppm以下)でホットプレー
トを用いて180℃で1時間加熱して、有機シリコン膜
を架橋させた。
(B8): 10 g of an organosilicon compound having a weight average molecular weight of 5,000 represented by the formula [1-1] and a compound of the formula [3-
61], a solution material prepared by dissolving 1 g of a cross-linking agent, 0.1 g of silyl peroxide as a radical generator, and 0.01 g of fullerene in 88.89 g of toluene was applied onto a base substrate by spin coating. Next, the organic silicon film was cross-linked by heating at 180 ° C. for 1 hour using a hot plate in a nitrogen atmosphere (oxygen concentration: 50 ppm or less).

【0209】(B9):(B8)にように作成した溶液
材料をスピンコーテング法により下地基板上に塗布した
後、ホットプレートを用いて100℃で90秒間加熱し
て溶剤を気化乾燥させ、次いで、窒素雰囲気下(酸素濃
度50ppm以下)でKrFエキシマレーザーを有機シ
リコン膜全面に露光量150mJ/cm2で照射して、
有機シリコン膜を架橋させた。
(B9): The solution material prepared as in (B8) was applied on an underlying substrate by a spin coating method, and then heated at 100 ° C. for 90 seconds using a hot plate to evaporate and dry the solvent. Irradiating the entire surface of the organic silicon film with a KrF excimer laser at a light exposure of 150 mJ / cm 2 under a nitrogen atmosphere (oxygen concentration 50 ppm or less)
The organic silicon film was crosslinked.

【0210】(B10):(B8)にように作成した溶
液材料をスピンコーテング法により下地基板上に塗布し
た後、窒素雰囲気下(酸素濃度50ppm以下)でホッ
トプレートを用いて160℃で90秒間加熱しながら、
KrFエキシマレーザーを有機シリコン膜全面に露光量
10mJ/cm2で照射して、有機シリコン膜を架橋さ
せた。
(B10): The solution material prepared as in (B8) was applied on a base substrate by a spin coating method, and then was heated at 160 ° C. for 90 seconds using a hot plate under a nitrogen atmosphere (oxygen concentration: 50 ppm or less). While heating
The organic silicon film was cross-linked by irradiating the entire surface of the organic silicon film with a KrF excimer laser at an exposure amount of 10 mJ / cm 2.

【0211】以上(B1)〜(B10)の方法で形成し
た有機シリコン膜のガラス転移温度を測定した。その結
果を下記表2に示す。
The glass transition temperatures of the organosilicon films formed by the methods (B1) to (B10) were measured. The results are shown in Table 2 below.

【0212】次に、マグネトロン型反応性イオンエッチ
ング装置を用いて、(B1)〜(B10)により得た有
機シリコン膜を、実施例1の(P1)〜(P4)の条件
でエッチングして、エッチング特性を調べた。エッチン
グ後の有機シリコン膜の状態を走査型電子顕微鏡で観察
した結果、(P1)〜(P4)の何れの条件でも、(B
1)および(B2)の膜は変質して、エッチングが正常
に行われていないことが分かった。また、(B3)〜
(B10)の膜でも(P1)の条件でエッチングした場
合は変質しており、ガラス転移温度が高い膜でも弗素系
ガスでエッチングするとエッチングが正常に行われない
ことがわかる。
Next, the organic silicon film obtained by (B1) to (B10) was etched under the conditions of (P1) to (P4) in Example 1 using a magnetron type reactive ion etching apparatus. The etching characteristics were examined. As a result of observing the state of the organic silicon film after the etching with a scanning electron microscope, (B1) was obtained under any of the conditions (P1) to (P4).
It was found that the films of 1) and (B2) were altered and the etching was not performed normally. Also, (B3)-
Even if the film of (B10) is etched under the condition of (P1), the film is deteriorated, and it can be seen that even if the film has a high glass transition temperature, etching is not performed properly when etching is performed with a fluorine-based gas.

【0213】(B3)〜(B10)の膜を(P2)〜
(P4)の条件でエッチングした場合は、変質はなく、
エッチングが正常に行われていることを確認した。この
時の、レジストと有機シリコン膜とのエッチング選択比
を下記表2に示す。下記表2から明らかなように、(B
3)〜(B10)の膜の選択比は、ほぼ2以上であり、
従来型の反射防止膜よりも高い選択比である。従って、
レジストの膜厚を薄膜化しても、レジストが途中で削れ
ることなく反射防止膜をエッチングすることが可能とな
る。
The films of (B3) to (B10) were replaced with (P2) to
When etched under the condition of (P4), there is no deterioration,
It was confirmed that etching was performed normally. Table 2 below shows the etching selectivity between the resist and the organic silicon film at this time. As is clear from Table 2 below, (B
The selectivity of the films 3) to (B10) is almost 2 or more;
The selectivity is higher than that of a conventional antireflection film. Therefore,
Even if the film thickness of the resist is reduced, the antireflection film can be etched without the resist being scraped in the middle.

【0214】レジストと有機シリコン膜とのエッチング
選択比を算出した結果を下記表2に示す。下記表2か
ら、実施例1と比べると選択比が向上していることがわ
かる。これはおそらく、エッチング中のプラズマ発光に
より反射防止膜に導電性が生じ、プラズマ中のラジカル
と反射防止膜のシリコンが反応して、揮発性生成物を生
成しやすくなったためと考えられる。
The results of calculating the etching selectivity between the resist and the organic silicon film are shown in Table 2 below. From Table 2 below, it can be seen that the selectivity is improved as compared with Example 1. This is probably because the plasma emission during etching caused conductivity in the anti-reflection film, and radicals in the plasma reacted with silicon in the anti-reflection film to easily generate volatile products.

【0215】[0215]

【表5】 [Table 5]

【0216】[0216]

【表6】 実施例3 まず、始めに以下の(C1)〜(C10)に示す方法に
より、式[1−82]に示す有機シリコン化合物(m/
n=4/1)を含有する有機シリコン膜を下地基板上に
形成した。
[Table 6] Example 3 First, an organosilicon compound (m / m) represented by the formula [1-82] was obtained by the following methods (C1) to (C10).
An organic silicon film containing (n = 4/1) was formed on a base substrate.

【0217】(C1):式[1−82]に示す、重量平
均分子量2、000の有機シリコン化合物10gをアニ
ソール90gに溶解して作成した溶液材料をスピンコー
テング法により下地基板上に塗布した。次いで、ホット
プレートを用いて160℃で90秒間加熱して溶剤を気
化乾燥させて、有機シリコン膜を形成した。
(C1): A solution material prepared by dissolving 10 g of an organosilicon compound having a weight-average molecular weight of 2,000 represented by the formula [1-82] in 90 g of anisole was applied on a base substrate by spin coating. Next, the solvent was vaporized and dried by heating at 160 ° C. for 90 seconds using a hot plate to form an organic silicon film.

【0218】(C2):(C1)において、重量平均分
子量4、000の有機シリコン化合物を用いた。
(C2): In (C1), an organosilicon compound having a weight average molecular weight of 4,000 was used.

【0219】(C3):(C1)において、重量平均分
子量8、000の有機シリコン化合物を用いた。
(C3): In (C1), an organosilicon compound having a weight average molecular weight of 8,000 was used.

【0220】(C4):(C1)において、重量平均分
子量16、000の有機シリコン化合物を用いた。
(C4): In (C1), an organosilicon compound having a weight average molecular weight of 16,000 was used.

【0221】(C5):(C2)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、窒素雰囲気下(酸素濃度50ppm以下)でホッ
トプレートを用いて350℃で1時間加熱して、有機シ
リコン膜を架橋させた。
(C5): The solution material prepared as in (C2) was applied on a base substrate by a spin coating method, and then heated at 350 ° C. using a hot plate under a nitrogen atmosphere (oxygen concentration: 50 ppm or less). By heating for an hour, the organic silicon film was crosslinked.

【0222】(C6):(C2)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、ホットプレートを用いて160℃で90秒間加熱
して溶剤を気化乾燥させ、次いで、ArFエキシマレー
ザーを有機シリコン膜全面に露光量150mJ/cm2
で照射して、有機シリコン膜を架橋させた。
(C6): The solution material prepared as in (C2) was applied on an underlying substrate by a spin coating method, and then heated at 160 ° C. for 90 seconds using a hot plate to evaporate and dry the solvent. Next, an ArF excimer laser is applied to the entire surface of the organic silicon film at a light exposure of 150 mJ / cm 2.
To crosslink the organic silicon film.

【0223】(C7):(C2)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、窒素雰囲気下(酸素濃度50ppm以下)でホッ
トプレートを用いて160℃で90秒間加熱しながら、
ArFエキシマレーザーを有機シリコン膜全面に露光量
10mJ/cm2で照射して、有機シリコン膜を架橋さ
せた。
(C7): The solution material prepared as in (C2) was applied on an undersubstrate by spin coating, and then 90 ° C. at 160 ° C. using a hot plate under a nitrogen atmosphere (oxygen concentration 50 ppm or less). While heating for seconds
The entire surface of the organic silicon film was irradiated with an ArF excimer laser at an exposure amount of 10 mJ / cm 2 to crosslink the organic silicon film.

【0224】(C8):式[1−82]に示す重量平均
分子量4、000の有機シリコン化合物10g、式[4
−12]に示すラジカル発生剤0.1gをアニソール8
9.9gに溶解して作成した溶液材料をスピンコーテン
グ法により下地基板上に塗布した。次いで、窒素雰囲気
下(酸素濃度50ppm以下)でホットプレートを用い
て180℃で1時間加熱して、有機シリコン膜を架橋さ
せた。
(C8) 10 g of an organosilicon compound having a weight average molecular weight of 4,000 represented by the formula [1-82] and a compound of the formula [4
-12] to 0.1 g of anisole 8
A solution material prepared by dissolving the solution in 9.9 g was applied on a base substrate by a spin coating method. Next, the organic silicon film was cross-linked by heating at 180 ° C. for 1 hour using a hot plate in a nitrogen atmosphere (oxygen concentration: 50 ppm or less).

【0225】(C9):(C8)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、ホットプレートを用いて160℃で90秒間加熱
して溶剤を気化乾燥させ、次いで、ArFエキシマレー
ザーを有機シリコン膜全面に露光量60mJ/cm2で
照射して、有機シリコン膜を架橋させた。
(C9): The solution material prepared as in (C8) was applied on an underlying substrate by a spin coating method, and then heated at 160 ° C. for 90 seconds using a hot plate to evaporate and dry the solvent. Next, an ArF excimer laser was irradiated onto the entire surface of the organic silicon film at an exposure amount of 60 mJ / cm 2 to crosslink the organic silicon film.

【0226】(C10):(C8)のように作成した溶
液材料を、スピンコーテング法により下地基板上に塗布
した後、窒素雰囲気下(酸素濃度50ppm以下)でホ
ットプレートを用いて160℃で90秒間加熱しなが
ら、ArFエキシマレーザーを有機シリコン膜全面に露
光量10mJ/cm2で照射して、有機シリコン膜を架
橋させた。
(C10): The solution material prepared as in (C8) was applied on an undersubstrate by a spin coating method, and then 90 ° C. at 160 ° C. using a hot plate under a nitrogen atmosphere (oxygen concentration: 50 ppm or less). An ArF excimer laser was irradiated on the entire surface of the organic silicon film at an exposure amount of 10 mJ / cm 2 while heating for 2 seconds to crosslink the organic silicon film.

【0227】以上の(C1)〜(C10)の方法で形成
した有機シリコン膜のガラス転移温度を測定した。その
結果を下記表3に示す。
The glass transition temperatures of the organosilicon films formed by the methods (C1) to (C10) were measured. The results are shown in Table 3 below.

【0228】次に、マグネトロン型反応性イオンエッチ
ング装置を用いて、(C1)〜(C10)の膜および実
施例1の(R1)〜(R4)の方法で形成したレジスト
および従来型の反射防止膜を下記のエッチング条件(P
5)〜(P8)でそれぞれエッチングし、それらの膜の
エッチング特性を調べた。
Next, using a magnetron type reactive ion etching apparatus, the resists (C1) to (C10), the resists formed by the methods (R1) to (R4) of Example 1, and the conventional antireflection The film was etched under the following etching conditions (P
Etching was performed in each of 5) to (P8), and the etching characteristics of those films were examined.

【0229】(P5) エッチングガス:流量120S
CCMのCF4 、電力密度:1.8W/cm2 、真空
度:20mTorr、基板温度:80℃ (P6) エッチングガス:流量20/180SCCM
のCl2 /BCl3 、電力密度:1.5W/cm2 、真
空度:30mTorr、基板温度:80℃ (P7) エッチングガス:流量180/20SCCM
のCl2 /HBr、電力密度:1.2W/cm2 、真空
度:12mTorr、基板温度:50℃ (P8) エッチングガス:流量200SCCMのCF
3 Cl、電力密度:1.2W/cm2 、真空度:12m
Torr、基板温度:50℃ エッチング後の有機シリコン膜の状態を走査型電子顕微
鏡で観察した結果、(P5)〜(P8)の何れの条件で
も、(C1)および(C2)の膜は変質してエッチング
が正常に行われていないことが分かった。また、(C
3)〜(C10)の膜でも、(P5)の条件でエッチン
グした場合は変質しており、ガラス転移温度が高い膜で
も弗素系ガスでエッチングするとエッチングが正常に行
われないことがわかる。
(P5) Etching gas: flow rate 120S
CF 4 of CCM, power density: 1.8 W / cm 2 , degree of vacuum: 20 mTorr, substrate temperature: 80 ° C. (P6) Etching gas: flow rate 20/180 SCCM
Cl 2 / BCl 3 , power density: 1.5 W / cm 2 , vacuum degree: 30 mTorr, substrate temperature: 80 ° C. (P7) etching gas: flow rate 180/20 SCCM
Of Cl 2 / HBr, power density: 1.2 W / cm 2, the degree of vacuum: 12 mTorr, substrate temperature: 50 ° C. (P8) Etching gas: flow rate 200 SCCM CF
3 Cl, power density: 1.2 W / cm 2 , degree of vacuum: 12 m
Torr, substrate temperature: 50 ° C. As a result of observing the state of the organic silicon film after etching with a scanning electron microscope, the films (C1) and (C2) were deteriorated under any of the conditions (P5) to (P8). It was found that the etching was not performed normally. Also, (C
The films 3) to (C10) are also deteriorated when etched under the condition (P5), and it can be seen that even if the film has a high glass transition temperature, etching is not performed properly when etching with a fluorine-based gas.

【0230】(C3)〜(C10)の膜を(P6)〜
(P8)の条件でエッチングした場合は、変質はなく、
エッチングが正常に行われていることを確認した。ま
た、レジストのエッチングレート、およびレジストと有
機シリコン膜のエッチング選択比(=有機シリコン膜の
エッチングレート/レジストのエッチングレート)、比
較のためにレジストと従来型の反射防止膜とのエッチン
グ選択比(=従来型の反射防止膜のエッチングレート/
レジストのエッチングレート)を同様に下記表3に示
す。
The films of (C3) to (C10) were replaced with (P6) to
When etched under the condition of (P8), there is no deterioration,
It was confirmed that etching was performed normally. Further, the etching rate of the resist, the etching selectivity of the resist and the organic silicon film (= the etching rate of the organic silicon film / the etching rate of the resist), and the etching selectivity of the resist and the conventional antireflection film (for comparison) = Etching rate of conventional anti-reflective coating /
The etching rate of the resist is similarly shown in Table 3 below.

【0231】下記表3から明らかなように、(C3)〜
(C10)の膜の選択比はほぼ2以上であり、従来型の
反射防止膜よりも高い選択比である。従って、レジスト
の膜厚を薄膜化しても、レジストが途中で削れることな
く反射防止膜をエッチングすることが可能となる。
As apparent from Table 3 below, (C3) to
The selectivity of the film (C10) is about 2 or more, which is higher than that of the conventional antireflection film. Therefore, even if the thickness of the resist is reduced, the antireflection film can be etched without the resist being scraped in the middle.

【0232】[0232]

【表7】 [Table 7]

【0233】[0233]

【表8】 実施例4 本実施例は、実施例3において、有機シリコン膜中に光
導電性を生じせしめるためにフラーレンを添加した場合
について示す。
[Table 8] Example 4 This example shows a case in which fullerene was added in Example 3 to cause photoconductivity in the organic silicon film.

【0234】(D1):式[1−82]に示す重量平均
分子量2、000の有機シリコン化合物10g、フラー
レン0.01gをアニソール89.99gに溶解して作
成した溶液材料をスピンコーテング法により下地基板上
に塗布した。次いで、ホットプレートを用いて160℃
で90秒間加熱して溶剤を気化乾燥させて、有機シリコ
ン膜を形成した。
(D1): A solution material prepared by dissolving 10 g of an organosilicon compound having a weight average molecular weight of 2,000 represented by the formula [1-82] and 0.01 g of fullerene in 89.99 g of anisole was used as a base material by a spin coating method. Coated on substrate. Then, using a hot plate at 160 ° C.
For 90 seconds to evaporate and dry the solvent to form an organic silicon film.

【0235】(D2):(D1)において、重量平均分
子量4、000の有機シリコン化合物を用いた。
(D2): In (D1), an organosilicon compound having a weight average molecular weight of 4,000 was used.

【0236】(D3):(D1)において、重量平均分
子量8、000の有機シリコン化合物を用いた。
(D3): In (D1), an organosilicon compound having a weight average molecular weight of 8,000 was used.

【0237】(D4):(D1)において、重量平均分
子量16、000の有機シリコン化合物を用いた。
(D4): In (D1), an organosilicon compound having a weight average molecular weight of 16,000 was used.

【0238】(D5):(D2)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、窒素雰囲気下(酸素濃度50ppm以下)でホッ
トプレートを用いて350℃で1時間加熱して、有機シ
リコン膜を架橋させた。
(D5): The solution material prepared as in (D2) was applied on an underlying substrate by a spin coating method, and then heated at 350 ° C. using a hot plate under a nitrogen atmosphere (oxygen concentration: 50 ppm or less). By heating for an hour, the organic silicon film was crosslinked.

【0239】(D6):(D2)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、ホットプレートを用いて160℃で90秒間加熱
して溶剤を気化乾燥させ、次いで、ArFエキシマレー
ザーを有機シリコン膜全面に露光量150mJ/cm2
で照射して、有機シリコン膜を架橋させた。
(D6): The solution material prepared as in (D2) was applied on an underlying substrate by a spin coating method, and then heated at 160 ° C. for 90 seconds using a hot plate to evaporate and dry the solvent. Next, an ArF excimer laser is applied to the entire surface of the organic silicon film at a light exposure of 150 mJ / cm 2.
To crosslink the organic silicon film.

【0240】(D7):(D2)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、窒素雰囲気下(酸素濃度50ppm以下)でホッ
トプレートを用いて160℃で90秒間加熱しながら、
ArFエキシマレーザーを有機シリコン膜全面に露光量
10mJ/cm2で照射して、有機シリコン膜を架橋さ
せた。
(D7): The solution material prepared as in (D2) was applied on an undersubstrate by spin coating, and then 90 ° C. at 160 ° C. using a hot plate under a nitrogen atmosphere (oxygen concentration 50 ppm or less). While heating for seconds
The entire surface of the organic silicon film was irradiated with an ArF excimer laser at an exposure amount of 10 mJ / cm 2 to crosslink the organic silicon film.

【0241】(D8):式[1−82]に示す重量平均
分子量4、000の有機シリコン化合物10g、式[4
−12]に示すラジカル発生剤0.1g、フラーレン
0.01gをアニソール89.89gに溶解して作成し
た溶液材料をスピンコーテング法により下地基板上に塗
布した。次いで、窒素雰囲気下(酸素濃度50ppm以
下)でホットプレートを用いて180℃で1時間加熱し
て、有機シリコン膜を架橋させた。
(D8): 10 g of an organosilicon compound having a weight average molecular weight of 4,000 represented by the formula [1-82] and a compound of the formula [4
-12], a solution material prepared by dissolving 0.1 g of the radical generator and 0.01 g of fullerene in 89.89 g of anisole was applied to the underlying substrate by spin coating. Next, the organic silicon film was cross-linked by heating at 180 ° C. for 1 hour using a hot plate in a nitrogen atmosphere (oxygen concentration: 50 ppm or less).

【0242】(D9):(D8)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、ホットプレートを用いて160℃で90秒間加熱
して溶剤を気化乾燥させ、次いで、ArFエキシマレー
ザーを有機シリコン膜全面に露光量60mJ/cm2で
照射して、有機シリコン膜を架橋させた。
(D9): The solution material prepared as in (D8) was applied on an underlying substrate by a spin coating method, and then heated at 160 ° C. for 90 seconds using a hot plate to evaporate and dry the solvent. Next, an ArF excimer laser was irradiated onto the entire surface of the organic silicon film at an exposure amount of 60 mJ / cm 2 to crosslink the organic silicon film.

【0243】(D10):(D8)のように作成した溶
液材料を、スピンコーテング法により下地基板上に塗布
した後、窒素雰囲気下(酸素濃度50ppm以下)でホ
ットプレートを用いて160℃で90秒間加熱しなが
ら、ArFエキシマレーザーを有機シリコン膜全面に露
光量10mJ/cm2で照射して、有機シリコン膜を架
橋させた。
(D10): The solution material prepared as in (D8) was applied on an undersubstrate by spin coating, and then 90 ° C. at 160 ° C. using a hot plate under a nitrogen atmosphere (oxygen concentration 50 ppm or less). An ArF excimer laser was irradiated on the entire surface of the organic silicon film at an exposure amount of 10 mJ / cm 2 while heating for 2 seconds to crosslink the organic silicon film.

【0244】以上の(D1)〜(D10)の方法で形成
した有機シリコン膜のガラス転移温度を測定した。その
結果を下記表4に示す。
The glass transition temperature of the organic silicon film formed by the above methods (D1) to (D10) was measured. The results are shown in Table 4 below.

【0245】次に、マグネトロン型反応性イオンエッチ
ング装置を用いて、(D1)〜(D10)およびレジス
トを、実施例3の(P5)〜(P8)の条件でエッチン
グして、それらの膜のエッチング特性を調べた。
Next, (D1) to (D10) and the resist were etched under the conditions of (P5) to (P8) in Example 3 using a magnetron type reactive ion etching apparatus, and the films were etched. The etching characteristics were examined.

【0246】エッチング後の有機シリコン膜の状態を走
査型電子顕微鏡で観察した結果、(P5)〜(P8)の
何れの条件でも、(D1)および(D2)の膜は変質し
てエッチングが正常に行われていないことが分かった。
また、(D3)〜(D10)の膜でも(P5)の条件で
エッチングした場合は変質しており、ガラス転移温度が
高い膜でも弗素系ガスでエッチングするとエッチングが
正常に行われないことがわかる。
The state of the organic silicon film after etching was observed with a scanning electron microscope. As a result, under any of the conditions (P5) to (P8), the films (D1) and (D2) were altered and the etching was normal. Turned out not to be done.
Also, the films (D3) to (D10) are deteriorated when etched under the condition of (P5), and it can be seen that even if the film has a high glass transition temperature, etching is not performed properly when etching is performed with a fluorine-based gas. .

【0247】(D3)〜(D10)の膜を(P6)〜
(P8)の条件でエッチングした場合は、変質はなく、
エッチングが正常に行われていることを確認した。レジ
ストと有機シリコン膜とのエッチング選択比を下記表4
に示す。下記表4から明らかなように、選択比はほぼ2
以上であり、従来型の反射防止膜よりも高い選択比であ
る。従って、レジストの膜厚を薄膜化しても、レジスト
が途中で削れることなく反射防止膜をエッチングするこ
とが可能となる。
The films of (D3) to (D10) were replaced with (P6) to
When etched under the condition of (P8), there is no deterioration,
It was confirmed that etching was performed normally. Table 4 shows the etching selectivity between the resist and the organic silicon film.
Shown in As is clear from Table 4 below, the selectivity is almost 2
As described above, the selectivity is higher than that of the conventional antireflection film. Therefore, even if the thickness of the resist is reduced, the antireflection film can be etched without the resist being scraped in the middle.

【0248】レジストと有機シリコン膜のエッチング選
択比を測定した結果を同様に下記表4に示す。下記表4
から、実施例3と比べると選択比が向上していることが
わかる。これはおそらく、エッチング中のプラズマ発光
により反射防止膜に導電性が生じ、プラズマ中のラジカ
ルと反射防止膜のシリコンが反応して、揮発性生成物を
生成しやすくなったためと考えられる。
The results of measuring the etching selectivity between the resist and the organic silicon film are also shown in Table 4 below. Table 4 below
From this, it can be seen that the selectivity is improved as compared with Example 3. This is probably because the plasma emission during etching caused conductivity in the anti-reflection film, and radicals in the plasma reacted with silicon in the anti-reflection film to easily generate volatile products.

【0249】[0249]

【表9】 実施例5 まず、始めに以下の(E1)〜(E10)の方法によ
り、式[1−95]に示す有機シリコン化合物を含む有
機シリコン膜をシリコンウェハー上に形成した。ただ
し、式[1−95]に示す共重合体中のn/mは、(E
1)〜(E10)の何れもn/m=1/4である。
[Table 9] Example 5 First, an organic silicon film containing an organic silicon compound represented by the formula [1-95] was formed on a silicon wafer by the following methods (E1) to (E10). However, n / m in the copolymer represented by the formula [1-95] is (E
In all of 1) to (E10), n / m = 1/4.

【0250】(E1):式[1−95]に示す重量平均
分子量1、000の有機シリコン化合物10gをアニソ
ール90gに溶解して作成した溶液材料を、スピンコー
テング法により下地基板上に塗布した。次いで、ホット
プレートを用いて160℃で90秒間加熱して溶剤を気
化乾燥させて、有機シリコン膜を形成した。
(E1): A solution material prepared by dissolving 10 g of an organosilicon compound having a weight average molecular weight of 1,000 represented by the formula [1-95] in 90 g of anisole was applied on a base substrate by spin coating. Next, the solvent was vaporized and dried by heating at 160 ° C. for 90 seconds using a hot plate to form an organic silicon film.

【0251】(E2):(E1)において、重量平均分
子量3、000の有機シリコン化合物を用いた。
(E2): In (E1), an organosilicon compound having a weight average molecular weight of 3,000 was used.

【0252】(E3):(E1)において、重量平均分
子量6、000の有機シリコン化合物を用いた。
(E3): In (E1), an organosilicon compound having a weight average molecular weight of 6,000 was used.

【0253】(E4):(E1)において、重量平均分
子量12、000の有機シリコン化合物を用いた。
(E4): In (E1), an organosilicon compound having a weight average molecular weight of 12,000 was used.

【0254】(E5):(E2)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、窒素雰囲気下(酸素濃度50ppm)でホットプ
レートを用いて400℃で1時間加熱して、有機シリコ
ン膜を架橋させた。
(E5): The solution material prepared as in (E2) was applied on an underlying substrate by a spin coating method, and then was heated at 400 ° C. for 1 hour using a hot plate in a nitrogen atmosphere (oxygen concentration: 50 ppm). Heat was applied to crosslink the organic silicon film.

【0255】(E6):(E2)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、ホットプレートを用いて160℃で90秒間加熱
して溶剤を気化乾燥させ、次いで、水銀灯のi線(波長
365nm)を有機シリコン膜全面に露光量500mJ
/cm2で照射して、有機シリコン膜を架橋させた。 (E7):(E2)のように作成した溶液材料を、スピ
ンコーテング法により下地基板上に塗布した後、窒素雰
囲気下(酸素濃度50ppm)でホットプレートを用い
て160℃で90秒間加熱しながら、水銀灯のi線を有
機シリコン膜全面に露光量10mJ/cm2で照射し
て、有機シリコン膜を架橋させた。
(E6): The solution material prepared as in (E2) was applied on an underlying substrate by spin coating, and then heated at 160 ° C. for 90 seconds using a hot plate to evaporate and dry the solvent. Next, an i-line (wavelength 365 nm) of a mercury lamp was applied to the entire surface of the organic silicon film at an exposure amount of 500 mJ.
/ Cm 2 to crosslink the organic silicon film. (E7): After applying the solution material prepared as in (E2) on a base substrate by spin coating, the solution is heated at 160 ° C. for 90 seconds using a hot plate in a nitrogen atmosphere (oxygen concentration: 50 ppm). Then, i-line of a mercury lamp was irradiated on the entire surface of the organic silicon film at an exposure amount of 10 mJ / cm 2 to crosslink the organic silicon film.

【0256】(E8):式[1−95]に示す重量平均
分子量3、000の有機シリコン化合物10g、式[1
−1]に示す重量平均分子量1、000の有機シリコン
化合物2gをアニソール88gに溶解して作成した溶液
材料をスピンコーテング法により下地基板上に塗布し
た。次いで、窒素雰囲気下(酸素濃度50ppm)でホ
ットプレートを用いて180℃で1時間加熱して、有機
シリコン膜を架橋させた。
(E8): 10 g of an organosilicon compound having a weight average molecular weight of 3,000 represented by the formula [1-95] and a compound of the formula [1]
-1], a solution material prepared by dissolving 2 g of an organic silicon compound having a weight average molecular weight of 1,000 in 88 g of anisole was applied onto a base substrate by a spin coating method. Next, the organic silicon film was crosslinked by heating at 180 ° C. for 1 hour using a hot plate under a nitrogen atmosphere (oxygen concentration: 50 ppm).

【0257】(E9):(E8)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、ホットプレートを用いて160℃で90秒間加熱
して溶剤を気化乾燥させ、次いで、水銀灯のi線を有機
シリコン膜全面に露光量100mJ/cm2で照射し
て、有機シリコン膜を架橋させた。
(E9): The solution material prepared as in (E8) was applied on an undersubstrate by spin coating, and then heated at 160 ° C. for 90 seconds using a hot plate to evaporate and dry the solvent. Next, the entire surface of the organic silicon film was irradiated with i-rays from a mercury lamp at an exposure amount of 100 mJ / cm 2 to crosslink the organic silicon film.

【0258】(E10):(E8)のように作成した溶
液材料を、スピンコーテング法により下地基板上に塗布
した後、窒素雰囲気下(酸素濃度50ppm)でホット
プレートを用いて160℃で90秒間加熱しながら、水
銀灯のi線を有機シリコン膜全面に露光量80mJ/c
m2で照射して、有機シリコン膜を架橋させた。
(E10): The solution material prepared as in (E8) was applied on a base substrate by a spin coating method, and then was heated at 160 ° C. for 90 seconds using a hot plate under a nitrogen atmosphere (oxygen concentration: 50 ppm). While heating, apply i-line of a mercury lamp over the entire surface of the organic silicon film with an exposure amount of 80 mJ / c.
Irradiation at m2 crosslinked the organic silicon film.

【0259】以上の(E1)〜(E10)の方法で形成
した有機シリコン膜のガラス転移温度を測定した。その
結果を下記表5に示す。
The glass transition temperature of the organic silicon film formed by the above methods (E1) to (E10) was measured. The results are shown in Table 5 below.

【0260】次に、マグネトロン型反応性イオンエッチ
ング装置を用いて、(E1)〜(E10)の膜および実
施例1の(R1)〜(R4)の方法で形成したレジスト
および従来型の反射防止膜を、下記のエッチング条件
(P9)〜(P12)で、それぞれエッチングし、(E
1)〜(E10)の膜のエッチング特性を調べた。
Next, using a magnetron-type reactive ion etching apparatus, the resists formed by the methods (E1) to (E10) and the methods (R1) to (R4) of Example 1 and the conventional antireflection The film was etched under the following etching conditions (P9) to (P12), respectively,
The etching characteristics of the films 1) to (E10) were examined.

【0261】(P9) エッチングガス:流量120S
CCMのCF4 、電力密度:3W/cm2 、真空度:7
5mTorr、基板温度:50℃ (P10) エッチングガス:流量180/20SCC
MのCl2 /He、電力密度:1.5W/cm2 、真空
度:30mTorr、基板温度:80℃ (P11) エッチングガス:流量190/10SCC
MのCl2 /O2 、電力密度:1.2W/cm2 、真空
度:12mTorr、基板温度:50℃ (P12) エッチングガス:流量200SCCMのC
3 Cl、電力密度:1.1W/cm2 、真空度:12
mTorr、基板温度:50℃ エッチング後の有機シリコン膜の状態を走査型電子顕微
鏡で観察した結果、(P9)〜(P12)の何れの条件
でも、(E1)および(E2)の膜は変質してエッチン
グが正常に行われていないことが分かった。また、(E
3)〜(E10)の膜でも(P9)の条件でエッチング
した場合は変質しており、ガラス転移温度が高い膜でも
弗素系ガスでエッチングするとエッチングが正常に行わ
れないことがわかった。
(P9) Etching gas: flow rate 120S
CF 4 of CCM, power density: 3 W / cm 2 , degree of vacuum: 7
5 mTorr, substrate temperature: 50 ° C. (P10) etching gas: flow rate 180/20 SCC
M Cl 2 / He, power density: 1.5 W / cm 2 , degree of vacuum: 30 mTorr, substrate temperature: 80 ° C. (P11) Etching gas: flow rate 190/10 SCC
M Cl 2 / O 2 , power density: 1.2 W / cm 2 , degree of vacuum: 12 mTorr, substrate temperature: 50 ° C. (P12) Etching gas: C at a flow rate of 200 SCCM
F 3 Cl, power density: 1.1 W / cm 2 , degree of vacuum: 12
mTorr, substrate temperature: 50 ° C. As a result of observing the state of the organic silicon film after etching with a scanning electron microscope, the films (E1) and (E2) were deteriorated under any of the conditions (P9) to (P12). It was found that the etching was not performed normally. Also, (E
The films 3) to (E10) were also deteriorated when etched under the conditions of (P9), and it was found that etching was not performed properly when etching was performed with a fluorine-based gas even in a film having a high glass transition temperature.

【0262】これに対し、(E3)〜(E10)の膜を
(P10)〜(P12)の条件でエッチングした場合
は、変質はなくエッチングが正常に行われていることを
確認した。レジストのエッチングレート、およびレジス
トと有機シリコン膜のエッチング選択比(=有機シリコ
ン膜のエッチングレート/レジストのエッチングレー
ト)、比較のためにレジストと従来型の反射防止膜との
エッチング選択比(=従来型の反射防止膜のエッチング
レート/レジストのエッチングレート)を下記表5に示
す。
On the other hand, when the films (E3) to (E10) were etched under the conditions of (P10) to (P12), it was confirmed that there was no deterioration and the etching was performed normally. The etching rate of the resist, the etching selectivity of the resist and the organic silicon film (= etching rate of the organic silicon film / etching rate of the resist), and the etching selectivity of the resist and the conventional antireflection film (= conventional) Table 5 shows the etching rate of the anti-reflection film of the mold / the etching rate of the resist.

【0263】下記表5に示すように、選択比はほぼ2以
上であり、従来型の反射防止膜よりも高い選択比であ
る。従って、レジストの膜厚を薄膜化しても、レジスト
が途中で削れることなく、反射防止膜をエッチングする
ことが可能となる。
As shown in Table 5 below, the selectivity is almost 2 or more, which is higher than that of the conventional antireflection film. Therefore, even if the thickness of the resist is reduced, the antireflection film can be etched without the resist being scraped in the middle.

【0264】[0264]

【表10】 [Table 10]

【0265】[0265]

【表11】 実施例6 まず始めに、以下の(F1)〜(F10)に示す方法に
より、式[1−34]に示す有機シリコン化合物を含有
する有機シリコン膜をシリコンウェハー上に形成した。
[Table 11] Example 6 First, an organic silicon film containing an organic silicon compound represented by the formula [1-34] was formed on a silicon wafer by the following methods (F1) to (F10).

【0266】(F1):式[1−34]に示す重量平均
分子量1、000の有機シリコン化合物10gをトルエ
ン90gに溶解して作成した溶液材料を、スピンコーテ
ング法により下地基板上に塗布した。次いで、ホットプ
レートを用いて160℃で90秒間加熱して溶剤を気化
乾燥させて、有機シリコン膜を形成した。
(F1): A solution material prepared by dissolving 10 g of an organosilicon compound having a weight average molecular weight of 1,000 represented by the formula [1-34] in 90 g of toluene was applied to a base substrate by a spin coating method. Next, the solvent was vaporized and dried by heating at 160 ° C. for 90 seconds using a hot plate to form an organic silicon film.

【0267】(F2):(F1)において、重量平均分
子量3、000の有機シリコン化合物を用いた。
(F2): In (F1), an organosilicon compound having a weight average molecular weight of 3,000 was used.

【0268】(F3):(F1)において、重量平均分
子量6、000の有機シリコン化合物を用いた。
(F3): In (F1), an organosilicon compound having a weight average molecular weight of 6,000 was used.

【0269】(F4):(F1)において、重量平均分
子量12、000の有機シリコン化合物を用いた。
(F4): In (F1), an organosilicon compound having a weight average molecular weight of 12,000 was used.

【0270】(F5):(F2)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、窒素雰囲気下(酸素濃度50ppm以下)でホッ
トプレートを用いて300℃で1時間加熱して、有機シ
リコン膜を架橋させた。
(F5): The solution material prepared as in (F2) was applied on a base substrate by a spin coating method, and then heated at 300 ° C. using a hot plate under a nitrogen atmosphere (oxygen concentration: 50 ppm or less). By heating for an hour, the organic silicon film was crosslinked.

【0271】(F6):(F2)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、ホットプレートを用いて160℃で90秒間加熱
して溶剤を気化乾燥させ、次いで、ArFエキシマレー
ザーを有機シリコン膜全面に露光量200mJ/cm2
で照射して、有機シリコン膜を架橋させた。
(F6): The solution material prepared as in (F2) was applied on an underlying substrate by spin coating, and then heated at 160 ° C. for 90 seconds using a hot plate to evaporate and dry the solvent. Next, an ArF excimer laser is applied to the entire surface of the organic silicon film at a light exposure of 200 mJ / cm 2.
To crosslink the organic silicon film.

【0272】(F7):(F2)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、窒素雰囲気下(酸素濃度50ppm以下)でホッ
トプレートを用いて160℃で90秒間加熱しながら、
ArFエキシマレーザーを有機シリコン膜全面に露光量
30mJ/cm2で照射して、有機シリコン膜を架橋さ
せた。
(F7): The solution material prepared as in (F2) was applied on an undersubstrate by a spin coating method, and then 90 ° C. at 160 ° C. using a hot plate under a nitrogen atmosphere (oxygen concentration: 50 ppm or less). While heating for seconds
The entire surface of the organic silicon film was irradiated with an ArF excimer laser at an exposure amount of 30 mJ / cm 2 to crosslink the organic silicon film.

【0273】(F8):式[1−34]に示す重量平均
分子量3、000の有機シリコン化合物10g、式[3
−49]に示す架橋剤1g、式[4−1]に示すラジカ
ル発生剤0.01gをトルエン88.99gに溶解して
作成した溶液材料を、スピンコーテング法により下地基
板上に塗布した。次いで、窒素雰囲気下(酸素濃度50
ppm以下)でホットプレートを用いて180℃で1時
間加熱して、有機シリコン膜を架橋させた。
(F8): 10 g of an organosilicon compound having a weight average molecular weight of 3,000 represented by the formula [1-34], a compound of the formula [3
A solution material prepared by dissolving 1 g of a crosslinking agent represented by -49] and 0.01 g of a radical generator represented by the formula [4-1] in 88.99 g of toluene was applied onto a base substrate by a spin coating method. Then, under a nitrogen atmosphere (oxygen concentration 50
(ppm or less) using a hot plate at 180 ° C. for 1 hour to crosslink the organic silicon film.

【0274】(F9):(F8)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、ホットプレートを用いて100℃で90秒間加熱
して溶剤を気化乾燥させ、次いで、ArFエキシマレー
ザーを有機シリコン膜全面に露光量80mJ/cm2で
照射して、有機シリコン膜を架橋させた。
(F9): The solution material prepared as in (F8) was applied on an underlying substrate by a spin coating method, and then heated at 100 ° C. for 90 seconds using a hot plate to evaporate and dry the solvent. Next, the entire surface of the organic silicon film was irradiated with an ArF excimer laser at an exposure amount of 80 mJ / cm 2 to crosslink the organic silicon film.

【0275】(F10):(F8)のように作成した溶
液材料を、スピンコーテング法により下地基板上に塗布
した後、窒素雰囲気下(酸素濃度50ppm以下)でホ
ットプレートを用いて160℃で90秒間加熱しなが
ら、ArFエキシマレーザーを有機シリコン膜全面に露
光量10mJ/cm2で照射して、有機シリコン膜を架
橋させた。
(F10): The solution material prepared as in (F8) was applied on an undersubstrate by spin coating, and then heated at 160 ° C. using a hot plate in a nitrogen atmosphere (oxygen concentration: 50 ppm or less) at 160 ° C. An ArF excimer laser was irradiated on the entire surface of the organic silicon film at an exposure amount of 10 mJ / cm 2 while heating for 2 seconds to crosslink the organic silicon film.

【0276】以上の(F1)〜(F10)の方法で形成
した有機シリコン膜のガラス転移温度を測定した。その
結果を下記表6に示す。
The glass transition temperature of the organic silicon film formed by the above-mentioned methods (F1) to (F10) was measured. The results are shown in Table 6 below.

【0277】次に、マグネトロン型反応性イオンエッチ
ング装置を用いて、(F1)〜(F10)の膜を実施例
1の(P1)〜(P4)の条件でそれぞれエッチング
し、それらの膜のエッチング特性を調べた。即ち、エッ
チング後の有機シリコン膜の状態を走査型電子顕微鏡で
観察した結果、(P1)〜(P4)の何れの条件でも、
(F1)および(F2)の膜は変質してエッチングが正
常に行われていないことが分かった。また、(F3)〜
(F10)の膜でも(P1)の条件でエッチングした場
合は変質しており、ガラス転移温度が高い膜でも弗素系
ガスでエッチングするとエッチングが正常に行われない
ことがわかった。
Next, using a magnetron type reactive ion etching apparatus, the films (F1) to (F10) were etched under the conditions (P1) to (P4) of Example 1, respectively, and the films were etched. The characteristics were investigated. That is, as a result of observing the state of the organic silicon film after etching with a scanning electron microscope, under any of the conditions (P1) to (P4),
It was found that the films of (F1) and (F2) were altered and the etching was not performed normally. (F3) ~
Even when the film of (F10) was etched under the condition of (P1), the film was deteriorated, and it was found that even if the film had a high glass transition temperature, etching was not performed properly when etching was performed with a fluorine-based gas.

【0278】これに対し、(F3)〜(F10)の膜を
(P2)〜(P4)の条件でエッチングした場合は、変
質はなく、エッチングが正常に行われていることを確認
した。また、レジストと有機シリコン膜とのエッチング
選択比を同様に下記表6に示す。
On the other hand, when the films (F3) to (F10) were etched under the conditions of (P2) to (P4), there was no deterioration and it was confirmed that the etching was performed normally. Table 6 below similarly shows the etching selectivity between the resist and the organic silicon film.

【0279】下記表6から明らかなように、(F3)〜
(F10)の膜の選択比はほぼ2以上であり、従来型の
反射防止膜よりも高い選択比である。従って、レジスト
の膜厚を薄膜化しても、レジストが途中で削れることな
く、反射防止膜をエッチングすることが可能となる。
As apparent from Table 6 below, (F3) to
The selectivity of the film (F10) is about 2 or more, which is higher than that of the conventional antireflection film. Therefore, even if the thickness of the resist is reduced, the antireflection film can be etched without the resist being scraped in the middle.

【0280】[0280]

【表12】 実施例7 まず始めに、以下の(G1)〜(G10)の方法によ
り、式[1−18]に示す有機シリコン化合物を含有す
る有機シリコン膜をシリコンウェハー上に形成した。
[Table 12] Example 7 First, an organic silicon film containing an organic silicon compound represented by the formula [1-18] was formed on a silicon wafer by the following methods (G1) to (G10).

【0281】(G1):式[1−18]に示す重量平均
分子量1、000の有機シリコン化合物10gをトルエ
ン90gに溶解して作成した溶液材料を、スピンコーテ
ング法により下地基板上に塗布した。次いで、ホットプ
レートを用いて160℃で90秒間加熱して溶剤を気化
乾燥させて、有機シリコン膜を形成した。
(G1): A solution material prepared by dissolving 10 g of an organosilicon compound having a weight average molecular weight of 1,000 represented by the formula [1-18] in 90 g of toluene was applied on a base substrate by a spin coating method. Next, the solvent was vaporized and dried by heating at 160 ° C. for 90 seconds using a hot plate to form an organic silicon film.

【0282】(G2):(G1)において、重量平均分
子量3、000の有機シリコン化合物を用いた。
(G2): In (G1), an organosilicon compound having a weight average molecular weight of 3,000 was used.

【0283】(G3):(G1)において、重量平均分
子量6、000の有機シリコン化合物を用いた。
(G3): In (G1), an organosilicon compound having a weight average molecular weight of 6,000 was used.

【0284】(G4):(G1)において、重量平均分
子量12、000の有機シリコン化合物を用いた。
(G4): In (G1), an organosilicon compound having a weight average molecular weight of 12,000 was used.

【0285】(G5):(G2)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、窒素雰囲気下(酸素濃度50ppm以下)でホッ
トプレートを用いて400℃で1時間加熱して、有機シ
リコン膜を架橋させた。
(G5): The solution material prepared as in (G2) was applied on a base substrate by a spin coating method, and then heated at 400 ° C. using a hot plate under a nitrogen atmosphere (oxygen concentration: 50 ppm or less). By heating for an hour, the organic silicon film was crosslinked.

【0286】(G6):(G2)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、ホットプレートを用いて160℃で90秒間加熱
して溶剤を気化乾燥させ、次いで、KrFエキシマレー
ザーを有機シリコン膜全面に露光量400mJ/cm2
で照射して、有機シリコン膜を架橋させた。
(G6): The solution material prepared as in (G2) was applied on an underlying substrate by spin coating, and then heated at 160 ° C. for 90 seconds using a hot plate to evaporate and dry the solvent. Next, a KrF excimer laser is applied to the entire surface of the organic silicon film at an exposure amount of 400 mJ / cm 2.
To crosslink the organic silicon film.

【0287】(G7):(G2)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、窒素雰囲気下(酸素濃度50ppm以下)でホッ
トプレートを用いて160℃で90秒間加熱しながら、
KrFエキシマレーザーを有機シリコン膜全面に露光量
100mJ/cm2で照射して、有機シリコン膜を架橋
させた。
(G7): The solution material prepared as in (G2) was applied on an undersubstrate by spin coating, and then heated at 160 ° C. using a hot plate in a nitrogen atmosphere (oxygen concentration: 50 ppm or less) at 160 ° C. While heating for seconds
The organic silicon film was irradiated with a KrF excimer laser at an exposure amount of 100 mJ / cm 2 to crosslink the organic silicon film.

【0288】(G8):式[1−18]に示す重量平均
分子量3,000の有機シリコン化合物10g、式[3
−8]に示す架橋剤1g、式[4−8]に示すラジカル
発生剤0.01gをトルエン88.99gに溶解して作
成した溶液材料を、スピンコーテング法により下地基板
上に塗布した。次いで、窒素雰囲気下(酸素濃度50p
pm以下)でホットプレートを用いて180℃で1時間
加熱して、有機シリコン膜を架橋させた。
(G8): 10 g of an organic silicon compound having a weight average molecular weight of 3,000 represented by the formula [1-18] and a compound of the formula [3
A solution material prepared by dissolving 1 g of the crosslinking agent shown in [-8] and 0.01 g of the radical generator shown in the formula [4-8] in 88.99 g of toluene was applied on the base substrate by spin coating. Then, under a nitrogen atmosphere (oxygen concentration 50p
(pm or less) using a hot plate at 180 ° C. for 1 hour to crosslink the organic silicon film.

【0289】(G9):(G8)のように作成した溶液
材料を、スピンコーテング法により下地基板上に塗布し
た後、ホットプレートを用いて100℃で90秒間加熱
して溶剤を気化乾燥させ、次いで、KrFエキシマレー
ザーを有機シリコン膜全面に露光量100mJ/cm2
で照射して、有機シリコン膜を架橋させた。
(G9): The solution material prepared as in (G8) was applied on an underlying substrate by a spin coating method, and then heated at 100 ° C. for 90 seconds using a hot plate to evaporate and dry the solvent. Next, a KrF excimer laser is applied to the entire surface of the organic silicon film at an exposure amount of 100 mJ / cm 2.
To crosslink the organic silicon film.

【0290】(G10):(G8)のように作成した溶
液材料を、スピンコーテング法により下地基板上に塗布
した後、窒素雰囲気下(酸素濃度50ppm以下)でホ
ットプレートを用いて180℃で90秒間加熱しなが
ら、KrFエキシマレーザーを有機シリコン膜全面に露
光量10mJ/cm2で照射して、有機シリコン膜を架
橋させた。
(G10): The solution material prepared as in (G8) was applied on an undersubstrate by a spin coating method, and then heated at 180 ° C. using a hot plate under a nitrogen atmosphere (oxygen concentration: 50 ppm or less). While heating for 2 seconds, the entire surface of the organic silicon film was irradiated with a KrF excimer laser at an exposure amount of 10 mJ / cm 2 to crosslink the organic silicon film.

【0291】以上の(G1)〜(G10)の方法で形成
した有機シリコン膜のガラス転移温度を測定した。その
結果を下記表7に示す。
The glass transition temperature of the organic silicon film formed by the above methods (G1) to (G10) was measured. The results are shown in Table 7 below.

【0292】次に、マグネトロン型反応性イオンエッチ
ング装置を用いて、(G1)〜(G10)の膜を実施例
3の(P5)〜(P8)の条件でエッチングして、エッ
チング特性を調べた。即ち、エッチング後の有機シリコ
ン膜の状態を走査型電子顕微鏡で観察した結果、(P
5)〜(P8)の何れの条件でも、(G1)および(G
2)の膜は変質してエッチングが正常に行われていない
ことが分かった。また、(G3)〜(G10)の膜でも
(P5)の条件でエッチングした場合は変質しており、
ガラス転移温度が0℃以上の膜でも弗素系ガスでエッチ
ングするとエッチングが正常に行われないことが分かっ
た。
Next, the films (G1) to (G10) were etched under the conditions (P5) to (P8) of Example 3 using a magnetron type reactive ion etching apparatus, and the etching characteristics were examined. . That is, as a result of observing the state of the organic silicon film after etching with a scanning electron microscope, (P
Under any of the conditions 5) to (P8), (G1) and (G8)
It was found that the film of 2) was deteriorated and the etching was not performed normally. Further, the films (G3) to (G10) are also deteriorated when etched under the condition (P5).
It was found that even if the film had a glass transition temperature of 0 ° C. or more, etching was not performed properly when etching was performed with a fluorine-based gas.

【0293】これに対し、(G3)〜(G10)の膜を
(P6)〜(P8)の条件でエッチングした場合は、こ
のような変質はなく、エッチングが正常に行われている
ことを確認した。この時の、レジストと有機シリコン膜
とののエッチング選択比を同様に下記表7に示す。
On the other hand, when the films (G3) to (G10) were etched under the conditions of (P6) to (P8), there was no such alteration, and it was confirmed that the etching was performed normally. did. Table 7 below similarly shows the etching selectivity between the resist and the organic silicon film at this time.

【0294】下記表7に示すように、選択比はほぼ2以
上であり、従来型の反射防止膜よりも高い選択比であ
る。従って、レジストの膜厚を薄膜化しても、レジスト
が途中で削れることなく反射防止膜をエッチングするこ
とが可能となる。
As shown in Table 7 below, the selectivity is about 2 or more, which is higher than that of the conventional antireflection film. Therefore, even if the thickness of the resist is reduced, the antireflection film can be etched without the resist being scraped in the middle.

【0295】[0295]

【表13】 実施例8 下記式13に示す平均分子量15000(n/m=4/
1)のポリシラン5gをアニソール95gに溶解し、有
機シリコン膜の溶液材料を作成した。被加工物であるシ
リコンウェハー上に有機シリコン膜の溶液材料をスピン
コーテング法により塗布し、200℃で90秒間ベーキ
ングを行い、溶剤を乾燥させた。この時の有機シリコン
膜の膜厚は950オングストロ−ムである。また、ガラ
ス転移温度は125℃である。
[Table 13] Example 8 The average molecular weight 15000 (n / m = 4 /
5 g of the polysilane of 1) was dissolved in 95 g of anisole to prepare a solution material for the organic silicon film. A solution material of an organic silicon film was applied on a silicon wafer to be processed by a spin coating method, baked at 200 ° C. for 90 seconds, and the solvent was dried. At this time, the thickness of the organic silicon film is 950 angstroms. The glass transition temperature is 125 ° C.

【0296】[0296]

【化79】 有機シリコン膜の膜厚は次のように決定した。すなわ
ち、分光エリプソで測定したλ=248nmにおける複
素屈折率はn=2.10,k=0.30である。反射防
止膜、レジスト、シリコン基板のλ=248nmにおけ
る複素屈折率として、下記表8に示す値を用い、レジス
トと有機シリコン膜との界面での光反射率を計算し、ベ
ーキング後の有機シリコン膜の膜厚が反射率の極小点で
ある950オングストロームになるように塗布を行っ
た。
Embedded image The thickness of the organic silicon film was determined as follows. That is, the complex refractive index at λ = 248 nm measured by the spectroscopic ellipsometer is n = 2.10 and k = 0.30. Using the values shown in Table 8 below as the complex refractive index at λ = 248 nm of the antireflection film, the resist, and the silicon substrate, the light reflectance at the interface between the resist and the organic silicon film was calculated, and the organic silicon film after baking was calculated. Was applied so that the film thickness became 950 angstroms, which is the minimum point of the reflectance.

【0297】[0297]

【表14】 次いで、有機シリコン膜上に化学増幅型ポジ型レジスト
(シップレー社製、APEX−E)を塗布し、98℃で
120秒間のベーキングを行なった。ベーキング後のレ
ジスト膜厚は1500オングストロームである。さら
に、KrFエキシマレーザーを光源とする縮小光学型ス
テッパーで露光(露光量78mJ/cm2)し、98℃
で120秒間のベーキングを行なった。そして、0.2
1規定のTMAH現像液で90秒間の現像処理を行な
い、0.18μmライン アンド スペースパターンを
形成した。
[Table 14] Next, a chemically amplified positive resist (APEX-E, manufactured by Shipley) was applied onto the organic silicon film, and baked at 98 ° C. for 120 seconds. The resist film thickness after baking is 1500 angstroms. Further, exposure was performed with a reduction optical stepper using a KrF excimer laser as a light source (exposure amount: 78 mJ / cm 2 ).
For 120 seconds. And 0.2
Development was performed for 90 seconds with a 1N TMAH developer to form a 0.18 μm line and space pattern.

【0298】このようにして得たレジストパターンの形
状を観察したところ、裾引きや食われは見られず、良好
なレジストプロファイルが得られた。レジストの膜厚を
500オングストロ−ムから1500オングストロ−ム
の範囲で変化させ、各レジスト膜厚でレジストパターン
寸法を測定した。その結果、レジスト膜中に発生した定
在波による寸法変動量は無視できる大きさであることが
分かった。
When the shape of the resist pattern thus obtained was observed, no tailing or erosion was observed, and a good resist profile was obtained. The thickness of the resist was changed in the range of 500 Å to 1500 Å, and the resist pattern dimension was measured at each resist thickness. As a result, it was found that the dimensional variation caused by the standing wave generated in the resist film was negligible.

【0299】次に、形成したレジストパターンをマスク
として用いて、有機シリコン膜のエッチングを行った。
エッチング装置としては、マグネトロン型RIE装置を
用い、ソースガスとしてCl2 を流量80SCCMで流
し、励起電力200Wでエッチングを行った。その結
果、有機シリコン膜のエッチング終了後もレジストパタ
ーンがすべて削れることなく、また、有機シリコン膜も
エッチングで変質することはなく、正常にエッチングが
なされた。その結果、寸法制御性よくエッチングを行う
ことができた。
Next, the organic silicon film was etched using the formed resist pattern as a mask.
As the etching apparatus, a magnetron type RIE apparatus was used, and Cl 2 was flowed as a source gas at a flow rate of 80 SCCM, and etching was performed at an excitation power of 200 W. As a result, even after the etching of the organic silicon film was completed, the resist pattern was not completely removed, and the organic silicon film was not changed in quality by the etching, and was normally etched. As a result, etching could be performed with good dimensional control.

【0300】実施例9 下記式14に示す平均分子量17000のポリシラン8
gをシクロヘキサノン92gに溶解して有機シリコン膜
の溶液材料を作成した。シリコン基板上にスパッター法
で成膜がなされた膜厚5000オングストロ−ムのSi
2 膜上に、有機シリコン膜の溶液材料をスピンコーテ
ング法により塗布した後、200℃で300秒間ベーキ
ングを行い、有機シリコン化合物を架橋させ、ガラス転
移温度153℃の有機シリコン膜を得た。有機シリコン
膜の膜厚は5000オングストロ−ムである。
Example 9 Polysilane 8 having an average molecular weight of 17000 represented by the following formula 14
g was dissolved in 92 g of cyclohexanone to prepare a solution material for the organic silicon film. 5000 angstrom thick Si film formed by sputtering on a silicon substrate
The solution material of the organic silicon film was applied on the O 2 film by a spin coating method, and then baked at 200 ° C. for 300 seconds to crosslink the organic silicon compound to obtain an organic silicon film having a glass transition temperature of 153 ° C. The thickness of the organic silicon film is 5000 angstroms.

【0301】[0301]

【化80】 次いで、化学増幅型ポジ型レジスト(シップレー社製、
UV6)を塗布し、135℃で120秒間のベーキング
を行なった。ベーキング後のレジスト膜厚は3000オ
ングストロ−ムである。さらに、KrFエキシマレーザ
ーを光源とする縮小光学型ステッパーで露光(露光量2
8mJ/cm2 )、135℃で120秒間のベーキング
を行なった。そして、0.21規定のTMAH現像液で
90秒間の現像処理を行ない、0.18μmライン ア
ンド スペースパターンを形成した。レジストの膜厚を
変化させてレジストパターンの寸法を測定したところ、
レジスト膜中に発生した定在波による寸法変動は見られ
ず、レジストへの反射光が十分に抑えられていることが
分かった。
Embedded image Next, a chemically amplified positive resist (Shipley,
UV6), and baked at 135 ° C. for 120 seconds. The resist film thickness after baking is 3000 angstroms. Further, exposure was performed with a reduction optical stepper using a KrF excimer laser as a light source (exposure amount 2).
Baking was performed at 135 ° C. for 120 seconds at 8 mJ / cm 2 . Then, a development process was performed for 90 seconds using a 0.21 N TMAH developer to form a 0.18 μm line and space pattern. When the dimensions of the resist pattern were measured by changing the thickness of the resist,
No dimensional change due to standing waves generated in the resist film was observed, and it was found that the reflected light to the resist was sufficiently suppressed.

【0302】次に、レジストパターンをマスクとして用
いて、有機シリコン膜のエッチングを行った。エッチン
グ装置としてはマグネトロン型RIE装置を用い、ソー
スガスとしてCl2 を流量200SCCMで流し、励起
電力200Wの条件でエッチングを行ったところ、レジ
ストパターンが途中でなくなることなく、有機シリコン
膜のエッチングをすることができ、また、有機シリコン
膜は、変質することなく、正常にエッチングがなされ
た。有機シリコン膜のエッチング終了後の寸法変換差を
図1(d)および(e)のY−Xで定義すると、この時
生じた寸法変換差は−0.005μmであり、許容範囲
内であることが分かった。
Next, the organic silicon film was etched using the resist pattern as a mask. As the etching apparatus, a magnetron type RIE apparatus was used, and Cl 2 was flowed as a source gas at a flow rate of 200 SCCM, and the etching was performed under the conditions of an excitation power of 200 W. In addition, the organic silicon film was normally etched without deterioration. When the dimensional conversion difference after the end of the etching of the organic silicon film is defined by YX in FIGS. 1D and 1E, the dimensional conversion difference generated at this time is −0.005 μm, which is within an allowable range. I understood.

【0303】さらに、エッチングした有機シリコン膜
と、有機シリコン膜上にエッチング終了後残ったレジス
トパタ−ンをマスクとして用いてSiO2 膜のエッチン
グを行った。エッチング装置としては、マグネトロン型
のRIE装置を用い、CF4 とH2 をそれぞれ流量20
SCCM、30SCCMで流し、励起電力0.8kWの
条件でエッチングを行ったところ、有機シリコン膜が途
中でなくなることなく、SiO2 膜のエッチングを行う
ことができた。その後、キシレンに300秒間浸透させ
た後、酸素プラズマでアッシング処理を行い、レジスト
と有機シリコン膜を剥離した。SiO2 膜の表面をSE
Mで観察したところ、残渣もなく、SiO2 と選択的に
レジストと有機シリコン膜を剥離することができた。
Furthermore, the SiO 2 film was etched using the etched organic silicon film and the resist pattern remaining after the etching on the organic silicon film as a mask. As an etching apparatus, a magnetron type RIE apparatus was used, and CF 4 and H 2 were supplied at a flow rate of 20%, respectively.
When etching was performed under the conditions of SCCM and 30 SCCM and an excitation power of 0.8 kW, the SiO 2 film could be etched without the organic silicon film being eliminated halfway. Then, after infiltrating into xylene for 300 seconds, ashing treatment was performed with oxygen plasma to remove the resist and the organic silicon film. SE of the surface of SiO 2 film
When observed with M, there was no residue, and the resist and the organic silicon film could be selectively removed from SiO 2 .

【0304】実施例10 実施例9において、有機シリコン膜のエッチングに下記
表9に示すソースガスを用いた場合について説明する。
エッチング装置としてはマグネトロン型のRIE装置を
用いた。レジストパターンが途中で削れてなくなること
なく、有機シリコン膜のエッチングを行うことができた
時のエッチング条件と選択比を、それぞれのガス系につ
いて下記表9に示す。なお、表中の選択比は、有機シリ
コン膜のエッチングレ−ト/レジストのエッチングレ−
トで定義した。
Embodiment 10 A description will be given of a case where a source gas shown in Table 9 below is used for etching an organic silicon film in Embodiment 9.
As an etching apparatus, a magnetron type RIE apparatus was used. Table 9 below shows the etching conditions and the selectivity when the organic silicon film could be etched without the resist pattern being removed halfway. The selectivity in the table is based on the etching rate of the organic silicon film / the etching rate of the resist.
Defined in

【0305】[0305]

【表15】 実施例11 重量平均分子量18000の、式[1−97]に記載の
有機シリコン化合物(n/m=1/4)10gをアニソ
ール90gに溶解し、有機シリコン膜の溶液材料を作成
した。被加工物であるシリコンウェハー上に有機シリコ
ン膜の溶液材料をスピンコーテング法により塗布し、1
60℃で90秒間のベーキングを行い、溶剤を乾燥させ
た。この時の有機シリコン膜の膜厚は1100オングス
トロ−ム、ガラス転移温度は145℃である。分光エリ
プソで測定したλ=248nmにおける複素屈折率はn
=2.10,k=0.38であった。
[Table 15] Example 11 10 g of the organic silicon compound (n / m = 1/4) according to the formula [1-97] having a weight average molecular weight of 18000 was dissolved in 90 g of anisole to prepare a solution material for an organic silicon film. A solution material of an organic silicon film is applied on a silicon wafer to be processed by a spin coating method.
Baking was performed at 60 ° C. for 90 seconds to dry the solvent. At this time, the thickness of the organic silicon film is 1100 angstroms, and the glass transition temperature is 145 ° C. The complex refractive index at λ = 248 nm as measured by spectroscopic ellipsometry is n
= 2.10, k = 0.38.

【0306】次いで、実施例8と同様にして、有機シリ
コン膜上にレジストを塗布し、レジストパターンを形成
した。レジストパターンの形状には裾引きや食われは見
られず、良好なレジストプロファイルが得られた。レジ
ストの膜厚を500オングストロ−ムから1500オン
グストロ−ムの範囲で変化させ、各レジスト膜厚でレジ
ストパターン寸法を測定した。その結果、レジスト膜中
に発生した定在波による寸法変動量は無視できる大きさ
であることが分かった。
Next, in the same manner as in Example 8, a resist was applied on the organic silicon film to form a resist pattern. There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained. The thickness of the resist was changed in the range of 500 Å to 1500 Å, and the resist pattern dimension was measured at each resist thickness. As a result, it was found that the dimensional variation caused by the standing wave generated in the resist film was negligible.

【0307】次に、形成したレジストパターンをマスク
として用い、エッチングガスとして流量180SCCM
のCl2 、流量20SCCMのSF6 の混合ガスを用
い、励起電力を200Wとしたことを除いて、実施例8
と同様の方法で有機シリコン膜のエッチングを行ったと
ころ、有機シリコン膜のエッチング終了後もレジストパ
ターンがすべて削れることなく、また、有機シリコン膜
が変質することなく、正常にエッチングがなされた。そ
の結果、寸法制御性よく有機シリコン膜をエッチングす
ることができた。
Next, using the formed resist pattern as a mask, a flow rate of 180 SCCM was used as an etching gas.
Example 8 except that a mixed gas of Cl 2 and SF 6 at a flow rate of 20 SCCM was used, and the excitation power was set to 200 W.
When the organic silicon film was etched in the same manner as in the above, the resist pattern was not completely removed even after the etching of the organic silicon film was completed, and the organic silicon film was normally etched without being deteriorated. As a result, the organic silicon film could be etched with good dimensional control.

【0308】実施例12 平均分子量13000のポリ(フェニルシレン)8g、
平均分子量12000のポリ(フェニルメチルシラン)
3gをアニソール89gに溶解し、有機シリコン膜の溶
液材料を作成した。被加工物であるシリコンウェハー上
に有機シリコン膜の溶液材料をスピンコーテング法によ
り塗布し、160℃で90秒間ベーキングを行い、溶剤
を乾燥させた。この時の有機シリコン膜の膜厚は200
nmであり、ガラス転移温度は158℃であった。分光
エリプソで測定したλ=248nmにおける複素屈折率
はn=2.10,k=0.42であった。
Example 12 8 g of poly (phenylsilene) having an average molecular weight of 13000,
Poly (phenylmethylsilane) with an average molecular weight of 12000
3 g was dissolved in 89 g of anisole to prepare a solution material for the organic silicon film. A solution material of an organic silicon film was applied on a silicon wafer to be processed by a spin coating method, baked at 160 ° C. for 90 seconds, and the solvent was dried. At this time, the thickness of the organic silicon film is 200
nm, and the glass transition temperature was 158 ° C. The complex refractive index at λ = 248 nm measured by spectroscopic ellipsometry was n = 2.10 and k = 0.42.

【0309】次いで、実施例8と同様にして、有機シリ
コン膜上にレジストを塗布し、レジストパターンを形成
した。レジストパターンの形状には裾引きや食われは見
られず、良好なレジストプロファイルが得られた。レジ
ストの膜厚を50nmから150nmの範囲で変化さ
せ、各レジスト膜厚でレジストパターン寸法を測定し
た。その結果、レジスト膜中に発生した定在波による寸
法変動量は無視できる大きさであることが分かった。
Next, in the same manner as in Example 8, a resist was applied on the organic silicon film to form a resist pattern. There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained. The thickness of the resist was changed in the range of 50 nm to 150 nm, and the dimension of the resist pattern was measured at each resist thickness. As a result, it was found that the dimensional variation caused by the standing wave generated in the resist film was negligible.

【0310】次に、形成したレジストパターンをマスク
として用いて、ソ−スガスを流量200SCCMのHB
r、励起電力を150Wとしたことを除いて、実施例8
と同様の方法で有機シリコン膜のエッチングを行ったと
ころ、有機シリコン膜のエッチング終了後もレジストパ
ターンがすべて削れることなく、また、有機シリコン膜
が変質することなく、正常にエッチングがなされた。そ
の結果、寸法制御性よく有機シリコン膜をエッチングす
ることができた。
Next, using the formed resist pattern as a mask, the source gas was flown into HB at a flow rate of 200 SCCM.
Example 8 except that the excitation power was 150 W
When the organic silicon film was etched in the same manner as in the above, the resist pattern was not completely removed even after the etching of the organic silicon film was completed, and the organic silicon film was normally etched without being deteriorated. As a result, the organic silicon film could be etched with good dimensional control.

【0311】比較例1 下記一般式(16)に示す構造でR1 、R2 、R3 =C
3 、平均重量分子量6,000のポリシラン10gを
アニソール90gに溶解して調製した溶液を、シリコン
基板上にスピンコーテング法により塗布し、ホットプレ
ートを用いて、160℃で90秒間加熱して、有機シリ
コン膜を形成した。有機シリコン膜のガラス転移温度は
−20℃であった。
Comparative Example 1 R 1 , R 2 , R 3 CC in the structure represented by the following general formula (16)
H 3 , a solution prepared by dissolving 10 g of polysilane having an average weight molecular weight of 6,000 in 90 g of anisole was applied on a silicon substrate by a spin coating method, and heated at 160 ° C. for 90 seconds using a hot plate, An organic silicon film was formed. The glass transition temperature of the organic silicon film was −20 ° C.

【0312】実施例1〜7のエッチング条件(P1)〜
(P12)で有機シリコン膜をエッチングしたところ、
いずれの条件でも、実施例1で示したような変質がみら
れ、正常にエッチングを行うことが出来なかった。
The etching conditions (P1) of Examples 1 to 7
When the organic silicon film was etched in (P12),
Under any of the conditions, deterioration as shown in Example 1 was observed, and normal etching could not be performed.

【0313】ポリシランの平均重量分子量を500、1
500、13,000、40,000と変化させて上記
方法と同様の方法で有機シリコン膜を形成し、ガラス転
移温度を測定したところ、それぞれ、−30℃、−24
℃、−20℃、−12℃で、平均重量分子量を変えるこ
とで、0℃以上のガラス転移温度を得ることができなか
った。
The average weight molecular weight of the polysilane was 500, 1
An organic silicon film was formed in the same manner as described above while changing the temperature to 500, 13,000, and 40,000, and the glass transition temperatures were measured.
A glass transition temperature of 0 ° C. or higher could not be obtained by changing the average weight molecular weight at −20 ° C., −12 ° C., and −12 ° C.

【0314】有機シリコン膜を、実施例1〜7のエッチ
ング条件(P1)〜(P12)でエッチングしたとこ
ろ、変質がみられ、正常にエッチングを行うことが出来
なかった。また、R1 、R2 、R3 をC2 5 、C3
8 に置換しても、ガラス転移温度は0℃以下で、正常に
エッチングを行うことが出来なかった。
When the organic silicon film was etched under the etching conditions (P1) to (P12) of Examples 1 to 7, deterioration was observed and normal etching could not be performed. Further, R 1 , R 2 , and R 3 are represented by C 2 H 5 , C 3 H
Even if it was replaced with 8 , the glass transition temperature was 0 ° C. or less, and etching could not be performed normally.

【0315】[0315]

【化81】 以上の実施例1〜12および比較例1の結果から、ガラ
ス転移温度が約0℃以上の有機シリコン膜、或は架橋さ
せた有機シリコン膜は、塩素、臭素、沃素を含有する原
子を含むガスでエッチングすると、変質することなく、
レジストに対して高選択比でエッチングすることができ
ることが分かる。有機シリコン膜がスポンジ状に変質す
るのは、プラズマ中のハロゲンラジカルが有機シリコン
膜の内部まで浸透しやすく、ハロゲン化シリコン化合物
となって膜中から揮発し、揮発しにくい有機成分がエッ
チングされずに残ってスポンジ状になったものと考えら
れる。
Embedded image From the results of Examples 1 to 12 and Comparative Example 1, the organosilicon film having a glass transition temperature of about 0 ° C. or higher or the crosslinked organosilicon film is a gas containing atoms containing chlorine, bromine and iodine. When etched with, without deterioration
It can be seen that the resist can be etched with a high selectivity. The sponge-like transformation of the organic silicon film is due to the fact that the halogen radicals in the plasma easily penetrate into the interior of the organic silicon film, become a silicon halide compound, evaporate from the film, and the organic components that are difficult to volatilize are not etched It is thought that it was left in the form of a sponge.

【0316】一方、有機シリコン化合物のガラス転移温
度が高い有機シリコン膜、或は架橋させた有機シリコン
膜は、ハロゲンラジカルが有機シリコン膜の内部まで浸
透しにくく、表面から順次エッチングされるために、変
質を抑制することができたものと考えられる。
On the other hand, in an organic silicon film having a high glass transition temperature of an organic silicon compound or a cross-linked organic silicon film, halogen radicals hardly penetrate into the inside of the organic silicon film and are etched sequentially from the surface. It is considered that the alteration could be suppressed.

【0317】以下の実施例13〜44は、有機シリコン
膜パターンをマスクとして用いて、シリコン系絶縁膜を
エッチングする、本発明の第2の態様に係る例である。
The following Examples 13 to 44 are examples according to the second embodiment of the present invention in which a silicon-based insulating film is etched using an organic silicon film pattern as a mask.

【0318】実施例13 本実施例では、(A1)〜(A10)の有機シリコン膜
をマスクとして用いて、シリコン系絶縁膜をエッチング
した時のエッチング耐性について調べた結果について示
す。まず、シリコン系絶縁膜を、次の(S1)、(S
2)の方法により形成した。レジストおよび比較のため
の従来型反射防止膜としては、実施例1の(R1)の方
法で形成したレジストを用いた。
Example 13 In this example, the results of examining the etching resistance when etching a silicon-based insulating film using the organic silicon films (A1) to (A10) as a mask will be described. First, a silicon-based insulating film is formed by the following (S1), (S
It was formed by the method of 2). As the resist and the conventional antireflection film for comparison, the resist formed by the method (R1) of Example 1 was used.

【0319】(S1):LPCVD法により、下地基板
上にSiO2 膜を形成した。
(S1): An SiO 2 film was formed on a base substrate by LPCVD.

【0320】(S2):LPCVD法により、下地基板
上にSiN膜を形成した。
(S2): An SiN film was formed on the underlying substrate by LPCVD.

【0321】次に、有機シリコン膜のエッチング耐性に
ついて調べた。マグネトロン型反応性プラズマエッチン
グ装置を用いて、(A1)〜(A10)の有機シリコン
膜、(R1)〜(R4)のレジストおよび反射防止膜、
(S1)、(S2)のシリコン系絶縁膜を、下記(Q
1)〜(Q6)の条件で、それぞれエッチングした。
Next, the etching resistance of the organic silicon film was examined. Using a magnetron-type reactive plasma etching apparatus, an organic silicon film of (A1) to (A10), a resist and an antireflection film of (R1) to (R4),
The silicon-based insulating film of (S1) and (S2) was
Etching was performed under the conditions 1) to (Q6).

【0322】(Q1) エッチングガス:流量45/1
55SCCMのCHF3 /CO、励起電力:700W、
真空度:40mTorr、基板温度:50℃ (Q2) エッチングガス:流量45/155/7SC
CMのCHF3 /CF4 /O2 、励起電力:700W、
真空度:40mTorr、基板温度:50℃ (Q3) エッチングガス:流量12/100/240
SCCMのC4 8 /CO/Ar、励起電力:700
W、真空度:40mTorr、基板温度:50℃ (Q4) エッチングガス:流量74/78SCCMの
CHF3 /CF4 、励起電力:700W、真空度:40
mTorr、基板温度:50℃ (Q5) エッチングガス:流量80/20/160S
CCMのCF4 /O2/Ar、励起電力:800W、真
空度:40mTorr、基板温度:50℃ (Q6) エッチングガス:流量45/155/10S
CCMのCHF3 /CO/O2 、励起電力:800W、
真空度:40mTorr、基板温度:50℃ (A3)〜(A10)、(R1)〜(R4)、(S1)
および(S2)のエッチングレートを測定した。そし
て、(S1)SiO2 膜の有機シリコン膜に対するエッ
チング選択比(=SiO2 膜のエッチングレート/有機
シリコン膜のエッチングレート)を算出した結果を上記
表1に示す。比較のために、従来のマスク材(R1)〜
(R4)に対するエッチング選択比(=シリコン系絶縁
膜のエッチレート/エッチングマスク材のエッチレー
ト)を算出した結果を、同様に上記表1に示す。
(Q1) Etching gas: flow rate 45/1
55 SCCM CHF 3 / CO, excitation power: 700 W,
Degree of vacuum: 40 mTorr, substrate temperature: 50 ° C. (Q2) Etching gas: flow rate 45/155 / 7SC
CM CHF 3 / CF 4 / O 2 , excitation power: 700 W,
Vacuum degree: 40 mTorr, substrate temperature: 50 ° C. (Q3) Etching gas: flow rate 12/100/240
SCCM C 4 F 8 / CO / Ar, excitation power: 700
W, degree of vacuum: 40 mTorr, substrate temperature: 50 ° C. (Q4) Etching gas: CHF 3 / CF 4 at a flow rate of 74/78 SCCM, excitation power: 700 W, degree of vacuum: 40
mTorr, substrate temperature: 50 ° C. (Q5) etching gas: flow rate 80/20 / 160S
CF 4 / O 2 / Ar of CCM, excitation power: 800 W, degree of vacuum: 40 mTorr, substrate temperature: 50 ° C. (Q6) Etching gas: flow rate 45/155 / 10S
CHF 3 / CO / O 2 of CCM, excitation power: 800 W,
Degree of vacuum: 40 mTorr, substrate temperature: 50 ° C. (A3) to (A10), (R1) to (R4), (S1)
And the etching rates of (S2) were measured. The results of calculating the etching selectivity of the (S1) SiO 2 film to the organic silicon film (= etching rate of the SiO 2 film / etching rate of the organic silicon film) are shown in Table 1 above. For comparison, the conventional mask material (R1)-
Table 1 also shows the results of calculating the etching selectivity (= etch rate of silicon-based insulating film / etch rate of etching mask material) with respect to (R4).

【0323】上記表1から、(A1)〜(A10)の何
れの膜も、いずれのエッチング条件においても、従来の
エッチングマスク材よりもエッチングレートが遅く、有
機シリコン膜が従来のレジスト、反射防止膜といったエ
ッチングマスク材よりも耐ドライエッチング性があるこ
とが分かる。
From Table 1 above, it can be seen that, under any of the etching conditions, any of the films (A1) to (A10) has a lower etching rate than the conventional etching mask material, and the organic silicon film is formed of the conventional resist and the antireflection film It can be seen that the film has better dry etching resistance than an etching mask material such as a film.

【0324】実施例14 本実施例では、(B1)〜(B10)の有機シリコン膜
をエッチングマスクとして用いて、シリコン系絶縁膜を
エッチングした時のエッチング耐性について調べた結果
について示す。まず、実施例13と同様にして、有機シ
リコン膜のエッチング耐性を調べた結果を上記表2に示
す。上記表2から、(B1)〜(B10)の何れの膜
も、従来のエッチングマスク材(R1)〜(R4)より
もエッチングレートが遅く、有機シリコン膜がレジスト
よりも耐ドライエッチング性があることが分かった。
Example 14 In this example, the results of examining the etching resistance when etching a silicon-based insulating film using the organic silicon films (B1) to (B10) as an etching mask will be described. First, the results of examining the etching resistance of the organic silicon film in the same manner as in Example 13 are shown in Table 2 above. From Table 2 above, any of the films (B1) to (B10) has a lower etching rate than the conventional etching mask materials (R1) to (R4), and the organic silicon film has better dry etching resistance than the resist. I understood that.

【0325】(C1)〜(C10)、(D1)〜(D1
0)、(E1)〜(E10)、(F1)〜(F10)、
(G1)〜(G10)の有機シリコン膜をエッチングマ
スクとして用いてシリコン系絶縁膜をエッチングした時
のエッチング耐性についても、同様にして調べたとこ
ろ、(A1)〜(A10)、(B1)〜(B10)の有
機シリコン膜と同様に、従来のエッチングマスク材より
も耐ドライエッチング性が優れていることが分かった。
(C1) to (C10), (D1) to (D1)
0), (E1) to (E10), (F1) to (F10),
The etching resistance when the silicon-based insulating film was etched using the organic silicon films (G1) to (G10) as an etching mask was also examined in the same manner, and (A1) to (A10), (B1) to Like the organic silicon film of (B10), it was found that the dry etching resistance was superior to that of the conventional etching mask material.

【0326】実施例15 本実施例では、レジストと有機シリコン膜とのエッチン
グ選択比、および有機シリコン膜の光学定数を測定する
実験を行った。
Example 15 In this example, an experiment was performed to measure the etching selectivity between the resist and the organic silicon film and the optical constant of the organic silicon film.

【0327】まず、下記(A)〜(L)に示す、12種
の有機シリコン膜の溶液材料を作成した。
First, twelve kinds of organic silicon film solution materials shown in the following (A) to (L) were prepared.

【0328】(A)下記式[17−1]に示す重量平均
分子量1000のポリシラン10gをシクロヘキサノン
90gに溶解して得た溶液材料。
(A) A solution material obtained by dissolving 10 g of polysilane having a weight average molecular weight of 1000 represented by the following formula [17-1] in 90 g of cyclohexanone.

【0329】(B)下記式[17−2]に示す重量平均
分子量12000のポリシラン10gをシクロヘキサノ
ン90gに溶解して得た溶液材料。
(B) A solution material obtained by dissolving 10 g of polysilane having a weight average molecular weight of 12,000 represented by the following formula [17-2] in 90 g of cyclohexanone.

【0330】(C)下記式[17−3]に示す重量平均
分子量12000のポリシラン(n/m=1/1)10
gをシクロヘキサノン90gに溶解して得た溶液材料。
(C) Polysilane having a weight average molecular weight of 12,000 (n / m = 1/1) represented by the following formula [17-3] 10
g solution in 90 g of cyclohexanone.

【0331】(D)下記式[17−4]に示す重量平均
分子量13000のポリシラン(n/m=4/1)10
gをシクロヘキサノン90gに溶解して得た溶液材料。
(D) Polysilane (n / m = 4/1) 10 having a weight average molecular weight of 13000 represented by the following formula [17-4]
g solution in 90 g of cyclohexanone.

【0332】(E)下記式[17−5]に示す重量平均
分子量12000のポリシラン10gをキシレン90g
に溶解して得た溶液材料。
(E) 10 g of polysilane having a weight average molecular weight of 12,000 represented by the following formula [17-5] was added to 90 g of xylene.
Solution material obtained by dissolving in water.

【0333】(F)下記式[17−6]に示す重量平均
分子量12000のポリシラン10gをキシレン90g
に溶解して得た溶液材料。
(F) 10 g of polysilane having a weight average molecular weight of 12,000 represented by the following formula [17-6] was added to 90 g of xylene.
Solution material obtained by dissolving in water.

【0334】(G)下記式[17−7]に示す重量平均
分子量9000のポリシラン(n/m=1/4)10g
をキシレン90gに溶解して得た溶液材料。
(G) 10 g of a polysilane (n / m = 1/4) having a weight average molecular weight of 9000 represented by the following formula [17-7]
Is dissolved in 90 g of xylene to obtain a solution material.

【0335】(H)下記式[17−8]に示す重量平均
分子量13000のポリシラン10gをキシレン90g
に溶解して得た溶液材料。
(H) 10 g of polysilane having a weight average molecular weight of 13000 represented by the following formula [17-8] was added to 90 g of xylene.
Solution material obtained by dissolving in water.

【0336】(I)下記式[17−9]に示す重量平均
分子量16000のポリシラン10gをキシレン90g
に溶解して得た溶液材料。
(I) 10 g of a polysilane having a weight average molecular weight of 16,000 represented by the following formula [17-9] was added to 90 g of xylene:
Solution material obtained by dissolving in water.

【0337】(J)下記式[17−10]に示す重量平
均分子量13000のポリシレン5gと、下記式「15
−1]に示す重量平均分子量1000のポリシラン10
gとを、キシレン85gに溶解して得た溶液材料。
(J) 5 g of a polystyrene having a weight average molecular weight of 13000 represented by the following formula [17-10] and
-1] polysilane 10 having a weight average molecular weight of 1000
g in 85 g of xylene to obtain a solution material.

【0338】(K)下記式[17−11]に示す重量平
均分子量12000のポリシレン(n/m=1/2)5
gと、重量平均分子量4000のポリサルフォン10g
とを、キシレン85gに溶解して得た溶液材料。
(K) Polysilene (n / m = 1/2) 5 having a weight average molecular weight of 12000 represented by the following formula [17-11]:
g and 10 g of polysulfone having a weight average molecular weight of 4000
Is dissolved in 85 g of xylene to obtain a solution material.

【0339】(L)下記式[17−12]に示す重量平
均分子量15000のポリシラン(n/m=1/1)1
0gと、クマリン色素1gとを、キシレン89gに溶解
して得た溶液材料。
(L) Polysilane (n / m = 1/1) 1 having a weight average molecular weight of 15,000 and represented by the following formula [17-12]
A solution material obtained by dissolving 0 g and 1 g of a coumarin dye in 89 g of xylene.

【0340】[0340]

【化82】 Embedded image

【0341】[0341]

【化83】 以上挙げた(A)〜(L)の溶液材料を、スピンコーテ
ィング法によりシリコンウェハー上に塗布し、160℃
で60秒間ベーキングを行い、500nm厚の有機シリ
コン膜を形成した。この有機シリコン膜のガラス転移温
度を下記表10に示す。また、同様にして、ポリヒドロ
キシスチレンを成分樹脂とするシップレー社製ポジ型化
学増幅型レジストAPEX−E、シップレー社製ネガ型
化学増幅型レジストSNR200、ノボラック樹脂を成
分樹脂とするポジ型レジスト(商品名:IX−770、
日本合成ゴム社製)を、それぞれシリコンウェハー上に
塗布した。次に、上記(A)〜(L)の溶液材料を用い
て得た膜とレジストを、マグネトロン型RIE装置を用
いてそれぞれエッチングし、それぞれの膜のエッチング
レートを測定した。エッチング条件は、ソースガスとし
て流量200SCCMのCl2 ガスを用い、真空度80
mTorr、励起電力200Wである。いずれの有機シ
リコン膜も変質することなく、正常にエッチングがなさ
れた。エッチングレートの測定結果を下記表10に示
す。
Embedded image The above-mentioned solution materials (A) to (L) are applied to a silicon wafer by a spin coating method,
Was performed for 60 seconds to form an organic silicon film having a thickness of 500 nm. The glass transition temperature of this organic silicon film is shown in Table 10 below. Similarly, a positive chemically amplified resist APEX-E manufactured by Shipley using polyhydroxystyrene as a component resin, a negative chemically amplified resist SNR200 manufactured by Shipley, and a positive resist using a novolak resin as a component resin (products) Name: IX-770,
(Manufactured by Nippon Synthetic Rubber Co.) was applied onto a silicon wafer. Next, the film and the resist obtained using the solution materials (A) to (L) were respectively etched using a magnetron type RIE apparatus, and the etching rates of the respective films were measured. Etching conditions were as follows: Cl 2 gas at a flow rate of 200 SCCM was used as a source gas, and the degree of vacuum was 80.
mTorr, excitation power 200W. All of the organic silicon films were etched normally without deterioration. Table 10 shows the measurement results of the etching rate.

【0342】次に、波長248nmおよび193nmに
おける有機シリコン膜の複素屈折率を測定した。また、
それぞれの波長における膜厚500nmの有機シリコン
膜の反射率を紫外分光計で測定した。それらの測定結果
を、下記表11に示す。
Next, the complex refractive index of the organic silicon film at wavelengths of 248 nm and 193 nm was measured. Also,
The reflectance of the 500 nm-thick organic silicon film at each wavelength was measured with an ultraviolet spectrometer. The measurement results are shown in Table 11 below.

【0343】[0343]

【表16】 [Table 16]

【0344】[0344]

【表17】 [Table 17]

【0345】[0345]

【表18】 [Table 18]

【0346】[0346]

【表19】 [Table 19]

【0347】[0347]

【表20】 [Table 20]

【0348】[0348]

【表21】 上記表10から、有機シリコン膜のエッチングレート
は、レジストのエッチングレートに比べ、少なくとも
3.6倍以上も速く、従って、本実施例において得られ
た有機シリコン膜は、レジストをマスクとして用いて、
高選択比でエッチングし得ることがわかる。
[Table 21] From Table 10 above, the etching rate of the organic silicon film is at least 3.6 times faster than the etching rate of the resist. Therefore, the organic silicon film obtained in this example is obtained by using the resist as a mask.
It can be seen that etching can be performed with a high selectivity.

【0349】また、表11から、いずれの有機シリコン
膜も反射率は5%以下であり、反射防止膜として有効に
作用することがわかる。
Further, from Table 11, it can be seen that the reflectance of each of the organic silicon films is 5% or less, and effectively functions as an antireflection film.

【0350】比較例2 シリコンウェハー上に、カーボン膜、ノボラック樹脂
膜、ポリサルフォン膜、およびポリイミド膜をそれぞれ
形成した。カーボン膜は、Ar雰囲気中でグラファイト
板をターゲットとして用いたDCマグネトロンスパッタ
リング法を用いることにより作成した。形成条件は、基
板温度250℃、圧力4×10-3Torr、電力密度
3.5W/cm2 、アルゴン流量40SCCMである。
Comparative Example 2 A carbon film, a novolak resin film, a polysulfone film, and a polyimide film were formed on a silicon wafer. The carbon film was formed by using a DC magnetron sputtering method using a graphite plate as a target in an Ar atmosphere. The formation conditions are a substrate temperature of 250 ° C., a pressure of 4 × 10 −3 Torr, a power density of 3.5 W / cm 2 , and an argon flow rate of 40 SCCM.

【0351】ノボラック樹脂膜は、重量平均分子量60
00のノボラック樹脂を乳酸エチルに溶解して得た溶液
材料を、スピンコーティング法によりシリコンウェハー
上に塗布し、320℃で180秒間ベーキングすること
により成膜した。
The novolak resin film has a weight average molecular weight of 60
A solution material obtained by dissolving Novolak resin No. 00 in ethyl lactate was applied onto a silicon wafer by a spin coating method, and baked at 320 ° C. for 180 seconds to form a film.

【0352】ポリサルフォン樹脂膜は、重量平均分子量
5000のポリサルフォン樹脂をシクロヘキサノンに溶
解して得た溶液材料をシリコンウェハー上に塗布し、2
20℃で90秒間ベーキングすることにより成膜した。
A polysulfone resin film is formed by dissolving a polysulfone resin having a weight average molecular weight of 5,000 in cyclohexanone and applying a solution material on a silicon wafer.
A film was formed by baking at 20 ° C. for 90 seconds.

【0353】ポリイミド樹脂膜は、重量平均分子量50
00のポリイミド樹脂をシクロヘキサノンに溶解して得
た溶液材料をシリコンウェハー上に塗布し、220℃で
90秒間ベーキングすることにより成膜した。
The polyimide resin film has a weight average molecular weight of 50
A solution material obtained by dissolving polyimide resin No. 00 in cyclohexanone was applied on a silicon wafer, and baked at 220 ° C. for 90 seconds to form a film.

【0354】また、実施例15と同様にして、シリコン
ウェハー上にレジスト膜を形成した。
In the same manner as in Example 15, a resist film was formed on a silicon wafer.

【0355】波長248nmおよび193nmにおけ
る、カーボン膜、ノボラック樹脂膜、ポリサルフォン膜
およびポリイミド膜の複素屈折率を測定した。また、そ
れぞれの波長における、膜厚500nmのカーボン膜、
ノボラック樹脂膜、ポリサルフォン膜およびポリイミド
膜の表面反射率を紫外分光計で測定した。それらの測定
結果を、同様に上記表11に示す。
At the wavelengths of 248 nm and 193 nm, the complex refractive indexes of the carbon film, novolak resin film, polysulfone film and polyimide film were measured. A carbon film having a thickness of 500 nm at each wavelength;
The surface reflectance of the novolak resin film, polysulfone film and polyimide film was measured with an ultraviolet spectrometer. Table 11 also shows the measurement results.

【0356】上記表11から、カーボン膜、ノボラック
樹脂膜、ポリサルフォン膜およびポリイミド膜の表面反
射率は、いずれも7%以下であり、反射防止膜として有
効に作用することがわかる。
From Table 11 above, it can be seen that the surface reflectances of the carbon film, the novolak resin film, the polysulfone film and the polyimide film are all 7% or less, and effectively act as antireflection films.

【0357】次に、それぞれの膜をマグネトロン型RI
E装置でエッチングし、それぞれの膜のエッチングレー
トを求めた。エッチング条件は、カーボン膜、ノボラッ
ク樹脂膜、ポリサルフォン膜およびポリイミド膜をエッ
チングするための最適な条件、すなわち、ソースガスと
して流量50SCCMのCF4 ガス、流量8SCCMの
2 ガス、流量20SCCMのArガスを用い、真空度
10mTorr、励起電力200Wである。測定結果を
下記表12に示す。
Next, each film was formed by magnetron type RI.
Etching was performed with an E apparatus, and the etching rate of each film was determined. The etching conditions are optimum conditions for etching the carbon film, the novolak resin film, the polysulfone film and the polyimide film, that is, CF 4 gas at a flow rate of 50 SCCM, O 2 gas at a flow rate of 8 SCCM, and Ar gas at a flow rate of 20 SCCM as a source gas. Used, the degree of vacuum is 10 mTorr, and the excitation power is 200 W. The measurement results are shown in Table 12 below.

【0358】[0358]

【表22】 上記表12から、カーボン膜、ノボラック樹脂膜、ポリ
サルフォン膜およびポリイミド膜のエッチングレート
は、最も耐ドライエッチング性の高いレジストのエッチ
ングレートの0.37倍、0.91倍、1.39倍、
1.33倍であり、レジストとのエッチング選択比がと
れないことがわかる。
[Table 22] From the above Table 12, the etching rates of the carbon film, the novolak resin film, the polysulfone film and the polyimide film are 0.37 times, 0.91 times and 1.39 times the etching rates of the resist having the highest dry etching resistance.
It is 1.33 times, which indicates that the etching selectivity with the resist cannot be obtained.

【0359】このように、カーボン膜、ノボラック樹脂
膜、ポリサルフォン膜およびポリイミド膜は、優れた反
射防止効果を有するが、レジストとの間でエッチング選
択比がとれないため、レジストパターンを絶縁膜のエッ
チングマスクにパターン転写出来ないことがわかる。
As described above, the carbon film, the novolak resin film, the polysulfone film, and the polyimide film have an excellent antireflection effect, but do not have an etching selectivity with the resist. It can be seen that the pattern cannot be transferred to the mask.

【0360】比較例3 シリコンウェハー上にポリシリコン膜を形成し、このポ
リシリコン膜をマグネトロン型RIE装置でエッチング
し、そのエッチングレートを求めた。エッチング条件
は、実施例15と同様である。その測定結果を上記表1
1に示す。
Comparative Example 3 A polysilicon film was formed on a silicon wafer, and this polysilicon film was etched by a magnetron type RIE apparatus, and the etching rate was determined. The etching conditions are the same as in Example 15. Table 1 shows the measurement results.
It is shown in FIG.

【0361】上記表11から、ポリシリコン膜は、レジ
ストより8.9倍以上エッチングレートが速いことがわ
かる。
From Table 11, it can be seen that the etching rate of the polysilicon film is 8.9 times faster than that of the resist.

【0362】また、波長248nmおよび193nmに
おける、ポリシリコン膜の複素屈折率を測定した。更
に、シリコンウェハー上に形成された膜厚500nmの
ポリシリコン膜の表面反射率を、それぞれの波長におい
て、紫外分光計で測定した。これらの結果を上記表11
に示す。
The complex refractive index of the polysilicon film was measured at wavelengths of 248 nm and 193 nm. Further, the surface reflectance of the 500 nm-thickness polysilicon film formed on the silicon wafer was measured at each wavelength by an ultraviolet spectrometer. These results are shown in Table 11 above.
Shown in

【0363】上記表11から、ポリシリコン膜の表面反
射率は45%以上と高く、ポリシリコン膜上ではレジス
トの側壁に波打ち形状がみられ、寸法制御性の良好なレ
ジストのパターニングが困難であることがわかる。
According to Table 11, the surface reflectance of the polysilicon film is as high as 45% or more, and a wavy shape is seen on the side wall of the resist on the polysilicon film, making it difficult to pattern the resist with good dimensional control. You can see that.

【0364】このように、ポリシリコン膜は、レジスト
との高いエッチング選択比はとれるが、紫外線光での表
面反射率が高いため、絶縁膜のエッチングマスクとして
は使用出来ないことがわかる。
As described above, it can be seen that the polysilicon film can have a high etching selectivity with respect to the resist, but cannot be used as an etching mask for the insulating film because of its high surface reflectance with ultraviolet light.

【0365】実施例16 本実施例では、有機シリコン膜と、酸化シリコン膜およ
び窒化シリコン膜との間の選択比を測定する実験を以下
のように行った。
Example 16 In this example, an experiment for measuring the selectivity between an organic silicon film, a silicon oxide film, and a silicon nitride film was performed as follows.

【0366】実施例15と同様にして、シリコンウェハ
ー上に種々の有機シリコン膜をそれぞれ成膜し、また、
シリコンウェハー上にSiO2 膜およびSiN膜をそれ
ぞれ成膜し、これら各膜をマグネトロン型RIE装置で
エッチングし、それぞれの膜のエッチングレートを求め
た。エッチング条件は、ソースガスとして流量50SC
CMのC4 8 ガス、流量10SCCMのCOガス、流
量100SCCMのArガス、流量3SCCMのO2
スを用い、真空度10mTorr、励起電力800Wで
ある。測定結果を上記表10に示す。
In the same manner as in Example 15, various organic silicon films were formed on a silicon wafer, respectively.
An SiO 2 film and a SiN film were formed on a silicon wafer, and each of these films was etched by a magnetron type RIE apparatus, and the etching rate of each film was determined. Etching conditions were as follows: flow rate 50 SC as source gas
CM C 4 F 8 gas, CO gas at a flow rate of 10 SCCM, Ar gas at a flow rate of 100 SCCM, O 2 gas at a flow rate of 3 SCCM are used, the degree of vacuum is 10 mTorr, and the excitation power is 800 W. Table 10 shows the measurement results.

【0367】上記表10から、SiO2 膜のエッチング
レートは、有機シリコン膜のエッチングレートの15倍
以上であることがわかる。また、SiN膜のエッチング
レートは、有機シリコン膜のエッチングレートの14倍
以上であることがわかる。
From Table 10 above, it can be seen that the etching rate of the SiO 2 film is 15 times or more the etching rate of the organic silicon film. Further, it can be seen that the etching rate of the SiN film is 14 times or more the etching rate of the organic silicon film.

【0368】比較例4 実施例16と同様のエッチング条件で、レジスト膜、カ
ーボン膜、ノボラック樹脂膜、ポリサルフォン膜、ポリ
イミド膜、およびポリシリコン膜のエッチングレートを
測定した。レジスト膜としては、実施例15で用いた3
種類のレジスト膜を用いた。その測定結果を上記表10
に示す。
Comparative Example 4 Under the same etching conditions as in Example 16, the etching rates of the resist film, carbon film, novolak resin film, polysulfone film, polyimide film and polysilicon film were measured. As the resist film, 3 used in Example 15 was used.
Different types of resist films were used. Table 10 shows the measurement results.
Shown in

【0369】上記表10から、レジスト膜のエッチング
レートに対し、SiO2 膜およびSiN膜のエッチング
レートは、最大でも2.7倍、2.6倍しかないことが
わかる。また、カーボン膜およびポリシリコン膜は、S
iO2 膜およびSiN膜をエッチングする場合、本発明
で用いる有機シリコン膜と同等の耐エッチング性を有す
るが、比較例2,3で示したような問題を有するため、
SiO2 膜およびSiN膜のエッチングマスクとしての
使用は困難である。
From the above Table 10, it can be seen that the etching rate of the SiO 2 film and the SiN film is only 2.7 times and 2.6 times at the maximum with respect to the etching rate of the resist film. In addition, the carbon film and the polysilicon film are S
When the iO 2 film and the SiN film are etched, they have the same etching resistance as the organic silicon film used in the present invention, but have the problems shown in Comparative Examples 2 and 3,
It is difficult to use the SiO 2 film and the SiN film as etching masks.

【0370】また、ノボラック樹脂膜、ポリサルフォン
膜およびポリイミド膜は、レジストと同等の耐エッチン
グ性しかなく、有機シリコン膜に比べ、SiO2 膜およ
びSiN膜のエッチングマスクとしては不十分である。
また、ノボラック樹脂膜、ポリサルフォン膜およびポリ
イミド膜は、比較例1で示したように、レジストとのエ
ッチング選択比がとれないため、SiO2 膜およびSi
N膜のエッチングマスクとしては使用できない。
The novolak resin film, polysulfone film, and polyimide film have only the same etching resistance as that of the resist, and are insufficient as etching masks for the SiO 2 film and the SiN film as compared with the organic silicon film.
Further, novolac resin film, polysulfone film and polyimide film, which as shown in Comparative Example 1, the etching selection ratio of the resist can not be taken, SiO 2 film and Si
It cannot be used as an etching mask for the N film.

【0371】従って、実施例15、実施例16、および
比較例1〜3から、SiO2 膜およびSiN膜のエッチ
ングマスクとして、有機シリコン膜が最も優れているこ
とがわかる。また、本発明に係る有機シリコン膜は、ス
ピンコーティング法で成膜できるため、CVD法やスパ
ッタ法によってしか成膜できないポリシリコン膜やカー
ボン膜等のエッチングマスクと比べると、プロセスコス
トが低く、成膜時にダストが発生しないという利点もあ
る。
Therefore, from Examples 15 and 16, and Comparative Examples 1 to 3, it can be seen that the organic silicon film is most excellent as the etching mask for the SiO 2 film and the SiN film. Further, since the organic silicon film according to the present invention can be formed by a spin coating method, the process cost is lower than that of an etching mask such as a polysilicon film or a carbon film which can be formed only by a CVD method or a sputtering method. There is also an advantage that dust is not generated during film formation.

【0372】以下の実施例17〜44は、一般式[1
2]に示す有機シリコン化合物からなる有機シリコン膜
を、レジストパターンをエッチングマスクとして用いて
エッチングする例である。
The following Examples 17 to 44 have the general formula [1]
This is an example in which an organic silicon film made of an organic silicon compound shown in [2] is etched using a resist pattern as an etching mask.

【0373】実施例17 図1(a)に示すように、シリコンウェハー1上に膜厚
500nmのSiO2膜2をCVD法で成膜した。次
に、SiO2 膜2上に、上述した式[17−1]に示す
平均分子量1000のポリシラン8g、式[3−79]
に示す架橋剤1.8g、ラジカル発生剤としてトリハロ
メチル−s−トリアジン0.2gを、シクロヘキサノン
90gに溶解して作成した溶液材料を塗布して、窒素雰
囲気(酸素濃度50ppm以下)、180℃で600秒
間ベーキングを行い、ポリシランを架橋させ、ガラス転
移温度153℃の有機シリコン膜3を得た。この有機シ
リコン膜3膜厚は250nmである(図1(b))。
Example 17 As shown in FIG. 1A, an SiO 2 film 2 having a thickness of 500 nm was formed on a silicon wafer 1 by a CVD method. Next, on the SiO 2 film 2, 8 g of polysilane having an average molecular weight of 1000 represented by the above formula [17-1] and the formula [3-79]
A solution material prepared by dissolving 1.8 g of a cross-linking agent and 0.2 g of trihalomethyl-s-triazine as a radical generator in 90 g of cyclohexanone shown in (1) above was applied, and heated at 180 ° C. in a nitrogen atmosphere (oxygen concentration 50 ppm or less). Baking was performed for 600 seconds to crosslink the polysilane to obtain an organic silicon film 3 having a glass transition temperature of 153 ° C. The thickness of the organic silicon film 3 is 250 nm (FIG. 1B).

【0374】また、分光エリプソで測定した有機シリコ
ン膜3の露光波長248nmでの複素屈折率はn=2.
10、k=0.38で、得られた複素屈折率の値を用い
て有機シリコン膜の膜厚に対して、レジストと有機シリ
コン膜3との界面での光強度反射率を計算した。その結
果を図5示す。計算に用いた露光波長での複素屈折率
は、上記表8に示す値を用いた。
The complex refractive index of the organic silicon film 3 at an exposure wavelength of 248 nm measured by spectroscopic ellipsometry is n = 2.
At 10, k = 0.38, the light intensity reflectance at the interface between the resist and the organic silicon film 3 was calculated with respect to the thickness of the organic silicon film using the obtained value of the complex refractive index. The result is shown in FIG. The values shown in Table 8 above were used as the complex refractive index at the exposure wavelength used in the calculation.

【0375】図5から、有機シリコン膜3をSiO2
2上に形成したことによって、レジスト膜4の戻り光が
減じられていることが分かる。
FIG. 5 shows that the return light of the resist film 4 is reduced by forming the organic silicon film 3 on the SiO 2 film 2.

【0376】続いて、有機シリコン膜上にレジスト4を
塗布し、98℃で120秒間ベーキングを行った(図1
(c))。この時のレジスト4の膜厚は200nmであ
る。なお、レジストとしては、実施例1で用いた(R
1)の方法で得たものを用いた。
Subsequently, a resist 4 was applied on the organic silicon film and baked at 98 ° C. for 120 seconds (FIG. 1).
(C)). At this time, the thickness of the resist 4 is 200 nm. The resist used in Example 1 was (R
The one obtained by the method of 1) was used.

【0377】次に、KrFエキシマレーザー光を光源と
した縮小光学型ステッパーを用いてパターン露光を行い
(露光量30mJ/cm2 )、98℃で120秒間のベ
ーキングを行った後、0.21規定のTMAH現像液で
現像処理を行い、0.18μmラインアンドスペースパ
ターン5を形成した(図1(d))。この時のレジスト
パターン5の膜厚は180nmである。
Next, pattern exposure was performed using a reduction optical type stepper using a KrF excimer laser beam as a light source (exposure amount: 30 mJ / cm 2 ), baking was performed at 98 ° C. for 120 seconds, and then 0.21 standard. Was developed using a TMAH developer of No. 1 to form a 0.18 μm line and space pattern 5 (FIG. 1D). At this time, the thickness of the resist pattern 5 is 180 nm.

【0378】レジストプロファイルを断面SEM観察し
たところ、レジストパターンの形状には裾引きや食われ
は見られず、良好なレジストプロファイルが得られ、側
壁には定在波による波打ち形状は見られなかった。
When the cross section of the resist profile was observed by SEM, the resist pattern did not show any tailing or erosion, a good resist profile was obtained, and no wavy shape due to a standing wave was found on the side wall. .

【0379】また、レジスト膜厚を100〜200nm
の範囲で変化させてレジストパターン寸法を測定した。
その結果を図6に示す。次に、SiO2 膜2の膜厚を4
50〜550nmで変化させてレジストパターン5の寸
法を測定した。その結果を図7示す。
The resist film thickness is set to 100 to 200 nm.
And the resist pattern dimensions were measured.
FIG. 6 shows the result. Next, the thickness of the SiO 2 film 2 is set to 4
The dimensions of the resist pattern 5 were measured by changing the size from 50 to 550 nm. FIG. 7 shows the result.

【0380】レジスト膜およびSiO2 膜中で発生した
多重反射による寸法変動量を図6よび図7示すように定
義すると、レジスト膜中で発生した多重反射による寸法
変動量は6nm、SiO2 膜中で発生した多重反射によ
る寸法変動量は5nmで、許容範囲の9nm以下であ
る。従って、有機シリコン膜が反射防止膜として作用し
ているために、レジスト膜厚およびSiO2 膜厚のバラ
ツキに依存しにくく、寸法制御性のよいレジストパター
ンを得ることができた。
[0380] When the resist film and the amount of dimensional variation due to multiple reflections generated in the SiO 2 film is defined as shown Fig. 6 and Fig 7, the amount of dimensional variation due to multiple reflections that occur in the resist film is 6 nm, SiO 2 film Is 5 nm, which is within the allowable range of 9 nm or less. Therefore, since the organic silicon film acts as an anti-reflection film, the resist pattern is less dependent on variations in the thickness of the resist and the thickness of SiO 2, and a resist pattern with good dimensional control can be obtained.

【0381】以上のように形成したレジストパターンを
マスクとして用いて、マグネトロン型RIE装置を用
い、図1(e)に示すように、有機シリコン膜のエッチ
ングを行った。ソースガスとして流量200SCCMの
Cl2 を用い、励起電力300W、真空度30mTor
rのエッチング条件で有機シリコン膜のエッチングを行
ったところ、レジストパターンが途中で削れてなくなる
ことなく、有機シリコン膜のエッチングを行うことがで
きた。
Using the resist pattern formed as described above as a mask, the organic silicon film was etched using a magnetron type RIE apparatus as shown in FIG. Using Cl 2 at a flow rate of 200 SCCM as a source gas, an excitation power of 300 W and a degree of vacuum of 30 mTorr
When the organic silicon film was etched under the etching condition of r, the organic silicon film could be etched without the resist pattern being removed halfway.

【0382】有機シリコン膜の加工形状を観察したとこ
ろ、有機シリコン膜は垂直に異方性よくエッチングされ
ていた。また、また、エッチング後の有機シリコン膜パ
ターンの寸法を、パターンの底部、つまり図1(e)の
Yで定義すると、有機シリコン膜のエッチングで生じた
寸法変換差(=Y−X)は、−2nmで、エッチング前
のレジストパターンとずれることなく、有機シリコン膜
をエッチングすることができた。
When the processed shape of the organic silicon film was observed, the organic silicon film was vertically etched with good anisotropy. Further, when the dimension of the organic silicon film pattern after the etching is defined by the bottom of the pattern, that is, Y in FIG. 1E, the dimensional conversion difference (= Y−X) caused by the etching of the organic silicon film is: At -2 nm, the organic silicon film could be etched without shifting from the resist pattern before etching.

【0383】さらに、パターニングされた有機シリコン
膜と有機シリコン膜上に残ったレジストパターンをマス
クとして用いて、マグネトロン型反応性イオンエッチン
グ装置を用い、図1(f)に示すように、SiO2 膜の
エッチングを行った。エッチングガスとして流量30S
CCMのC4 8 ガス、流量160SCCMのArガ
ス、および流量3SCCMのO2 ガスを用い、励起電力
800W、真空度30mTorrのエッチング条件でエ
ッチングを行ったところ、有機シリコン膜が途中で削れ
てなくなることなく、SiO2 膜のエッチングを行うこ
とができた。
[0383] Further, by using the remaining resist pattern on the patterned organic silicon film and an organic silicon film as a mask, using a magnetron-type reactive ion etching apparatus, as shown in FIG. 1 (f), SiO 2 film Was etched. 30S flow rate as etching gas
When etching was performed using C 4 F 8 gas of CCM, Ar gas at a flow rate of 160 SCCM, and O 2 gas at a flow rate of 3 SCCM under an etching condition of 800 W of excitation power and a degree of vacuum of 30 mTorr, the organic silicon film could not be removed in the middle. The etching of the SiO 2 film could be performed without any problem.

【0384】以上のようにしてSiO2 膜をエッチング
した結果、有機シリコン膜およびSiO2 膜のエッチン
グで生じた寸法変換差(=Z−X)は、−5nmであ
り、許容範囲の−9nm〜+9nm以内にあり、レジス
トパターン寸法を忠実にSiO 2 膜に転写することがで
き、寸法制御性よくSiO2 膜を加工することができ
た。
As described above, SiO 2TwoEtch film
As a result, the organic silicon film and SiOTwoMembrane etchin
The dimensional conversion difference (= ZX) generated by the
Within the allowable range of -9 nm to +9 nm.
The size of the pattern is faithful to SiO TwoCan be transferred to the membrane
SiO with good dimensional controlTwoThe film can be processed
Was.

【0385】このエッチング条件で、ベタ膜でレジスト
膜と有機シリコン膜のエッチングレートを測定したとこ
ろ、レジスト膜が25nm/min、有機シリコン膜が
165nm/minで、有機シリコン膜のエッチングレ
ートがレジスト膜より6.6倍速いことが分かった。従
って、レジストパターンの後退がなく、異方的に、かつ
寸法制御性良く、有機シリコン膜をエッチングできたも
のと考えられる。
[0385] Under these etching conditions, the etching rates of the resist film and the organic silicon film were measured with the solid film. The etching rate of the resist film was 25 nm / min, the organic silicon film was 165 nm / min, and the etching rate of the organic silicon film was resist film. 6.6 times faster. Therefore, it is considered that the organic silicon film could be etched anisotropically and with good dimensional control without any regression of the resist pattern.

【0386】このエッチング条件で、実施例15と同様
にしてベタ膜でレジスト膜、有機シリコン膜及びSiO
2 膜のエッチングレートを測定したところ、レジスト膜
が72nm/min、有機シリコン膜が9nm/mi
n、SiO2 膜が230nm/minであり、SiO2
膜のエッチングレートが有機シリコン膜より25.6
倍、レジスト膜より3.3倍早く、有機シリコン膜がS
iO2 膜をエッチングする際のレジストよりドライエッ
チング耐性があるエッチングマスクとなっていることが
分かる。
Under these etching conditions, a resist film, an organic silicon film and a SiO
When the etching rates of the two films were measured, the resist film was 72 nm / min and the organic silicon film was 9 nm / mi.
n, SiO 2 film is 230nm / min, SiO 2
The etching rate of the film is 25.6 than that of the organic silicon film.
Times, the organic silicon film is 3.3 times faster than the resist film.
It can be seen that the etching mask has more dry etching resistance than the resist used when etching the iO 2 film.

【0387】そのため、エッチング前のレジストパター
ン寸法とずれることなく、かつ垂直に異方性よく、Si
2 膜をエッチングすることができたものと考えられ
る。
For this reason, it is possible to obtain a Si pattern that is vertically anisotropic without deviation from the resist pattern dimension before etching.
It is considered that the O 2 film could be etched.

【0388】実施例18 実施例17において、SiO2 膜を加工した後、ダウン
フローエッチング装置を用いて、レジスト、そしてエッ
チングマスクである有機シリコン膜を順次剥離除去し
た。ソースガスとして流量20SCCMのO2 ガスを用
い、励起電力200W、真空度8mTorrのエッチン
グ条件でレジストのエッチング剥離を行ったところ、レ
ジストを完全に剥離することができた。
Example 18 In Example 17, after processing the SiO 2 film, the resist and the organic silicon film as an etching mask were sequentially peeled off using a downflow etching apparatus. When O 2 gas at a flow rate of 20 SCCM was used as a source gas and the etching was performed under the etching conditions of an excitation power of 200 W and a vacuum degree of 8 mTorr, the resist could be completely removed.

【0389】レジストを剥離した後の有機シリコン膜の
赤外吸収スペクトルを測定したところ、1000〜12
00cm-1にSi−O−Si結合による吸収が見られ
た。このことは、酸素プラズマにさらしたことによっ
て、有機シリコン膜がグラス化したことを意味してい
る。
[0389] The infrared absorption spectrum of the organic silicon film after the resist was removed was measured.
An absorption due to the Si—O—Si bond was observed at 00 cm −1 . This means that the organic silicon film was glassed by exposure to oxygen plasma.

【0390】次に、フッ酸と純水を重量比で1対500
の割合で混合した希フッ酸溶液に90秒間浸透したとこ
ろ、グラス化した有機シリコン膜を酸化シリコン膜に対
し選択的に剥離することができた。
Next, hydrofluoric acid and pure water were mixed in a weight ratio of 1: 500.
When the solution was infiltrated into a diluted hydrofluoric acid solution mixed for 90 seconds, the vitrified organic silicon film could be selectively removed from the silicon oxide film.

【0391】実施例19 実施例17において、SiO2 膜を加工した後、ダウン
フローエッチング装置を用いて、レジスト、そしてエッ
チングマスクである有機シリコン膜を順次剥離除去し
た。ソースガスとして流量20SCCMのO2 を用い、
励起電力200W、真空度8mTorrのエッチング条
件でレジストのエッチングを行ったところ、レジストを
完全に剥離することができた。酸素プラズマにさらした
ことによって、有機シリコン膜はグラス化されたが、ソ
ースガスCF4 =30SCCM、O2 =40SCCM、
励起電力800W、真空度35mTorrのエッチング
条件でグラス化した有機シリコン膜のエッチングを行っ
たところ、酸化シリコン膜を削ることなく選択的に剥離
することができた。
Example 19 In Example 17, after processing the SiO 2 film, the resist and the organic silicon film serving as an etching mask were sequentially peeled off using a down-flow etching apparatus. Using O 2 at a flow rate of 20 SCCM as a source gas,
When the resist was etched under the etching conditions of an excitation power of 200 W and a degree of vacuum of 8 mTorr, the resist could be completely removed. Although the organic silicon film was glassed by exposure to oxygen plasma, the source gas CF 4 = 30 SCCM, O 2 = 40 SCCM,
When the glassy organic silicon film was etched under the etching conditions of an excitation power of 800 W and a degree of vacuum of 35 mTorr, the silicon oxide film could be selectively peeled off without shaving.

【0392】比較例5 実施例17と同様に、シリコンウェハー上に500nm
厚のSiO2 膜を形成し、次いで、このSiO2 膜をエ
ッチングするのに必要な膜厚である膜厚200nmのカ
ーボン膜をSiO2 膜上に形成した。さらに、カーボン
膜上にレジストを塗布し、98℃で120秒間ベーキン
グを行った。この時のレジストの膜厚は200nmであ
る。なお、レジストとしては、実施例1で用いた(R
1)の方法で得たものを用いた。
Comparative Example 5 As in Example 17, 500 nm
Thick SiO 2 film is formed, it followed to form a carbon film having a thickness of 200nm which is a thickness required to etch the SiO 2 film on the SiO 2 film. Further, a resist was applied on the carbon film and baked at 98 ° C. for 120 seconds. At this time, the thickness of the resist is 200 nm. The resist used in Example 1 was (R
The one obtained by the method of 1) was used.

【0393】次に、実施例17と同様にして、露光、現
像処理を行い、0.18μmラインアンドスペースのレ
ジストパターンを形成した。カーボン膜は波長248n
mでの光吸収性が高いため、実施例17と同様、下地膜
からの反射が抑えられ、良好なレジストプロファイルを
もった寸法制御性のよいレジストパターンが得られた。
また、レジスト膜厚を薄くしたため、最適露光量でのフ
ォーカスマージンが0.7μmあり、デバイス製造時に
必要な0.6μm以上の値を得ることができた。
Next, exposure and development were performed in the same manner as in Example 17 to form a 0.18 μm line-and-space resist pattern. Carbon film has a wavelength of 248n
Since the light absorption at m was high, reflection from the underlying film was suppressed and a resist pattern having a good resist profile and good dimensional controllability was obtained as in Example 17.
Further, since the resist film thickness was reduced, the focus margin at the optimum exposure amount was 0.7 μm, and a value of 0.6 μm or more required at the time of device manufacture could be obtained.

【0394】以上のように形成したレジストパターンを
マスクとして用いて、比較例2と同一の条件でカーボン
膜のエッチングを行ったところ、レジストパターンがカ
ーボン膜のエッチング途中で削れてなくなり、所望の寸
法でSiO2 膜のエッチングを行うことができなかっ
た。
When the carbon film was etched under the same conditions as in Comparative Example 2 using the resist pattern formed as described above as a mask, the resist pattern was not removed during the etching of the carbon film. Failed to etch the SiO 2 film.

【0395】また、比較例2と同様にしてベタ膜でのエ
ッチングレートをレジスト膜とカーボン膜で測定したと
ころ、レジスト膜が185nm/min、カーボン膜が
65nm/minであり、カーボン膜のエッチングレー
トはレジスト膜の0.35倍しかなく、レジスト膜とカ
ーボン膜とでは、選択比がとれていないことが分かっ
た。従って、レジスト膜とカーボン膜の選択比がとれな
いため、カーボン膜のエッチング途中で、レジストパタ
ーンが崩れてなくなったものと考えられる。
When the etching rate of the solid film was measured for the resist film and the carbon film in the same manner as in Comparative Example 2, the etching rate of the carbon film was 185 nm / min for the resist film and 65 nm / min for the carbon film. Was only 0.35 times that of the resist film, and it was found that the selectivity between the resist film and the carbon film was not high. Therefore, it is considered that the resist pattern did not collapse during the etching of the carbon film because the selection ratio between the resist film and the carbon film could not be obtained.

【0396】比較例6 シリコンウェハー上に膜厚500nmのSiO2 膜、膜
厚200nmのカーボン膜を順次形成した。次いで、こ
のカーボン膜上に、レジストを塗布し、98℃で120
秒間ベーキングを行った。このようにして得たレジスト
膜の膜厚は700nmである。なお、レジストとして
は、実施例1で用いた(R1)の方法で得たものを用い
た。そして、このレジスト膜に対し、実施例17と同様
にして、露光、現像処理を行い、0.18μmラインア
ンドスペースのレジストパターンを形成した。
Comparative Example 6 An SiO 2 film having a thickness of 500 nm and a carbon film having a thickness of 200 nm were sequentially formed on a silicon wafer. Next, a resist is applied on this carbon film,
Baking was performed for seconds. The thickness of the resist film thus obtained is 700 nm. As the resist, a resist obtained by the method (R1) used in Example 1 was used. The resist film was exposed and developed in the same manner as in Example 17 to form a 0.18 μm line and space resist pattern.

【0397】以上のように形成したレジストパターンを
マスクとして用いて、比較例2と同様の条件で、カーボ
ン膜のエッチングを行った。その結果、カーボン膜をエ
ッチングすることはできたが、図8に示すように、カー
ボン膜12の加工形状はテーパー形状であり、異方性よ
くエッチングすることができなかった。これは、比較例
4で示したように、レジストパターンとカーボン膜のエ
ッチング選択比がとれていないため、カーボンのエッチ
ング途中でレジストパターンが後退したためと考えられ
る。
The carbon film was etched under the same conditions as in Comparative Example 2 using the resist pattern formed as described above as a mask. As a result, although the carbon film could be etched, as shown in FIG. 8, the processed shape of the carbon film 12 was a tapered shape and could not be etched with good anisotropy. This is presumably because, as shown in Comparative Example 4, since the etching selectivity between the resist pattern and the carbon film was not sufficient, the resist pattern receded during carbon etching.

【0398】また、本比較例においては、レジストの膜
厚が700nmと厚いために、最適な露光量でのファー
カス裕度が0.3μmと狭く、デバイス製造時に必要な
値である0.6μmのファーカス裕度を得ることができ
なかった。
Also, in this comparative example, since the film thickness of the resist is as thick as 700 nm, the margin of furcus at an optimum exposure amount is as small as 0.3 μm, which is 0.6 μm which is a value required at the time of device manufacture. Farcus margin could not be obtained.

【0399】比較例7 図9(a)に示すように、シリコンウェハー21上に膜
厚500nmのSiO2 膜22を形成した。次いで、平
均分子量6000のポリサルフォンをシクロヘキサノン
に溶解して作製した溶液材料をスピンコーティング法に
より塗布し、225℃で90秒間ベーキングして、図9
(b)に示すように、反射防止膜23を形成した。
Comparative Example 7 As shown in FIG. 9A, an SiO 2 film 22 having a thickness of 500 nm was formed on a silicon wafer 21. Next, a solution material prepared by dissolving polysulfone having an average molecular weight of 6000 in cyclohexanone was applied by a spin coating method, and baked at 225 ° C. for 90 seconds.
As shown in (b), an antireflection film 23 was formed.

【0400】このようにして得た反射防止膜23の膜厚
は115nmであり、レジストと反射防止膜との界面で
の光強度反射率を計算し、反射率が極小となる膜厚にし
た。なお、反射防止膜23の露光波長248nmでの複
素屈折率は、n=1.74、k=0.24である。
The film thickness of the antireflection film 23 thus obtained was 115 nm. The light intensity reflectance at the interface between the resist and the antireflection film was calculated, and the film thickness was set so that the reflectance was minimized. The complex refractive index of the antireflection film 23 at an exposure wavelength of 248 nm is n = 1.74 and k = 0.24.

【0401】次に、反射防止膜23上にレジストを塗布
し、98℃で120秒間ベーキングを行い、図9(c)
に示すように、レジスト膜24を形成した。このように
して得たレジスト膜24の膜厚は300nmである。な
お、レジストとしては、実施例1で用いた(R1)の方
法で得たものを用いた。
Next, a resist is applied on the anti-reflection film 23 and baked at 98 ° C. for 120 seconds to obtain a resist as shown in FIG.
As shown in FIG. 7, a resist film 24 was formed. The thickness of the resist film 24 thus obtained is 300 nm. As the resist, a resist obtained by the method (R1) used in Example 1 was used.

【0402】その後、実施例17と同様にして露光、現
像処理を行って、図9(d)に示すように、0.18μ
mラインアンドスペースのレジストパターン24を形成
した。
Thereafter, exposure and development were carried out in the same manner as in Example 17, and as shown in FIG.
An m-line and space resist pattern 24 was formed.

【0403】以上のようにして形成したレジストパター
ン24をマスクとして用いて、比較例1と同様のエッチ
ング条件で、図9(e)に示すように、反射防止膜23
のエッチングを行った。ベタ膜でエッチングレートを測
定したところ、反射防止膜23のエッチングレートは、
200nm/minとカーボン膜のエッチングレートよ
り速く、かつ膜厚もカーボン膜よりも薄いため、カーボ
ン膜ほどテーパ形状となることがなかった。
Using the resist pattern 24 formed as described above as a mask, under the same etching conditions as in Comparative Example 1, as shown in FIG.
Was etched. When the etching rate of the solid film was measured, the etching rate of the antireflection film 23 was
Since the etching rate of the carbon film was 200 nm / min, which was faster than that of the carbon film, and the film thickness was smaller than that of the carbon film, the tapered shape was not so large as the carbon film.

【0404】反射防止膜のエッチングで生じた寸法変換
差(=Y−X)は、−12nmで、実施例17で有機シ
リコン膜を用いた場合より大きかった。これは、レジス
トと反射防止膜とのエッチング選択比がとれていないた
め、反射防止膜のエッチング時にレジストパターンが後
退しているためと考えられる。
The dimensional conversion difference (= YX) caused by the etching of the antireflection film was -12 nm, which was larger than that in the case of using the organic silicon film in Example 17. This is presumably because the etching selectivity between the resist and the anti-reflection film was not high, and the resist pattern receded during the etching of the anti-reflection film.

【0405】続いて、実施例17と同様のエッチング条
件でSiO2 膜のエッチングを行った。SiO2 膜のエ
ッチングで生じた寸法変換差(=Z−Y)は、−20n
mであり、有機シリコン膜およびSiO2 膜のエッチン
グで生じた寸法変換差(=Z−X)は、−23nmであ
り、許容範囲の−9nm〜+9nmを越していることが
わかった。
Subsequently, the SiO 2 film was etched under the same etching conditions as in Example 17. The dimensional conversion difference (= Z−Y) generated by the etching of the SiO 2 film is −20 n
m, and the dimensional conversion difference (= ZX) caused by the etching of the organic silicon film and the SiO 2 film was −23 nm, which was beyond the allowable range of −9 nm to +9 nm.

【0406】また、SiO2 膜22の加工形状も、図9
に示すように、テーパがついており、垂直に良好な異方
性でエッチングすることが出来なかった。SiO2 膜の
エッチング時のエッチングレートをべた膜で測定したと
ころ、反射防止膜23のエッチングレートは、152n
m/minであり、レジストよりも耐ドライエッチング
性がなく、そのため、SiO2 膜22のエッチング途中
でレジストパターン24および反射防止膜パターン23
が後退し、SiO2 膜22のパターン寸法に細りが生
じ、かつエッチング形状がテーパ状となったものと思わ
れる。
Also, the processed shape of the SiO 2 film 22 is shown in FIG.
As shown in the figure, the film was tapered and could not be vertically etched with good anisotropy. When the etching rate at the time of etching the SiO 2 film was measured using a solid film, the etching rate of the antireflection film 23 was found to be 152 n
m / min, which is less resistant to dry etching than the resist. Therefore, during the etching of the SiO 2 film 22, the resist pattern
It seems that the pattern size of the SiO 2 film 22 was reduced and the etched shape became tapered.

【0407】本比較例との比較から、本発明によると、
有機シリコン膜がSiO2 膜の耐ドライエッチング性の
高いエッチングマスクとなっているため、エッチング前
のレジストパターンの寸法とずれることなく、かつ垂直
に良好な異方性でSiO2 膜をエッチングできることが
わかる。
From the comparison with this comparative example, according to the present invention,
Since the organic silicon film has a resistance to dry etching with high etch mask of the SiO 2 film, without deviation the dimensions of the resist pattern before etching, and to be able to etch the SiO 2 film with perpendicular highly anisotropic Recognize.

【0408】実施例20 図1(a)に示すように,シリコンウェハー1上に膜厚
500nmのSiO2膜2形成し、次いで、図1(b)
に示すように,実施例1の(A1)〜(A10)の方法
で、膜厚100nmの有機シリコン膜3をSiO2 膜2
上に形成した。次に、図1(c)に示すように、実施例
1の(R1)の方法で膜厚200nmのレジスト4を各
有機シリコン膜3上に形成した。続いて、KrFエキシ
マレーザーを光源とする縮小光学型ステッパーを用いて
パターン露光を行った後、ホットプレートで110℃で
90秒間加熱した。さらに、図1(d)に示すように、
0.21規定のTMAH現像液を用いて現像処理を行
い、0.18μmのラインアンドスペースパターンを形
成した。現像処理後により得たレジストパターン5の膜
厚は180nmである。
Example 20 As shown in FIG. 1A, an SiO 2 film 2 having a thickness of 500 nm is formed on a silicon wafer 1 and then, as shown in FIG.
As shown in Example by the method of 1 (A1) ~ (A10) , the SiO 2 film organosilicon film 3 having a thickness of 100 nm 2
Formed on top. Next, as shown in FIG. 1C, a 200 nm-thick resist 4 was formed on each organic silicon film 3 by the method (R1) of Example 1. Subsequently, after pattern exposure was performed using a reduction optical type stepper using a KrF excimer laser as a light source, the substrate was heated at 110 ° C. for 90 seconds on a hot plate. Further, as shown in FIG.
Development processing was performed using a 0.21 N TMAH developer to form a 0.18 μm line and space pattern. The thickness of the resist pattern 5 obtained after the development treatment is 180 nm.

【0409】走査型電子顕微鏡を用いてレジストプロフ
ァイルを観察した結果、何れの有機シリコン膜上でもレ
ジスト膜中での定在波による波打ち形状がなく、良好な
レジストプロファイルが得られていることが分かった。
レジストパターンの形状には裾引きや食われは見られ
ず、良好なレジストプロファイルが得られた。この時の
最適露光量(18mJ/cm2)でのフォーカスマージ
ンは0.8μmである。次に、レジストパターン5を有
機シリコン膜3に転写した。エッチング装置にはマグネ
トロン型反応性イオンエッチング装置を用い、実施例1
の(P1)〜(P4)の条件でそれぞれエッチングを行
った。エッチング時間の決定には、発光による終点検出
を用い、ジャスト時間に対して50%のオーバーエッチ
ングを行った。
As a result of observing the resist profile using a scanning electron microscope, it was found that there was no wavy shape due to a standing wave in the resist film on any of the organic silicon films, and a good resist profile was obtained. Was.
There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained. At this time, the focus margin at the optimum exposure amount (18 mJ / cm2) is 0.8 μm. Next, the resist pattern 5 was transferred to the organic silicon film 3. Example 1 A magnetron-type reactive ion etching apparatus was used as an etching apparatus.
Etching was performed under the conditions (P1) to (P4). To determine the etching time, end point detection by light emission was used, and overetching was performed by 50% with respect to the just time.

【0410】(A1)、(A2)の有機シリコン膜をエ
ッチングすると、(P1)〜(P4)の何れの条件で
も、加工された有機シリコン膜パターン6が膨潤し、異
方性よくエッチングできていないことが分かった。ま
た、(A3)〜(A10)の有機シリコン膜では(P
1)の条件でも有機シリコン膜パターンの膨潤が起きて
いる。これらは、実施例1からも分かるように、有機シ
リコン膜がエッチングでスポンジ状に変質するためであ
ると考えられる。
When the organic silicon films (A1) and (A2) are etched, the processed organic silicon film pattern 6 swells under any of the conditions (P1) to (P4), and the anisotropic etching can be performed. I knew it wasn't. In the organic silicon films (A3) to (A10), (P
Under the condition (1), the organic silicon film pattern swells. These are considered to be due to the sponge-like transformation of the organic silicon film due to the etching, as can be seen from Example 1.

【0411】膨潤が起きなかった場合について、エッチ
ング前のレジストパターンの寸法を図1(d)のX、エ
ッチング後の有機シリコン膜パターンの寸法を図1
(e)のYで定義し、有機シリコン膜のエッチングによ
り生じた寸法変換差(=Y−X)を測定した結果を上記
表1に示す。表1から、(A3)〜(A10)に関して
は、(P2)〜(P4)のエッチング条件では、エッチ
ング前のレジストパターン寸法とほとんどずれることな
く、有機シリコン膜をエッチングすることができている
ことがわかる。
In the case where no swelling occurred, the dimension of the resist pattern before etching was X in FIG. 1D, and the dimension of the organic silicon film pattern after etching was FIG.
Table 1 shows the results of measuring the dimensional conversion difference (= YX) defined by Y in (e) and caused by the etching of the organic silicon film. From Table 1, regarding (A3) to (A10), under the etching conditions of (P2) to (P4), the organic silicon film could be etched with almost no deviation from the resist pattern dimensions before etching. I understand.

【0412】次に、図1(e)に示すように、条件(P
2)でエッチングした有機シリコン膜とレジストパター
ンをエッチングマスクとして用いて、SiO2膜をエッ
チングした。エッチング条件としては、実施例13の
(Q1)を用いた。エッチング装置としては、マグネト
ロン型反応性イオンエッチング装置を用いた。エッチン
グ時間の決定には、発光による終点検出を用い、ジャス
ト時間に対して50%のオーバーエッチングを行った。
エッチング後のSiO2 膜パターンの寸法を図1(f)
のZで定義し、SiO2 膜のエッチングにより生じた寸
法変換差(=Z−Y)を測定した結果を上記表1に示
す。
Next, as shown in FIG. 1E, the condition (P
The SiO2 film was etched using the organic silicon film and the resist pattern etched in 2) as an etching mask. As the etching conditions, (Q1) of Example 13 was used. As the etching device, a magnetron-type reactive ion etching device was used. To determine the etching time, end point detection by light emission was used, and overetching was performed by 50% with respect to the just time.
The dimensions of the SiO 2 film pattern after etching are shown in FIG.
Table 1 shows the results of measurement of the dimensional conversion difference (= Z−Y) caused by the etching of the SiO 2 film.

【0413】また、有機シリコン膜およびSiO2 膜の
エッチングで生じた寸法変換差(=Z―X)を算出した
ところ、許容範囲の−9nm〜+9nmの範囲に収まっ
ており、寸法制御性よくSiO2 膜を加工することがで
きた。
Also, when the dimensional conversion difference (= ZX) generated by etching the organic silicon film and the SiO 2 film was calculated, it was within the allowable range of -9 nm to +9 nm, and the SiO 2 film had good dimensional controllability. Two films could be processed.

【0414】比較例8 本比較例では、実施例1の(R2)〜(R4)の方法で
形成した反射防止膜を用いた場合について説明する。
Comparative Example 8 In this comparative example, a case will be described in which the antireflection film formed by the methods (R2) to (R4) of Example 1 is used.

【0415】図9(a)に示すように、シリコンウェハ
ー21上に、膜厚500nmのSiO2 22膜を形成し
た。次いで、図9(b)に示すように、実施例1の(R
2)〜(R4)の方法で膜厚100nmの反射防止膜2
3をそれぞれSiO2 膜22上に形成した。次に、図9
(c)に示すように,実施例1の(R1)の方法で膜厚
150nmのレジスト24を各有機シリコン膜23上に
形成した。続いて、KrFエキシマレーザーを光源とす
る縮小光学型ステッパーを用いてパターン露光を行った
後、ホットプレートで110℃で90秒間加熱した。
As shown in FIG. 9A, a 500 nm-thick SiO 2 22 film was formed on a silicon wafer 21. Next, as shown in FIG. 9B, (R
2) Antireflection film 2 having a thickness of 100 nm by the method of (R4)
3 were formed on the SiO 2 film 22, respectively. Next, FIG.
As shown in (c), a resist 24 having a thickness of 150 nm was formed on each organic silicon film 23 by the method (R1) of Example 1. Subsequently, after pattern exposure was performed using a reduction optical type stepper using a KrF excimer laser as a light source, the substrate was heated at 110 ° C. for 90 seconds on a hot plate.

【0416】さらに、図9(d)に示すように、0.2
1規定のTMAH現像液を用いて現像処理を行い0.1
8μmのラインアンドスペースパターンを形成した。現
像処理後のレジストパターンの膜厚は180nmであ
る。走査型電子顕微鏡を用いてレジストプロファイルを
観察した結果、何れの有機シリコン膜上でもレジスト膜
中での定在波による波打ち形状がなく、良好なレジスト
プロファイルが得られていることが分かった。
Further, as shown in FIG.
Perform development processing using a 1N TMAH developer solution.
An 8 μm line and space pattern was formed. The thickness of the resist pattern after the development processing is 180 nm. As a result of observing the resist profile using a scanning electron microscope, it was found that there was no wavy shape due to a standing wave in the resist film on any of the organic silicon films, and a good resist profile was obtained.

【0417】その後、エッチング装置には反応性イオン
エッチング装置を用い、ソースガスとして、流量20/
60/80SCCMのCF4 /O2 /Ar、励起電力8
00W、真空度40mTorr、基板温度60℃の条件
で、有機シリコン膜23をエッチングした。この時のレ
ジスト、反射防止膜のエッチングレート、およびレジス
トに対する反射防止膜のエッチング選択比(=反射防止
膜のエッチングレート/レジストのエッチングレート)
は下表の通りである。なお、エッチングレートは実施例
1と同様に、べた膜で測定した。
Thereafter, a reactive ion etching apparatus was used as an etching apparatus, and a flow rate of 20 /
CF 4 / O 2 / Ar of 60/80 SCCM, excitation power 8
The organic silicon film 23 was etched under the conditions of 00 W, a degree of vacuum of 40 mTorr, and a substrate temperature of 60 ° C. At this time, the etching rate of the resist and the antireflection film and the etching selectivity of the antireflection film with respect to the resist (= etching rate of the antireflection film / etching rate of the resist)
Is as shown in the table below. The etching rate was measured for the solid film as in Example 1.

【0418】[0418]

【表23】 エッチング時間は、発光による終点を検出し、ジャスト
時間に対して50%のオーバーエッチングを行なう時間
とした。その結果、レジストパターンが全てなくなり、
反射防止膜の加工形状は、図8に示すように、テーパー
形状となっていた。
[Table 23] The etching time was a time for detecting the end point by light emission and performing 50% over-etching with respect to the just time. As a result, all the resist patterns disappear,
The processed shape of the anti-reflection film was a tapered shape as shown in FIG.

【0419】比較例9 本比較例では、レジスト膜厚を厚くして(R2)〜(R
4)の反射防止膜をエッチングした場合について説明す
る。まず、図9(c)に示すように実施例1の(R1)
の方法で膜厚300nmのレジスト24を各反射防止膜
上に形成した。続いて、KrFエキシマレーザーを光源
とする縮小光学型ステッパーを用いてパターン露光を行
った後、ホットプレートで110℃で90秒間加熱し
た。さらに、図9(d)に示すように、0.21規定の
TMAH現像液を用いて現像処理を行い、0.18μm
のラインアンドスペースパターンを形成した。現像処理
後のレジストパターンの膜厚は280nmである。
Comparative Example 9 In this comparative example, the resist film thickness was increased (R2) to (R2).
The case where the antireflection film of 4) is etched will be described. First, as shown in FIG.
A resist 24 having a thickness of 300 nm was formed on each anti-reflection film by the method described above. Subsequently, after pattern exposure was performed using a reduction optical type stepper using a KrF excimer laser as a light source, the substrate was heated at 110 ° C. for 90 seconds on a hot plate. Further, as shown in FIG. 9D, a developing process was performed using a 0.21 N TMAH developing solution to obtain a 0.18 μm
Was formed. The thickness of the resist pattern after the development processing is 280 nm.

【0420】走査型電子顕微鏡を用いてレジストプロフ
ァイルを観察した結果、何れの反射防止膜上でもレジス
ト膜中での定在波による波打ち形状がなく、良好なレジ
ストプロファイルが得られていることが分かった。しか
しながら、最適露光量(19mJ/cm2)でのフォー
カスマージンは0.3μmであり、許容値の0.6μm
を下回っている。これはレジストの膜厚が厚いため、解
像性が劣化したためであると考えられる。
As a result of observing the resist profile using a scanning electron microscope, it was found that there was no wavy shape due to a standing wave in the resist film on any of the antireflection films, and a good resist profile was obtained. Was. However, the focus margin at the optimal exposure dose (19 mJ / cm2) is 0.3 μm, which is an allowable value of 0.6 μm.
Is below. This is considered to be due to the fact that the resolution was deteriorated due to the large thickness of the resist.

【0421】次に、比較例7と同様にして反射防止膜を
エッチングした。その結果、図9(e)に示すようにレ
ジストパターン24が途中でなくなることなく、反射防
止膜23をエッチングすることができた。反射防止膜2
3のエッチングで生じた寸法変換差(=Y−X)を上記
表13に示す。比較例7の反射防止膜のエッチング条件
は、(P1)〜(P12)のエッチング条件と比べる
と、炭素、水素、酸素で構成される一般的な樹脂膜を異
方性良くエッチングするのに適した条件である。しかし
ながら、本発明による有機シリコン膜と比べると寸法変
換差が大きい。これはレジストと反射防止膜とのエッチ
ング選択比がとれていないために、反射防止膜のエッチ
ングによりレジストパターンが後退しているためである
と考えられる。
Next, the antireflection film was etched in the same manner as in Comparative Example 7. As a result, as shown in FIG. 9E, the anti-reflection film 23 could be etched without the resist pattern 24 being eliminated halfway. Anti-reflection film 2
Table 13 shows the dimensional conversion difference (= YX) generated by the etching of No. 3. The etching condition of the antireflection film of Comparative Example 7 is more suitable for etching a general resin film composed of carbon, hydrogen and oxygen with good anisotropy than the etching conditions of (P1) to (P12). Condition. However, the dimensional conversion difference is larger than that of the organic silicon film according to the present invention. It is considered that this is because the etching selectivity between the resist and the antireflection film is not sufficient, and the resist pattern has receded due to the etching of the antireflection film.

【0422】次に、図9(f)に示すように、レジスト
パターンと反射防止膜パターンをエッチングマスクとし
て用いて、SiO2 膜をエッチングした。エッチング条
件には、実施例13の(Q1)〜(Q6)をそれぞれ用
いた。SiO2 膜のエッチングで生じた寸法変換差(=
Z−Y)を測定した結果を上記表1に示す。
Next, as shown in FIG. 9F, the SiO 2 film was etched using the resist pattern and the antireflection film pattern as an etching mask. As the etching conditions, (Q1) to (Q6) of Example 13 were used. Dimensional conversion difference generated by etching of SiO 2 film (=
The results of measuring ZY) are shown in Table 1 above.

【0423】表1から、(Q1)でエッチングした場合
の寸法変換差を実施例と比べると、従来の反射防止膜を
用い、SiO2 をエッチングした場合よりも、本発明に
よる有機シリコン膜の方が寸法変換差が小さいことが分
かる。これは有機シリコン膜のエッチングマスク材とし
ての耐性が高いために、SiO2 膜のエッチング時に有
機シリコン膜パターンが後退しにくいためである。
From Table 1, it can be seen from the table that the difference in dimensional conversion in the case of etching with (Q1) is compared with that of the embodiment, the organic silicon film according to the present invention is more effective than the conventional antireflection film and SiO 2 is etched. It can be seen that the dimensional conversion difference is small. This is because the organic silicon film pattern hardly recedes during etching of the SiO 2 film because the organic silicon film has high resistance as an etching mask material.

【0424】実施例21 図1(a)に示すように、シリコンウェハー上に、膜厚
500nmのSiO2膜を形成した。次いで、図1
(b)に示すように,実施例2の(B3)〜(B10)
の方法で膜厚100nmの有機シリコン膜をそれぞれS
iO2 膜上に形成した。次に、図1(c)に示すよう
に,実施例1の(R1)の方法で膜厚200nmのレジ
ストを各有機シリコン膜上に形成した。続いて、加速電
圧50keVの電子ビームを用いてパターン露光を行っ
た後、ホットプレートで110℃で90秒間加熱した。
その際、レジスト上部から水銀灯のg線(436nm)
を照射して、有機シリコン膜に光導電性をもたせなが
ら、パターン露光を行った。
Example 21 As shown in FIG. 1A, a 500 nm-thick SiO 2 film was formed on a silicon wafer. Then, FIG.
As shown in (b), (B3) to (B10) of Example 2
A 100 nm-thick organic silicon film is
It was formed on an iO 2 film. Next, as shown in FIG. 1C, a resist having a thickness of 200 nm was formed on each organic silicon film by the method (R1) of Example 1. Subsequently, after pattern exposure was performed using an electron beam having an acceleration voltage of 50 keV, the substrate was heated at 110 ° C. for 90 seconds on a hot plate.
At that time, g-line (436nm) of mercury lamp
And pattern exposure was performed while imparting photoconductivity to the organic silicon film.

【0425】さらに、図1(d)に示すように、0.2
1規定のTMAH現像液を用いて現像処理を行い0.1
8μmのラインアンドスペースパターンを形成した。現
像処理後のレジストパターンの膜厚は180nmであ
る。パターン露光中に紫外光を照射したことにより、有
機シリコン膜に光導電性が生じ、何れの有機シリコン膜
上でもチャージアップによる位置ずれのないレジストパ
ターンを得ることができた。レジストパターンの形状に
は裾引きや食われは見られず、良好なレジストプロファ
イルが得られた。
Further, as shown in FIG.
Perform development processing using a 1N TMAH developer solution.
An 8 μm line and space pattern was formed. The thickness of the resist pattern after the development processing is 180 nm. Irradiation of ultraviolet light during pattern exposure caused photoconductivity in the organic silicon film, and a resist pattern free from displacement due to charge-up on any of the organic silicon films could be obtained. There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0426】その後、図1(e)に示すように、実施例
18と同様にレジストパターンを有機シリコン膜に転写
したところ、実施例20と同様に(B3)〜(B10)
の膜を(P2)〜(P4)の条件でエッチングした場
合、有機シリコン膜の膨潤が起こらず、異方性よくエッ
チングすることができた。有機シリコン膜のエッチング
により生じた寸法変換差(=Y−X)を測定した結果を
上記表2に示す。
After that, as shown in FIG. 1E, the resist pattern was transferred to the organic silicon film in the same manner as in Example 18, and as in Example 20, (B3) to (B10)
When the film was etched under the conditions of (P2) to (P4), the swelling of the organic silicon film did not occur, and the film could be etched with good anisotropy. The results of measuring the dimensional conversion difference (= YX) caused by the etching of the organic silicon film are shown in Table 2 above.

【0427】表2から、比較例9で従来型反射防止膜を
エッチングした時の寸法変換差と比べて、本発明の有機
シリコン膜ではレジストとのエッチング選択比がとれる
ために、寸法制御性よくエッチングされていることが分
かる。
As can be seen from Table 2, the organic silicon film of the present invention has a good etching control ratio with respect to the resist as compared with the dimensional conversion difference when the conventional anti-reflection film is etched in Comparative Example 9, so that the dimensional controllability is good. It can be seen that it has been etched.

【0428】次に、図1(f)に示すように、有機シリ
コン膜を条件(P3)でエッチングした場合について、
有機シリコン膜パターンとレジストパターンをマスクと
して用いて、実施例13の条件(Q2)でSiO2 膜を
エッチングした。SiO2 膜のエッチングで生じた寸法
変換差(=Z−Y)を測定した結果を、上記表2に示
す。
Next, as shown in FIG. 1F, the case where the organic silicon film is etched under the condition (P3) will be described.
Using the organic silicon film pattern and the resist pattern as masks, the SiO 2 film was etched under the conditions (Q2) of Example 13. Table 2 shows the measurement results of the dimensional conversion difference (= ZY) generated by the etching of the SiO 2 film.

【0429】表2から、比較例9において、従来型の反
射防止膜を使い、(Q2)のエッチング条件でSiO2
膜をエッチングした場合と比べると、本実施例による場
合の方がSiO2 膜のエッチングで生じた寸法変換差は
小さいことがわかる。また、有機シリコン膜のエッチン
グ、およびSiO2 膜のエッチングで生じた寸法変換差
(=Z−X)は、許容範囲の−9nm〜+9nmの範囲
に収まっており、寸法制御性よくSiO2 膜を加工する
ことができた。
From Table 2, in Comparative Example 9, SiO 2 was used under the etching conditions (Q2) using the conventional anti-reflection film.
It can be seen that the dimensional conversion difference caused by the etching of the SiO 2 film is smaller in the case of the present embodiment than in the case of etching the film. Further, the dimensional conversion difference (= Z−X) generated by the etching of the organic silicon film and the etching of the SiO 2 film is within the allowable range of −9 nm to +9 nm, and the SiO 2 film can be formed with good dimensional control. Could be processed.

【0430】実施例22 図1(a)に示すように、シリコンウェハー上に膜厚5
00nmのSiO2 膜を形成した。次いで、図1(b)
に示すように、実施例3の(C1)〜(C10)の方法
で膜厚100nmの有機シリコン膜をそれぞれSiO2
膜上に形成した。次に、実施例20と同様にして、図1
(d)に示すように、有機シリコン膜上に0.18μm
のラインアンドスペースのレジストパターンを形成し
た。現像処理後のレジストパターンの膜厚は180nm
である。
Example 22 As shown in FIG. 1A, a film having a thickness of 5 was formed on a silicon wafer.
A 00 nm SiO 2 film was formed. Next, FIG.
As shown in (3), the organic silicon films having a thickness of 100 nm were each formed of SiO 2 by the method of (C1) to (C10) in Example 3.
Formed on the film. Next, in the same manner as in Embodiment 20, FIG.
As shown in (d), 0.18 μm
The line and space resist pattern was formed. The thickness of the resist pattern after the development processing is 180 nm
It is.

【0431】走査型電子顕微鏡を用いてレジストプロフ
ァイルを観察した結果、何れの有機シリコン膜上でもレ
ジスト膜中での定在波による波打ち形状がなく、良好な
レジストプロファイルが得られていることが分かった。
レジストパターンの形状には裾引きや食われは見られ
ず、良好なレジストプロファイルが得られた。
As a result of observing the resist profile using a scanning electron microscope, it was found that there was no wavy shape due to a standing wave in the resist film on any of the organic silicon films, and a good resist profile was obtained. Was.
There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0432】次に、図1(e)に示すように、実施例3
のエッチング条件(P6)〜(P8)を用いてレジスト
パターンを有機シリコン膜に転写したところ、実施例2
0と同様に(C3)〜(C10)の膜を(P6)〜(P
8)の条件でエッチングした場合、有機シリコン膜の膨
潤が起こらず、異方性よくエッチングすることができ
た。有機シリコン膜のエッチングにより生じた寸法変換
差(=Y−X)を測定した結果を上記表3に示す。
Next, as shown in FIG.
The resist pattern was transferred to the organic silicon film using the etching conditions (P6) to (P8) described in Example 2.
0, the films (C3) to (C10) were changed from (P6) to (P6).
When the etching was performed under the condition of 8), the swelling of the organic silicon film did not occur, and the etching was performed with good anisotropy. Table 3 shows the measurement results of the dimensional conversion difference (= YX) caused by the etching of the organic silicon film.

【0433】表3から、比較例9で従来型反射防止膜を
エッチングした時の寸法変換差と比べて、本発明の有機
シリコン膜ではレジストとのエッチング選択比がとれる
ために、寸法制御性よくエッチングされていることが分
かる。
From Table 3, it can be seen that the organic silicon film of the present invention has an etching selectivity with respect to the resist, and thus has better dimensional controllability than the dimensional conversion difference when the conventional antireflection film is etched in Comparative Example 9. It can be seen that it has been etched.

【0434】次に、図1(f)に示すように、有機シリ
コン膜を条件(P7)でエッチングした場合について、
有機シリコン膜とレジストパターンをマスクとして用い
て、実施例13の条件(Q3)でSiO2 膜をエッチン
グした。比較例9において、従来型の反射防止膜を(Q
3)のエッチング条件でエッチングした場合と比べる
と、本実施例による場合の方がSiO2 膜のエッチング
で生じた寸法変換差は小さかった。
Next, as shown in FIG. 1F, the case where the organic silicon film is etched under the condition (P7) will be described.
Using the organic silicon film and the resist pattern as a mask, the SiO 2 film was etched under the conditions (Q3) of Example 13. In Comparative Example 9, the conventional antireflection film was replaced with (Q
Compared with the case where the etching was performed under the etching condition of 3), the dimensional conversion difference caused by the etching of the SiO 2 film was smaller in the case of the present embodiment.

【0435】有機シリコン膜のエッチング、SiO2
のエッチングで生じた寸法変換差(=Z−X)を算出し
たところ、許容範囲の−9nm〜+9nmの範囲に収ま
っており、寸法制御性よくSiO2 膜を加工することが
できた。
When the dimensional conversion difference (= Z−X) generated by the etching of the organic silicon film and the etching of the SiO 2 film was calculated, it was within the allowable range of −9 nm to +9 nm, and the SiO 2 film had good dimensional controllability. Two films could be processed.

【0436】実施例23 図1(a)に示すように、シリコンウェハー上に膜厚5
00nmのSiO2膜を形成した。次いで、図1(b)
に示すように、実施例4の(D1)〜(D10)の方法
で膜厚100nmの有機シリコン膜をそれぞれSiO2
膜上に形成した。次に、実施例20と同様にして、図9
(d)に示すように、有機シリコン膜上に0.18μm
のラインアンドスペースのレジストパターンを形成し
た。現像処理後のレジストパターンの膜厚は180nm
である。
Example 23 As shown in FIG. 1A, a film having a thickness of 5 was formed on a silicon wafer.
A 00 nm SiO2 film was formed. Next, FIG.
As shown in (1), the organic silicon films having a thickness of 100 nm were formed by SiO 2 by the methods (D1) to (D10) in Example 4.
Formed on the film. Next, in the same manner as in Embodiment 20, FIG.
As shown in (d), 0.18 μm
The line and space resist pattern was formed. The thickness of the resist pattern after the development processing is 180 nm
It is.

【0437】走査型電子顕微鏡を用いてレジストプロフ
ァイルを観察した結果、何れの有機シリコン膜上でもレ
ジスト膜中での定在波による波打ち形状がなく、良好な
レジストプロファイルが得られていることが分かった。
レジストパターンの形状には裾引きや食われは見られ
ず、良好なレジストプロファイルが得られた。
As a result of observing the resist profile using a scanning electron microscope, it was found that there was no wavy shape due to a standing wave in the resist film on any of the organic silicon films, and a good resist profile was obtained. Was.
There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0438】次に、実施例3のエッチング条件(P5)
〜(P8)を用いて、レジストパターンを有機シリコン
膜に転写したところ、実施例20と同様に(D3)〜
(D10)の膜を(P6)〜(P8)の条件でエッチン
グした場合、有機シリコン膜の膨潤が起こらず、異方性
よくエッチングすることができた。有機シリコン膜のエ
ッチングにより生じた寸法変換差(=Y−X)を測定し
た結果を上記表4に示す。
Next, the etching conditions (P5) of Example 3
When the resist pattern was transferred to the organic silicon film using (P8) to (P8), (D3) to
When the film of (D10) was etched under the conditions of (P6) to (P8), the swelling of the organic silicon film did not occur, and the etching could be performed with good anisotropy. Table 4 shows the results of measuring the dimensional conversion difference (= YX) caused by the etching of the organic silicon film.

【0439】表4から、比較例9で従来型反射防止膜を
エッチングした時の寸法変換差と比べて、本発明の有機
シリコン膜ではレジストとのエッチング選択比がとれる
ために、寸法制御性よくエッチングされていることが分
かる。
From Table 4, it can be seen that the organic silicon film of the present invention has a good etching dimensional controllability since the etching selectivity with the resist can be obtained as compared with the dimensional conversion difference when the conventional antireflection film is etched in Comparative Example 9. It can be seen that it has been etched.

【0440】次に、条件(P6)でエッチングした場合
について、有機シリコン膜膜上のレジストパターンを酸
素プラズマを用いて除去した。さらに、有機シリコン膜
をエッチングマスクとして、実施例13のエッチング条
件(Q4)でSiO2 膜をエッチングした。実施例20
と同様に、比較例9において従来型の反射防止膜を(Q
4)のエッチング条件でエッチングした場合と比べる
と、本実施例による場合の方が、SiO2 膜のエッチン
グで生じた寸法変換差は小さかった。
Next, when etching was performed under the condition (P6), the resist pattern on the organic silicon film was removed using oxygen plasma. Further, the SiO 2 film was etched under the etching conditions (Q4) of Example 13 using the organic silicon film as an etching mask. Example 20
Similarly to Comparative Example 9, the conventional anti-reflection film was replaced with (Q
Compared with the case of etching under the etching condition of 4), the dimensional conversion difference caused by the etching of the SiO 2 film was smaller in the case of the present embodiment.

【0441】有機シリコン膜のエッチング、SiO2膜
のエッチングで生じた寸法変換差を算出したところ、許
容範囲の−9nm〜+9nmの範囲に収まっており、寸
法制御性よくSiO2 膜を加工することができた。
When the dimensional conversion difference caused by the etching of the organic silicon film and the etching of the SiO 2 film was calculated, it was within the allowable range of −9 nm to +9 nm, and the SiO 2 film could be processed with good dimensional control. did it.

【0442】実施例24 図1(a)に示すように、シリコンウェハー上に膜厚5
00nmのSiO2 膜上に形成した。次いで、図1
(b)に示すように、実施例5の(E1)〜(E10)
の方法で膜厚100nmの有機シリコン膜をそれぞれS
iO2 膜上に形成した。次に、実施例20と同様にし
て、図1(d)に示すように、有機シリコン膜上に0.
18μmのラインアンドスペースのレジストパターンを
形成した。現像処理後のレジストパターンの膜厚は18
0nmである。
Example 24 As shown in FIG. 1A, a film having a thickness of 5 was formed on a silicon wafer.
It was formed on a 00 nm SiO 2 film. Then, FIG.
As shown in (b), (E1) to (E10) of Example 5
A 100 nm-thick organic silicon film is
It was formed on an iO 2 film. Next, in the same manner as in Example 20, as shown in FIG.
An 18 μm line and space resist pattern was formed. The thickness of the resist pattern after the development process is 18
0 nm.

【0443】走査型電子顕微鏡を用いてレジストプロフ
ァイルを観察した結果、何れの有機シリコン膜上でもレ
ジスト膜中での定在波による波打ち形状がなく、良好な
レジストプロファイルが得られていることが分かった。
レジストパターンの形状には裾引きや食われは見られ
ず、良好なレジストプロファイルが得られた。
As a result of observing the resist profile using a scanning electron microscope, it was found that there was no wavy shape due to a standing wave in the resist film on any of the organic silicon films, and a good resist profile was obtained. Was.
There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0444】次に、図1(e)に示すように、実施例3
のエッチング条件(P9)〜(P12)を用いて、レジ
ストパターンを有機シリコン膜に転写した。その結果、
(E3)〜(E10)の膜を(P10)〜(P12)の
条件でエッチングした場合、有機シリコン膜の膨潤が起
こらず、異方性よくエッチングすることができた。有機
シリコン膜のエッチングにより生じた寸法変換差(=Y
−X)を測定した結果を上記表5に示す。
Next, as shown in FIG.
The resist pattern was transferred to the organic silicon film under the etching conditions (P9) to (P12). as a result,
When the films (E3) to (E10) were etched under the conditions of (P10) to (P12), the swelling of the organic silicon film did not occur, and the film could be etched with good anisotropy. Dimensional conversion difference (= Y) caused by etching of organic silicon film
-X) is shown in Table 5 above.

【0445】上記表5から、比較例9で従来型反射防止
膜をエッチングした時の寸法変換差と比べて、本発明の
有機シリコン膜ではレジストとのエッチング選択比がと
れるために、寸法制御性よくエッチングされていること
が分かる。
As can be seen from the above Table 5, since the etching selectivity with the resist can be obtained in the organic silicon film of the present invention as compared with the dimensional conversion difference when the conventional antireflection film is etched in Comparative Example 9, the dimensional controllability is improved. It turns out that it is etched well.

【0446】次に、図1(f)に示すように、有機シリ
コン膜を条件(P12)でエッチングした場合につい
て、有機シリコン膜とレジストパターンをマスクとして
用いて、実施例13の条件(Q3)でSiO2 膜をエッ
チングした。比較例9において、従来型の反射防止膜を
用いて、(Q2)のエッチング条件でエッチングした場
合と比べると、本実施例による場合の方がSiO2 膜の
エッチングで生じた寸法変換差は小さかった。有機シリ
コン膜のエッチング、およびSiO2 膜のエッチングで
生じた寸法変換差を算出したところ、許容範囲の−9n
m〜+9nmの範囲に収まっており、寸法制御性よくS
iO2 膜を加工することができた。
Next, as shown in FIG. 1 (f), when the organic silicon film was etched under the condition (P12), the condition (Q3) of the thirteenth embodiment was used using the organic silicon film and the resist pattern as a mask. Was used to etch the SiO 2 film. In Comparative Example 9, as compared with the case where etching was performed under the etching condition (Q2) using the conventional antireflection film, the dimensional conversion difference caused by the etching of the SiO 2 film was smaller in the case of this example. Was. When the dimensional conversion difference caused by the etching of the organic silicon film and the etching of the SiO 2 film was calculated, an allowable range of -9 n
within the range of m to +9 nm, with good dimensional controllability.
The iO 2 film could be processed.

【0447】実施例25 この実施例は、一般式12以外の構造を有する有機シリ
コン化合物を含有する有機シリコン膜を用いた場合を示
す。
Example 25 This example shows a case where an organic silicon film containing an organic silicon compound having a structure other than the general formula 12 was used.

【0448】図1(a)に示すように、シリコンウェハ
ー上に膜厚500nmのSiO2 膜を形成した。次い
で、図1(b)に示すように、実施例6の(F1)〜
(F10)の方法で膜厚100nmの有機シリコン膜を
それぞれSiO2 膜上に形成した。次に、実施例20と
同様にして、図1(c)に示すように、有機シリコン膜
上に0.30μmのラインアンドスペースのレジストパ
ターンを形成した。現像処理後のレジストパターンの膜
厚は180nmである。
As shown in FIG. 1A, a 500 nm-thick SiO 2 film was formed on a silicon wafer. Next, as shown in FIG.
An organic silicon film having a thickness of 100 nm was formed on the SiO 2 film by the method (F10). Next, in the same manner as in Example 20, as shown in FIG. 1C, a 0.30 μm line and space resist pattern was formed on the organic silicon film. The thickness of the resist pattern after the development processing is 180 nm.

【0449】走査型電子顕微鏡を用いてレジストプロフ
ァイルを観察した結果、何れの有機シリコン膜上でもレ
ジスト膜中での定在波による波打ち形状がなく、良好な
レジストプロファイルが得られていることが分かった。
レジストパターンの形状には裾引きや食われは見られ
ず、良好なレジストプロファイルが得られた。
As a result of observing the resist profile using a scanning electron microscope, it was found that there was no wavy shape due to standing waves in the resist film on any of the organic silicon films, and a good resist profile was obtained. Was.
There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0450】次に、エッチング装置にはマグネトロン型
反応性イオンエッチング装置を用い、実施例1の(P
1)〜(P4)の条件でエッチングを行った。エッチン
グ時間は、発光による終点を検出し、ジャスト時間に対
して50%のオーバーエッチングとなるような時間とし
た。(F1)、(F2)の有機シリコン膜をエッチング
すると、(P1)〜(P4)の何れの条件でも、加工さ
れた有機シリコン膜パターンが膨潤し、異方性よくエッ
チングできていないことが分かった。また、(F3)〜
(F10)の有機シリコン膜では、(P1)の条件で
も、有機シリコン膜パターンの膨潤が起きている。これ
らは、有機シリコン膜がエッチングによりスポンジ状に
変質するためであると考えられる。
Next, a magnetron-type reactive ion etching apparatus was used as the etching apparatus, and (P
Etching was performed under the conditions 1) to (P4). The etching time was set such that the end point due to light emission was detected and 50% over-etching with respect to the just time was performed. When the organic silicon films of (F1) and (F2) are etched, the processed organic silicon film pattern swells under any of the conditions of (P1) to (P4), indicating that the anisotropic etching cannot be performed. Was. (F3) ~
In the organic silicon film of (F10), the organic silicon film pattern swells even under the condition of (P1). These are considered to be due to the sponge-like transformation of the organic silicon film due to the etching.

【0451】(F3)〜(F10)を(P2)〜(P
4)の条件でエッチングした場合、有機シリコン膜パタ
ーンがスポンジ状に変質することなくエッチングするこ
とができた。エッチング前のレジストパターンの寸法を
図1(d)のX、エッチング後の有機シリコン膜パター
ンの寸法を図1(e)のYで定義し、有機シリコン膜の
エッチングにより生じた寸法変換差(=Y−X)を測定
した結果を上記表6に示す。
(F3) to (F10) are replaced with (P2) to (P
When etching was performed under the condition 4), the organic silicon film pattern could be etched without being sponge-likely altered. The dimension of the resist pattern before etching is defined by X in FIG. 1D, and the dimension of the organic silicon film pattern after etching is defined by Y in FIG. 1E, and the dimensional conversion difference (= Y-X) is shown in Table 6 above.

【0452】表6から、エッチング後の有機シリコン膜
パターンが大きくなっているが、これは有機シリコン膜
をエッチングした際の生成物がレジストパターンおよび
有機シリコン膜パターンの側壁に付着し、レジストパタ
ーンおよび有機シリコン膜パターンが太ったために生じ
たものと考えられる。
As can be seen from Table 6, the organic silicon film pattern after the etching is large. The product of etching the organic silicon film adheres to the side wall of the resist pattern and the organic silicon film pattern. This is considered to be caused by the fatness of the organic silicon film pattern.

【0453】次に、図1(f)に示すように、有機シリ
コン膜を(P2)の条件でエッチングした場合につい
て、有機シリコン膜とレジストパターンをマスクとして
用いて、SiO2 膜をエッチングした。エッチング装置
としてはマグネトロン型反応性プラズマエッチング装置
を用い、実施例13の(Q6)の条件でエッチングを行
った。
Next, as shown in FIG. 1F, when the organic silicon film was etched under the condition (P2), the SiO 2 film was etched using the organic silicon film and the resist pattern as a mask. As the etching apparatus, a magnetron-type reactive plasma etching apparatus was used, and etching was performed under the conditions of (Q6) in Example 13.

【0454】有機シリコン膜のエッチングおよびSiO
2 膜のエッチングにより生じた寸法変換差(=Z−X)
を測定したところ、(P1)〜(P4)の何れの条件で
有機シリコン膜をエッチングした場合も、寸法変化差は
許容範囲の−15nm〜+15nm以内にあり、レジス
トパターンを忠実にSiO2 膜に転写することができ
た。
Etching of Organic Silicon Film and SiO
Dimensional conversion difference caused by etching of two films (= ZX)
When the organic silicon film was etched under any of the conditions (P1) to (P4), the dimensional change was within the allowable range of −15 nm to +15 nm, and the resist pattern was faithfully applied to the SiO 2 film. Could be transcribed.

【0455】実施例26 この実施例もまた、一般式[12]以外の構造を有する
有機シリコン化合物を含有する有機シリコン膜を用いた
場合を示す。
Example 26 This example also shows a case where an organic silicon film containing an organic silicon compound having a structure other than the general formula [12] is used.

【0456】図1(a)に示すように、シリコンウェハ
ー上に膜厚500nmのSiO2 膜を形成した。次に、
図1(b)に示すように、実施例7の(G1)〜(G1
0)の方法で膜厚100nmの有機シリコン膜をそれぞ
れSiO2 膜上に形成した。次に、実施例18と同様に
して、図1(c)に示すように、有機シリコン膜上に
0.35μmのラインアンドスペースのレジストパター
ンを形成した。現像処理後のレジストパターンの膜厚は
180nmである。
As shown in FIG. 1A, a 500 nm thick SiO 2 film was formed on a silicon wafer. next,
As shown in FIG. 1B, (G1) to (G1)
An organic silicon film having a thickness of 100 nm was formed on the SiO 2 film by the method of 0). Next, as shown in FIG. 1C, a 0.35 μm line and space resist pattern was formed on the organic silicon film in the same manner as in Example 18. The thickness of the resist pattern after the development processing is 180 nm.

【0457】走査型電子顕微鏡を用いてレジストプロフ
ァイルを観察した結果、何れの有機シリコン膜上でもレ
ジスト膜中での定在波による波打ち形状がなく、良好な
レジストプロファイルが得られていることが分かった。
レジストパターンの形状には裾引きや食われは見られ
ず、良好なレジストプロファイルが得られた。
As a result of observing the resist profile using a scanning electron microscope, it was found that there was no wavy shape due to a standing wave in the resist film on any of the organic silicon films, and a good resist profile was obtained. Was.
There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0458】次に、レジストパターンを有機シリコン膜
に転写した。即ち、エッチング装置にはマグネトロン型
反応性イオンエッチング装置を用い、実施例3の(P
5)〜(P8)の条件でエッチングを行った。エッチン
グ時間は、発光による終点を検出し、ジャスト時間に対
して50%のオーバーエッチングとなるような時間とし
た。(G1)、(G2)の有機シリコン膜をエッチング
すると、(P5)〜(P8)の何れの条件でも加工され
た有機シリコン膜パターンが膨潤し、異方性よくエッチ
ングできていないことが分かった。
Next, the resist pattern was transferred to the organic silicon film. That is, a magnetron-type reactive ion etching apparatus was used as an etching apparatus, and (P
Etching was performed under the conditions of 5) to (P8). The etching time was set such that the end point due to light emission was detected and 50% over-etching with respect to the just time was performed. When the organic silicon films of (G1) and (G2) were etched, the processed organic silicon film pattern swelled under any of the conditions (P5) to (P8), indicating that the anisotropic etching could not be performed with good anisotropy. .

【0459】また、(G3)〜(G10)の有機シリコ
ン膜では(P5)の条件でも有機シリコン膜パターンの
膨潤が起きている。これらは、実施例7からも分かるよ
うに有機シリコン膜がエッチングでスポンジ状に変質す
るためであると考えられる。(G3)〜(G10)を
(P6)〜(P8)の条件でエッチングした場合、有機
シリコン膜パターンがスポンジ状に変質することなくエ
ッチングすることができた。エッチング前のレジストパ
ターンの寸法を図1(d)のX、エッチング後の有機シ
リコン膜パターンの寸法を図1(e)のYで定義し、有
機シリコン膜のエッチングにより生じた寸法変換差(=
Y−X)を測定した結果を上記表7に示す。エッチング
後の有機シリコン膜パターンが大きくなっているが、こ
れは有機シリコン膜をエッチングした際の生成物がレジ
ストパターンおよび有機シリコン膜の側壁に付着し、レ
ジストパターンが太ったために生じたものと考えられ
る。
In the organic silicon films (G3) to (G10), the organic silicon film pattern swells even under the condition (P5). These are considered to be due to the sponge-like transformation of the organic silicon film by etching, as can be seen from Example 7. When (G3) to (G10) were etched under the conditions of (P6) to (P8), the etching could be performed without the sponge-like transformation of the organic silicon film pattern. The dimension of the resist pattern before etching is defined by X in FIG. 1D, and the dimension of the organic silicon film pattern after etching is defined by Y in FIG. 1E, and the dimensional conversion difference (=
Y-X) is shown in Table 7 above. The size of the organic silicon film pattern after etching is large, which is thought to be caused by the product of etching the organic silicon film adhering to the resist pattern and the side wall of the organic silicon film, and the resist pattern becoming thicker. Can be

【0460】次に、図1(f)に示すように、(P7)
でエッチングした場合について、レジストパターンと有
機シリコン膜パターンをエッチングマスクとして用いて
実施例13の(Q1)の条件でSiO2 膜のエッチング
を行った。エッチング装置にはマグネトロン型反応性プ
ラズマエッチング装置を用い、比較例9の従来型の反射
防止膜を(Q1)のエッチング条件でエッチングした場
合と比べると、本実施例による場合の方がSiO2 膜の
エッチングで生じた寸法変換差は小さくかった。有機シ
リコン膜のエッチングおよびSiO2 膜のエッチングに
より生じた寸法変換差(=Z−X)を測定した。その結
果、(P6)〜(P8)の何れの条件で有機シリコン膜
をエッチングした場合も、寸法変化差は許容範囲の−1
5nm〜+15nm以内にあり、レジストパターンを忠
実にSiO2 膜に転写することができた。
Next, as shown in FIG. 1 (f), (P7)
In the case where etching was performed, the SiO 2 film was etched under the conditions of (Q1) in Example 13 using the resist pattern and the organic silicon film pattern as etching masks. A magnetron-type reactive plasma etching apparatus to an etching apparatus, as compared with the case of etching under the etching condition of the conventional anti-reflection film of Comparative Example 9 (Q1), the SiO 2 film toward the case of the present embodiment The difference in dimensional conversion caused by the etching was small. The dimensional conversion difference (= ZX) caused by the etching of the organic silicon film and the etching of the SiO 2 film was measured. As a result, even when the organic silicon film is etched under any of the conditions (P6) to (P8), the dimensional change difference is within an allowable range of -1.
It was within 5 nm to +15 nm, and the resist pattern could be faithfully transferred to the SiO 2 film.

【0461】実施例20〜25において、一般式[1
2]に示す有機シリコン化合物を含有する有機シリコン
膜をエッチングした場合では、レジストパターンの太り
はなかった。一般式12に示す構造を有する有機シリコ
ン化合物を塩素、臭素、弗素原子の少なくとも一つを含
むガスを用いてエッチングした場合、レジストパターン
太りが抑制されるのは、揮発した生成物がレジストパタ
ーンおよび有機シリコン膜の側壁に付着しにくいためと
考えられる。従って、本発明では一般式12に示す有機
シリコン化合物を含有する有機シリコン膜を用いる方が
好ましいが、比較的パターン寸法が大きく、レジストパ
ターンの太りが問題にならない場合は、必ずしも一般式
12に示す構造に限定されることはない。
In Examples 20 to 25, the compounds represented by the general formula [1]
When the organic silicon film containing the organic silicon compound shown in 2] was etched, the resist pattern was not thickened. When an organic silicon compound having a structure represented by the general formula 12 is etched using a gas containing at least one of chlorine, bromine, and fluorine atoms, thickening of the resist pattern is suppressed because a volatilized product is a resist pattern and It is considered that it is difficult to adhere to the side wall of the organic silicon film. Accordingly, in the present invention, it is preferable to use an organosilicon film containing an organosilicon compound represented by the general formula 12, but when the pattern size is relatively large and the thickness of the resist pattern is not a problem, the formula is not necessarily represented by the general formula 12. It is not limited to the structure.

【0462】実施例27 上記式[14]に示す重量平均分子量8000のポリシ
ラン8gをアニソール92gに溶解して有機シリコン膜
の溶液材料を作成した。シリコン基板上にスパッター法
で成膜がなされた膜厚5000オングストロ−ムのSi
2 膜上に、有機シリコン膜の溶液材料をスピンコーテ
ング法により塗布した後、150℃で90秒間ベーキン
グし、ポリシランを架橋させ、ガラス転移温度が125
℃の有機シリコン膜を得た。ベーキング後の有機シリコ
ン膜の膜厚3000オングストロ−ムである。
Example 27 A solution material of an organosilicon film was prepared by dissolving 8 g of polysilane having a weight average molecular weight of 8000 represented by the above formula [14] in 92 g of anisole. 5000 angstrom thick Si film formed by sputtering on a silicon substrate
A solution material of an organic silicon film is applied on the O 2 film by a spin coating method, and then baked at 150 ° C. for 90 seconds to crosslink polysilane, and to have a glass transition temperature of 125.
The organic silicon film of ° C was obtained. The thickness of the organic silicon film after baking is 3000 Å.

【0463】次いで、実施例1の(R19で形成した化
学増幅型ポジ型レジストを塗布し、98℃で120秒間
のベーキングを行なった。ベーキング後のレジスト膜厚
は5000オングストロ−ムである。さらに、KrFエ
キシマレーザーを光源とする縮小光学型ステッパーで露
光(露光量18mJ/cm2 )、98℃で120秒間の
ベーキングを行なった。そして、0.21規定のTMA
H現像液で90秒間の現像処理を行ない、0.25μm
ライン アンド スペースパターンを形成した。レジス
トの膜厚を変化させてレジストパターンの寸法を測定し
たところ、レジスト膜中に発生した定在波による寸法変
動は見られず、レジストへの反射光が十分に抑えられて
いることが分かった。レジストパターンの形状には裾引
きや食われは見られず、良好なレジストプロファイルが
得られた。
Next, the chemically amplified positive resist formed in R19 of Example 1 was applied and baked at 98 ° C. for 120 seconds, and the resist film thickness after baking was 5000 Å. Exposure (exposure amount: 18 mJ / cm 2 ) using a reduction optical type stepper using a KrF excimer laser as a light source, and baking at 98 ° C. for 120 seconds, followed by 0.21 N TMA.
H developing solution for 90 seconds, 0.25 μm
A line and space pattern was formed. When the dimensions of the resist pattern were measured by changing the thickness of the resist, no dimensional change was observed due to the standing wave generated in the resist film, and it was found that the reflected light to the resist was sufficiently suppressed. . There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0464】次に、レジストパターンをマスクとして用
いて、有機シリコン膜のエッチングを行った。エッチン
グ装置としてはマグネトロン型RIE装置を用い、ソー
スガスとしてHBrを流量200SCCMで流し、励起
電力0.4kWの条件でエッチングを行ったところ、レ
ジストパターンが途中でなくなることなく、有機シリコ
ン膜のエッチングをすることができた。有機シリコン膜
のエッチング終了後の寸法変換差を図1の(Y)−
(X)で定義すると、この時生じた寸法変換差は−0.
005μmであり、許容範囲内であることが分かった。
Next, the organic silicon film was etched using the resist pattern as a mask. Using a magnetron type RIE apparatus as the etching apparatus, HBr was flowed as a source gas at a flow rate of 200 SCCM, and the etching was performed under the conditions of an excitation power of 0.4 kW. We were able to. The dimensional conversion difference after the end of the etching of the organic silicon film is shown in FIG.
When defined by (X), the dimensional conversion difference generated at this time is −0.0.
005 μm, which was within the allowable range.

【0465】さらに、エッチングした有機シリコン膜
と、有機シリコン膜上にエッチング終了後残ったレジス
トパタ−ンをマスクとして用いてSiO2 膜のエッチン
グを行った。エッチング装置としては、マグネトロン型
のRIE装置を用いた。最も高い選択比でエッチングを
行った時のエッチング条件を、選択比とともにそれぞれ
のガス系について下記表14に示す。なお、表中の選択
比は、SiO2 膜のエッチングレート/有機シリコン膜
のエッチングレートで定義した。
Further, the SiO 2 film was etched using the etched organic silicon film and the resist pattern remaining after the etching on the organic silicon film as a mask. As the etching device, a magnetron type RIE device was used. Table 14 below shows the etching conditions when etching was performed at the highest selectivity for each gas system together with the selectivity. The selectivity in the table was defined as (etching rate of SiO 2 film) / (etching rate of organic silicon film).

【0466】[0466]

【表24】 上記表14から、ソースガスとしてC4 10を流量20
SCCMで流し、励起電力0.7kWの条件でエッチン
グを行った場合、選択比が4であり、顕著に増大するこ
とが分かった。
[Table 24] From Table 14 above, C 4 F 10 was used as the source gas at a flow rate of 20.
When etching was performed under the condition of excitation power of 0.7 kW while flowing with SCCM, the selectivity was 4 and it was found that the selectivity was significantly increased.

【0467】実施例28 この実施例は、SiO2 膜のエッチングを実施例27で
求めた最も高い選択比をとれるエッチング条件で行った
場合を示す。このエッチング条件では選択比が4なの
で、上述の実施例よりもマスクである有機シリコン膜の
膜厚を薄くすることが可能である。SiO2 膜上に有機
シリコン膜の溶液材料を塗布し、150℃で90秒間ベ
ーキングを行った。この時の有機シリコン膜の膜厚は1
500オングストロ−ムである。
Example 28 This example shows a case where the etching of the SiO 2 film was performed under the etching conditions which can obtain the highest selectivity obtained in Example 27. Since the selectivity is 4 under these etching conditions, the thickness of the organic silicon film serving as a mask can be made smaller than in the above-described embodiment. The solution material of the organic silicon film was applied on the SiO 2 film, and baked at 150 ° C. for 90 seconds. At this time, the thickness of the organic silicon film is 1
500 angstroms.

【0468】次いで、有機シリコン膜上にレジストパタ
ーンを形成した。レジストの膜厚を変化させてレジスト
パターンの寸法を測定したところ、レジスト膜中に発生
した定在波による寸法変動は見らず、レジストへの反射
光が十分に抑えられていることが分かった。レジストパ
ターンの形状には裾引きや食われは見られず、良好なレ
ジストプロファイルが得られた。
Next, a resist pattern was formed on the organic silicon film. When the dimensions of the resist pattern were measured while changing the thickness of the resist, no dimensional change was observed due to the standing wave generated in the resist film, and it was found that the reflected light to the resist was sufficiently suppressed. . There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0469】次に、実施例25と同様のエッチング条件
で有機シリコン膜のエッチングを行った。有機シリコン
膜の膜厚を薄くすることができたので、有機シリコン膜
のエッチング終了後の寸法変換差を0.002μm以下
に抑えることができた。そして、有機シリコン膜をエッ
チングマスクとして上記表14に示す7の条件でSiO
2 膜のエッチングを行ったところ、所望の加工寸法でエ
ッチングすることができた。
Next, the organic silicon film was etched under the same etching conditions as in Example 25. Since the thickness of the organic silicon film could be reduced, the dimensional conversion difference after etching of the organic silicon film could be suppressed to 0.002 μm or less. Then, using the organic silicon film as an etching mask, the SiO
When the two films were etched, they could be etched with desired processing dimensions.

【0470】比較例10 重量平均分子量8000のポリサルフォン10gをシク
ロヘキサノン90gに溶解して作成した反射防止膜の溶
液材料を、SiO2 膜上にスピンコーテング法で塗布し
て、220℃で90秒間ベーキングを行った。このよう
にして得た反射防止膜の膜厚は1000オングストロ−
ムである。
Comparative Example 10 A solution material for an antireflection film prepared by dissolving 10 g of polysulfone having a weight average molecular weight of 8000 in 90 g of cyclohexanone was applied on a SiO 2 film by a spin coating method, and baked at 220 ° C. for 90 seconds. went. The thickness of the antireflection film thus obtained was 1000 Å
It is.

【0471】次いで、実施例27と同様にしてレジスト
パターンを形成し、反射防止膜のエッチングを行った。
エッチング装置にはマグネトロン型のRIE装置を用
い、ソースガスとしてCF4 とO2 を流量180SCC
M、20SCCMで流し、励起電力1.2kWの条件で
エッチングを行ったところ、レジストパターンは途中で
なくなることなく、反射防止膜のエッチングを行うこと
ができた。
Next, a resist pattern was formed in the same manner as in Example 27, and the antireflection film was etched.
A magnetron type RIE apparatus was used as the etching apparatus, and CF 4 and O 2 were used as source gases at a flow rate of 180 SCC.
When etching was carried out under the conditions of M and 20 SCCM and excitation power of 1.2 kW, the antireflection film could be etched without the resist pattern being removed halfway.

【0472】さらに、エッチングされた反射防止膜をマ
スクとして用いてSiO2 膜のエッチングを行った。エ
ッチング装置としてはマグネトロン型のRIE装置を用
い、(CF4 ,H2 )、(CHF3 )、(CHF3 ,O
2 )、(CHF3 ,CO2 )、(C2 6 )、(C3
8 )、(C4 10)のそれぞれのソースガス系で流量と
励起電力等のエッチング条件を変化させてエッチングを
行った。その結果、何れの場合でもエッチング途中で反
射防止膜が削れてなくなり、所望の寸法でエッチングを
することができなかった。
Further, the SiO 2 film was etched using the etched anti-reflection film as a mask. As the etching apparatus, a magnetron type RIE apparatus was used, and (CF 4 , H 2 ), (CHF 3 ), (CHF 3 , O
2 ), (CHF 3 , CO 2 ), (C 2 F 6 ), (C 3 F
8 ) Etching was performed in each of the source gas systems (C 4 F 10 ) while changing etching conditions such as flow rate and excitation power. As a result, in any case, the antireflection film was not removed during the etching, and the etching could not be performed at a desired size.

【0473】実施例29 図1(a)に示すように、シリコンウェハー1上に膜厚
500nmのSiN膜をLPCVD法で成膜した。次い
で、このSiN膜2上に、上記式[17−1]に示す重
量平均分子量1500のポリシラン8g、式[3−8
2]に示す架橋剤1.8g、ラジカル発生剤として式
[4−25]に示す化合物0.2gをアニソール90g
に溶解して作成した溶液材料を塗布して、窒素雰囲気中
(酸素濃度50ppm以下)180℃で10分間ベーキ
ングを行い、ポリシランを架橋させ、ガラス転移温度1
83℃の有機シリコン膜を得た。有機シリコン膜の膜厚
は250nmである(図1(b))。
Example 29 As shown in FIG. 1A, a 500 nm-thick SiN film was formed on a silicon wafer 1 by LPCVD. Next, on this SiN film 2, 8 g of polysilane having a weight average molecular weight of 1500 represented by the above formula [17-1] and a compound of the formula [3-8]
1.8 g of the crosslinking agent shown in [2], and 0.2 g of the compound shown in the formula [4-25] as a radical generator, 90 g of anisole
Is applied and baked at 180 ° C. for 10 minutes in a nitrogen atmosphere (oxygen concentration: 50 ppm or less) to crosslink polysilane and to have a glass transition temperature of 1
An organic silicon film at 83 ° C. was obtained. The thickness of the organic silicon film is 250 nm (FIG. 1B).

【0474】また、有機シリコン膜の露光波長248n
mでの複素屈折率を分光エリプソで測定したところ、n
=2.03、k=0.42であった。続いて、有機シリ
コン膜上にポジ型化学増幅型レジスト(商品名:TDU
R−P007、東京応化工業社製)を塗布し、98℃で
120秒間ベーキングを行った(図1(c))。この時
のレジストの膜厚は250nmである。
The exposure wavelength of the organic silicon film is 248n.
When the complex refractive index at m was measured by spectroscopic ellipsometry, n
= 2.03 and k = 0.42. Subsequently, a positive chemically amplified resist (trade name: TDU) is formed on the organic silicon film.
R-P007, manufactured by Tokyo Ohka Kogyo Co., Ltd.), and baked at 98 ° C. for 120 seconds (FIG. 1C). At this time, the thickness of the resist is 250 nm.

【0475】次に、KrFエキシマレーザー光を光源と
した縮小光学型ステッパーを用いてパターン露光を行い
(露光量30mJ/cm2 )、98℃で120秒間のベ
ーキングを行った後、0.21規定のTMAH現像液で
現像処理を行い、0.18μmラインアンドスペースの
レジストパターンを形成した(図1(d))。このレジ
ストパターンの膜厚は230nmである。
Next, pattern exposure (exposure amount: 30 mJ / cm 2 ) was performed using a reduction optical type stepper using a KrF excimer laser beam as a light source, baking was performed at 98 ° C. for 120 seconds, and then 0.21 standard. Was developed with a TMAH developing solution of No. 1 to form a resist pattern of 0.18 μm line and space (FIG. 1D). The thickness of this resist pattern is 230 nm.

【0476】このようにして得たレジストパターンのプ
ロファイルを断面SEMで観察したところ、側壁には定
在波による波打ち形状は見られなかった。レジストパタ
ーンの形状には裾引きや食われは見られず、良好なレジ
ストプロファイルが得られた。
When the profile of the resist pattern thus obtained was observed by a cross-sectional SEM, no wavy shape due to a standing wave was found on the side wall. There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0477】以上のように形成したレジストパターンを
マスクとして用いて、マグネトロン型RIE装置を用い
て有機シリコン膜のエッチングを行った(図1
(e))。ソースガスとして、流量20SCCMのHB
r、流量180SCCMのCl2 との混合ガスを用い、
励起電力300W、真空度30mTorrのエッチング
条件で有機シリコン膜のエッチングを行ったところ、レ
ジストパターンが途中で削れてなくなることなく、有機
シリコン膜のエッチングを行うことができた。
Using the resist pattern formed as described above as a mask, the organic silicon film was etched using a magnetron type RIE apparatus (FIG. 1).
(E)). HB with a flow rate of 20 SCCM as a source gas
r, using a mixed gas with Cl 2 at a flow rate of 180 SCCM,
When the organic silicon film was etched under the conditions of an excitation power of 300 W and a degree of vacuum of 30 mTorr, the organic silicon film could be etched without removing the resist pattern halfway.

【0478】有機シリコン膜の加工形状は、垂直に異方
性よくエッチングされており、エッチング前のレジスト
パターン寸法とずれることなく、有機シリコン膜をエッ
チングすることができた。エッチング終了後、残ったレ
ジスト膜厚は100nmである。ベタ膜でレジスト膜と
有機シリコン膜のエッチングレートを測定したところ、
レジスト膜が25nm/min、有機シリコン膜が95
nm/minであり、有機シリコン膜のエッチングレー
トがレジスト膜より3.8倍早いことが分かった。
The processed shape of the organic silicon film was vertically etched with good anisotropy, and the organic silicon film could be etched without deviation from the resist pattern dimension before etching. After the etching, the remaining resist film thickness is 100 nm. When the etching rate of the resist film and the organic silicon film was measured with the solid film,
25 nm / min for resist film and 95 for organic silicon film
nm / min, indicating that the etching rate of the organic silicon film was 3.8 times faster than that of the resist film.

【0479】さらに、パターニングされた有機シリコン
膜と有機シリコン膜上に残ったレジストパターンをエッ
チングマスクとして用いて、マグネトロン型反応性イオ
ンエッチング装置により、SiN膜のエッチングを行っ
た(図1(f))。ソースガスとして流量30SCCM
のC4 8 、流量160SCCMのAr、流量140S
CCMのCOを用い、励起電力350W、真空度30m
Torrのエッチング条件でエッチングを行ったとこ
ろ、有機シリコン膜が途中で削れてなくなることなく、
SiN膜のエッチングを行うことができた。
Further, using the patterned organic silicon film and the resist pattern remaining on the organic silicon film as an etching mask, the SiN film was etched by a magnetron-type reactive ion etching apparatus (FIG. 1 (f)). ). 30 SCCM flow rate as source gas
C 4 F 8 , Ar flow at 160 SCCM, 140 S flow
Using CO of CCM, excitation power 350W, degree of vacuum 30m
When the etching was performed under the Torr etching conditions, the organic silicon film was not removed during the etching,
The SiN film could be etched.

【0480】この時のSiN膜の形状は、垂直に異方性
よくエッチングされており、エッチング前のレジストパ
ターン寸法とずれることなく有機シリコン膜をエッチン
グすることができた。このエッチング条件で、実施例1
5と同様にしてベタ膜でレジスト、有機シリコン膜及び
SiN膜のエッチングレートを測定したところ、レジス
ト膜が45nm/min、有機シリコン膜が17nm/
min、SiN膜が230nm/minであり、SiN
膜のエッチングレートが有機シリコン膜より13.5
倍、レジスト膜より5.1倍早く、有機シリコン膜がレ
ジスト膜よりも耐ドライエッチング性のあるSiNをエ
ッチングする際のエッチングマスクとなっていることが
わかる。そのため、エッチング前のレジストパターン寸
法とずれることなく、かつ垂直に異方性よく、SiN膜
をエッチングすることができたものと考えられる。
At this time, the shape of the SiN film was vertically anisotropically etched with good anisotropy, and the organic silicon film could be etched without deviation from the resist pattern dimensions before etching. In this etching condition, Example 1
When the etching rates of the resist, the organic silicon film and the SiN film were measured with the solid film in the same manner as in Example 5, the resist film was 45 nm / min, and the organic silicon film was 17 nm / min.
min, the SiN film is 230 nm / min
The etching rate of the film is 13.5 than that of the organic silicon film.
It can be seen that the organic silicon film is used as an etching mask when etching SiN, which is more dry-resistant than the resist film, 5.1 times faster than the resist film. Therefore, it is considered that the SiN film could be etched without deviation from the resist pattern dimension before etching and with good vertical anisotropy.

【0481】実施例30 図1(a)に示すように、シリコンウェハー1上に膜厚
500nmのSiO2膜2をLPCVD法で成膜した。
次いで、SiO2 膜2上に重量平均分子量8900のポ
リフェニル10gをキシレン90gに溶解して作成した
溶液材料を塗布して、160℃で120秒間ベーキング
を行い、溶剤を気化させた。このようにして得た有機シ
リコン膜3の膜厚は250nmであり、波長248mで
の複素屈折率はn=1.92、k=0.48であり、ガ
ラス転移温度は98℃である。(図1(b))。
Example 30 As shown in FIG. 1A, a 500 nm-thick SiO 2 film 2 was formed on a silicon wafer 1 by LPCVD.
Next, a solution material prepared by dissolving 10 g of polyphenyl having a weight-average molecular weight of 8900 in 90 g of xylene was applied on the SiO 2 film 2 and baked at 160 ° C. for 120 seconds to evaporate the solvent. The thickness of the organic silicon film 3 thus obtained is 250 nm, the complex refractive index at a wavelength of 248 m is n = 1.92, k = 0.48, and the glass transition temperature is 98 ° C. (FIG. 1 (b)).

【0482】次に、有機シリコン膜3上にポジ型化学増
幅型レジスト(商品名:TDUR−P007、東京応化
工業社製)4を塗布し、98℃で120秒間ベーキング
を行った(図1(c))。この時のレジスト4の膜厚は
150nmである。そして、このレジスト4に対し、K
rFエキシマレーザー光を光源とした縮小光学型ステッ
パーを用いてパターン露光を行い(露光量30mJ/c
2 )、98℃で120秒間のベーキングを行った後、
0.21規定のTMAH現像液で現像処理を行い、図1
(d)に示すように、0.18μmラインアンドスペー
スのレジストパターン4を形成した。
Next, a positive chemically amplified resist (trade name: TDUR-P007, manufactured by Tokyo Ohka Kogyo Co., Ltd.) 4 was applied on the organic silicon film 3 and baked at 98 ° C. for 120 seconds (FIG. 1 ( c)). At this time, the thickness of the resist 4 is 150 nm. Then, for this resist 4, K
Pattern exposure is performed using a reduction optical stepper using rF excimer laser light as a light source (exposure amount: 30 mJ / c).
m 2 ), after baking at 98 ° C. for 120 seconds,
Developing with 0.21 TMAH developing solution
As shown in (d), a 0.18 μm line and space resist pattern 4 was formed.

【0483】このレジストパターン4の膜厚は130n
mである。このレジストパターン4のプロファイルを断
面SEMにより観察したところ、側壁には定在波による
波打ち形状は見られなかった。レジストパターンの形状
には裾引きや食われは見られず、良好なレジストプロフ
ァイルが得られた。
The thickness of this resist pattern 4 is 130 n.
m. When the profile of the resist pattern 4 was observed by a cross-sectional SEM, no wavy shape due to a standing wave was found on the side wall. There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0484】以上のように形成したレジストパターン5
をエッチングマスクとして用いて、マグネトロン型RI
E装置により、有機シリコン膜3のエッチングを行っ
た。ソースガスとして流量200SCCMのCl2 を用
い、励起電力200W、真空度25mTorrのエッチ
ング条件で、有機シリコン膜3のエッチングを行ったと
ころ、レジストパターン4が途中で削れてなくなること
なく、有機シリコン膜3のエッチングを行うことができ
た。
The resist pattern 5 formed as described above
Is used as an etching mask, and a magnetron type RI is used.
The organic silicon film 3 was etched by the E apparatus. When the organic silicon film 3 was etched using Cl 2 at a flow rate of 200 SCCM as a source gas and under the etching conditions of an excitation power of 200 W and a degree of vacuum of 25 mTorr, the resist pattern 4 was not removed during the etching. Was able to be etched.

【0485】有機シリコン膜3の加工形状は、垂直に異
方性よくエッチングされており、エッチング前のレジス
トパターン寸法とずれることなく、有機シリコン膜3を
エッチングすることができた。エッチング終了後、残っ
たレジスト膜厚は80nmである。ベタ膜でレジスト膜
と有機シリコン膜のエッチングレートを測定したとこ
ろ、レジスト膜が23nm/min、有機シリコン膜が
210nm/minであり、有機シリコン膜のエッチン
グレートがレジスト膜より9.1倍早いことが分かっ
た。
The processed shape of the organic silicon film 3 was vertically etched with good anisotropy, and the organic silicon film 3 could be etched without deviation from the resist pattern dimension before etching. After the etching, the remaining resist film thickness is 80 nm. When the etching rates of the resist film and the organic silicon film were measured with the solid film, the etching rate of the resist film was 23 nm / min, the organic silicon film was 210 nm / min, and the etching rate of the organic silicon film was 9.1 times faster than the resist film. I understood.

【0486】さらに、マグネトロン型RIE装置によ
り、レジストパターンの剥離、SiO2 膜のエッチング
を順次行った(図2(c))。レジストパターンの剥離
は、ソースガスとして流量20SCCMのO2 ガスを用
い、励起電力が200W、真空度30mTorrの条件
で行った。
Further, the resist pattern was peeled off and the SiO 2 film was sequentially etched by a magnetron type RIE apparatus (FIG. 2C). The stripping of the resist pattern was performed under the conditions of an excitation power of 200 W and a degree of vacuum of 30 mTorr using an O 2 gas at a flow rate of 20 SCCM as a source gas.

【0487】次いで、同一チャンバーでエッチング条件
を変えてSiO2 膜のエッチングを行った。すなわち、
ソースガスとして流量30SCCMのCHF3 、流量1
00SCCMのCO、流量100SCCMのArを用
い、励起電力280W、真空度15mTorrのエッチ
ング条件でエッチングを行ったところ、有機シリコン膜
が途中で削れてなくなることなく、SiO2 膜のエッチ
ングを行うことができた。この時のSiO2 膜の形状
は、垂直に異方性よくエッチングされており、エッチン
グ前のレジストパターン寸法とずれることなく、有機シ
リコン膜をエッチングすることができた。
Next, the etching conditions were changed in the same chamber to etch the SiO 2 film. That is,
CHF 3 at a flow rate of 30 SCCM and a flow rate of 1 as a source gas
When etching was performed under the etching conditions of 280 W of excitation power and 15 mTorr of vacuum using CO of 00 SCCM and Ar of a flow rate of 100 SCCM, the SiO 2 film could be etched without the organic silicon film being removed halfway. Was. At this time, the shape of the SiO 2 film was vertically etched with good anisotropy, and the organic silicon film could be etched without shifting from the resist pattern dimensions before the etching.

【0488】このエッチング条件で、ベタ膜でレジスト
膜、有機シリコン膜及びSiO2 膜のエッチングレート
を測定したところ、レジスト膜が56nm/min、有
機シリコン膜が20nm/min、SiO2 膜が368
nm/minでSiO2 膜のエッチングレートが有機シ
リコン膜より18.4倍早く、有機シリコン膜がレジス
トよりもドライエッチング耐性のあるSiO2 膜のエッ
チングマスクとなっていることが分かった。
[0488] Under these etching conditions, when the etching rates of the resist film, the organic silicon film and the SiO 2 film were measured using the solid film, the resist film was 56 nm / min, the organic silicon film was 20 nm / min, and the SiO 2 film was 368 nm.
It was found that the etching rate of the SiO 2 film was 18.4 times faster than that of the organic silicon film at nm / min, and that the organic silicon film was used as an etching mask of the SiO 2 film having a higher dry etching resistance than the resist.

【0489】そのため、エッチング前のレジストパター
ン寸法とずれることなく、かつ垂直に異方性よく、Si
2 膜をエッチングすることができたものと考えられ
る。
[0489] Therefore, the resist pattern before etching does not deviate from the dimension of the resist pattern and has good vertical anisotropy.
It is considered that the O 2 film could be etched.

【0490】実施例31 実施例29において、SiO2 膜を加工した後、ダウン
フローエッチング装置を用いて、レジスト、そしてエッ
チングマスクである有機シリコン膜を順次剥離除去し
た。ソースガスとして流量20SCCMのO2 ガスを用
い、励起電力200W、真空度8mTorrのエッチン
グ条件でレジストのエッチング剥離を行ったところ、レ
ジストを完全に剥離することができた。
Example 31 In Example 29, after processing the SiO 2 film, the resist and the organic silicon film as an etching mask were sequentially peeled off using a downflow etching apparatus. When O 2 gas at a flow rate of 20 SCCM was used as a source gas and the etching was performed under the etching conditions of an excitation power of 200 W and a vacuum degree of 8 mTorr, the resist could be completely removed.

【0491】レジストを剥離した後の有機シリコン膜の
赤外吸収スペクトルを測定したところ、1000〜12
00cm-1にSi−O−Si結合による吸収が見られ
た。このことは、酸素プラズマにさらしたことによっ
て、ポリシランがグラス化したことを意味している。
The infrared absorption spectrum of the organic silicon film after the resist was stripped was measured.
An absorption due to the Si—O—Si bond was observed at 00 cm −1 . This means that polysilane was glassed by exposure to oxygen plasma.

【0492】次に、フッ酸と純水を重量比で1対500
の割合で混合した希フッ酸溶液に90秒間浸透したとこ
ろ、グラス化した有機シリコン膜を酸化シリコン膜に対
し選択的に剥離することができた。
Next, hydrofluoric acid and pure water were added in a weight ratio of 1: 500.
When the solution was infiltrated into a diluted hydrofluoric acid solution mixed for 90 seconds, the vitrified organic silicon film could be selectively removed from the silicon oxide film.

【0493】実施例32 実施例29において、SiO2 膜を加工した後、ダウン
フローエッチング装置を用いて、レジスト、そしてエッ
チングマスクである有機シリコン膜を順次剥離除去し
た。ソースガスとして流量20SCCMのO2 を用い、
励起電力200W、真空度8mTorrのエッチング条
件でレジストのエッチングを行ったところ、レジストを
完全に剥離することができた。酸素プラズマにさらした
ことによって、ポリシランはグラス化されたが、ソース
ガスCF4 =30SCCM、O2 =40SCCM、励起
電力800W、真空度35mTorrのエッチング条件
でグラス化したポリシランのエッチングを行ったとこ
ろ、SiO2 膜を削ることなく選択的に剥離することが
できた。
Example 32 In Example 29, after processing the SiO 2 film, the resist and the organic silicon film as an etching mask were sequentially peeled off using a downflow etching apparatus. Using O 2 at a flow rate of 20 SCCM as a source gas,
When the resist was etched under the etching conditions of an excitation power of 200 W and a degree of vacuum of 8 mTorr, the resist could be completely removed. The polysilane was glassized by exposure to oxygen plasma, but the glassy polysilane was etched under the etching conditions of source gas CF 4 = 30 SCCM, O 2 = 40 SCCM, excitation power of 800 W, and vacuum degree of 35 mTorr. It was possible to selectively peel off without removing the SiO 2 film.

【0494】実施例33 図8(a)に示すように、シリコンウェハー21上に膜
厚500nmのSiO2 膜22をLPCVD法で成膜し
た。次いで、SiO2 膜2上に式[1−99]に示す重
量平均分子量14000の有機シリコン化合物(n/m
=1/4)10gをアニソール90gに溶解して作成し
た溶液材料を塗布して、160℃で120秒間ベーキン
グを行い、溶剤を気化させた。このようにして得た有機
シリコン膜3の膜厚は150nmであり、ガラス転移温
度は132℃である。また、波長248mでの複素屈折
率はn=2.01、k=0.38である(図1
(b))。次に、有機シリコン膜23上にポジ型化学増
幅型レジスト(商品名:TDUR−P007、東京応化
工業社製)4を塗布し、98℃で120秒間ベーキング
を行った(図1(c))。この時のレジスト4の膜厚は
150nmである。そして、このレジスト4に対し、K
rFエキシマレーザー光を光源とした縮小光学型ステッ
パーを用いてパターン露光を行い(露光量30mJ/c
2 )、98℃で120秒間のベーキングを行った後、
0.21規定のTMAH現像液で現像処理を行い、図1
(d)に示すように、0.18μmラインアンドスペー
スのレジストパターン24を形成した。
Example 33 As shown in FIG. 8A, a 500 nm thick SiO 2 film 22 was formed on a silicon wafer 21 by LPCVD. Then, an organic silicon compound having a weight average molecular weight 14000 represented by the formula [1-99] on the SiO 2 film 2 (n / m
= 1/4) A solution material prepared by dissolving 10 g in 90 g of anisole was applied and baked at 160 ° C for 120 seconds to evaporate the solvent. The organic silicon film 3 thus obtained has a thickness of 150 nm and a glass transition temperature of 132 ° C. The complex refractive index at a wavelength of 248 m is n = 2.01, and k = 0.38 (FIG. 1).
(B)). Next, a positive chemically amplified resist (trade name: TDUR-P007, manufactured by Tokyo Ohka Kogyo Co., Ltd.) 4 was applied on the organic silicon film 23, and baked at 98 ° C. for 120 seconds (FIG. 1C). . At this time, the thickness of the resist 4 is 150 nm. Then, for this resist 4, K
Pattern exposure is performed using a reduction optical stepper using rF excimer laser light as a light source (exposure amount: 30 mJ / c).
m 2 ), after baking at 98 ° C. for 120 seconds,
Developing with 0.21 TMAH developing solution
As shown in (d), a 0.18 μm line and space resist pattern 24 was formed.

【0495】このレジストパターン4の膜厚は130n
mである。このレジストパターン4のプロファイルを断
面SEMにより観察したところ、側壁には定在波による
波打ち形状は見られなかった。レジストパターンの形状
には裾引きや食われは見られず、良好なレジストプロフ
ァイルが得られた。
The resist pattern 4 has a thickness of 130 n.
m. When the profile of the resist pattern 4 was observed by a cross-sectional SEM, no wavy shape due to a standing wave was found on the side wall. There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0496】以上のように形成したレジストパターン4
をエッチングマスクとして用いて、マグネトロン型RI
E装置により、有機シリコン膜3のエッチングを行っ
た。ソースガスとして流量200SCCMのCl2 、流
量10SCCMのSF6 の混合ガスを用い、励起電力2
00W、真空度25mTorrのエッチング条件で、有
機シリコン膜3のエッチングを行ったところ、レジスト
パターン5が途中で削れてなくなることなく、有機シリ
コン膜3のエッチングを行うことができた。
The resist pattern 4 formed as described above
Is used as an etching mask, and a magnetron type RI is used.
The organic silicon film 3 was etched by the E apparatus. As a source gas, a mixed gas of Cl 2 at a flow rate of 200 SCCM and SF 6 at a flow rate of 10 SCCM was used, and an excitation power of 2 was used.
When the organic silicon film 3 was etched under the etching conditions of 00 W and a degree of vacuum of 25 mTorr, the organic silicon film 3 could be etched without the resist pattern 5 being removed in the middle.

【0497】有機シリコン膜3の加工形状は、垂直に異
方性よくエッチングされており、エッチング前のレジス
トパターン寸法とずれることなく、有機シリコン膜3を
エッチングすることができた。エッチング終了後、残っ
たレジスト膜厚は80nmである。ベタ膜でレジスト膜
と有機シリコン膜のエッチングレートを測定したとこ
ろ、レジスト膜が48nm/min、有機シリコン膜が
210nm/minであり、有機シリコン膜のエッチン
グレートがレジスト膜より4.4倍早いことが分かっ
た。
The processed shape of the organic silicon film 3 was vertically etched with good anisotropy, and the organic silicon film 3 could be etched without shifting from the resist pattern dimension before etching. After the etching, the remaining resist film thickness is 80 nm. When the etching rates of the resist film and the organic silicon film were measured with the solid film, the etching rate of the resist film was 48 nm / min, the organic silicon film was 210 nm / min, and the etching rate of the organic silicon film was 4.4 times faster than the resist film. I understood.

【0498】さらに、マグネトロン型RIE装置によ
り、レジストパターンの剥離、SiO2 膜のエッチング
を順次行った(図2(c))。レジストパターンの剥離
は、ソースガスとして流量20SCCMのO2 ガスを用
い、励起電力が200W、真空度30mTorrの条件
で行った。
Further, the resist pattern was peeled off and the SiO 2 film was etched sequentially by a magnetron type RIE apparatus (FIG. 2C). The stripping of the resist pattern was performed under the conditions of an excitation power of 200 W and a degree of vacuum of 30 mTorr using an O 2 gas at a flow rate of 20 SCCM as a source gas.

【0499】次いで、同一チャンバーでエッチング条件
を変えてSiO2 膜のエッチングを行った。すなわち、
ソースガスとして流量30SCCMのCHF3 、流量1
00SCCMのCO、流量100SCMのO2 を用い、
励起電力280W、真空度15mTorrのエッチング
条件でエッチングを行ったところ、有機シリコン膜が途
中で削れてなくなることなく、SiO2 膜のエッチング
を行うことができた。この時のSiO2 膜の形状は、垂
直に異方性よくエッチングされており、エッチング前の
レジストパターン寸法とずれることなく、有機シリコン
膜をエッチングすることができた。
Next, the etching of the SiO 2 film was performed in the same chamber under different etching conditions. That is,
CHF 3 at a flow rate of 30 SCCM and a flow rate of 1 as a source gas
Using CO of 00 SCCM and O 2 of flow rate 100 SCM,
When etching was performed under the etching conditions of an excitation power of 280 W and a degree of vacuum of 15 mTorr, the SiO 2 film could be etched without the organic silicon film being cut off halfway. At this time, the shape of the SiO 2 film was vertically etched with good anisotropy, and the organic silicon film could be etched without shifting from the resist pattern dimensions before the etching.

【0500】このエッチング条件で、実施例15と同様
にしてベタ膜でレジスト膜、有機シリコン膜及びSiO
2 膜のエッチングレートを測定したところ、レジスト膜
が54nm/min、有機シリコン膜が20nm/mi
n、SiO2 膜が368nm/minでSiO2 膜のエ
ッチングレートが有機シリコン膜より18.4倍早く、
有機シリコン膜がレジストよりもドライエッチング耐性
のあるSiO2 膜のエッチングマスクとなっていること
が分かった。
Under these etching conditions, a resist film, an organic silicon film and a SiO
When the etching rate of the two films was measured, the resist film was 54 nm / min, and the organic silicon film was 20 nm / mi.
n, the etching rate of the SiO 2 film is 18.4 times faster than that of the organic silicon film when the SiO 2 film is 368 nm / min,
It was found that the organic silicon film was used as an etching mask for the SiO 2 film having a higher dry etching resistance than the resist.

【0501】そのため、エッチング前のレジストパター
ン寸法とずれることなく、かつ垂直に異方性よく、Si
2 膜をエッチングすることができたものと考えられ
る。
[0501] Therefore, the resist pattern before etching does not deviate from the resist pattern size and has good vertical anisotropy.
It is considered that the O 2 film could be etched.

【0502】実施例34 図1(a)に示すように、シリコンウェハー1上に膜厚
500nmのSiN膜2をLPCVD法で成膜した。次
いで、SiN膜2上に、上記式[1−95]に示す重量
平均分子量12800の有機シリコン化合物(n/m=
1/1)10gをアニソール90gに溶解して作成した
溶液材料を塗布して、160℃で120秒間ベーキング
を行い、溶剤を気化させることにより、図1(b)に示
すように、有機シリコン膜3を形成した。この時の有機
シリコン膜3の膜厚は、250nmであり、ガラス転移
温度は138℃である。波長248mでの複素屈折率は
n=2.01、k=0.35である。
Example 34 As shown in FIG. 1A, a 500 nm-thick SiN film 2 was formed on a silicon wafer 1 by LPCVD. Next, on the SiN film 2, an organosilicon compound having a weight average molecular weight of 12800 represented by the above formula [1-95] (n / m =
1/1) A solution material prepared by dissolving 10 g in 90 g of anisole is applied, baked at 160 ° C. for 120 seconds, and the solvent is vaporized to form an organic silicon film as shown in FIG. 3 was formed. At this time, the thickness of the organic silicon film 3 is 250 nm, and the glass transition temperature is 138 ° C. The complex refractive index at a wavelength of 248 m is n = 2.01, k = 0.35.

【0503】続いて、有機シリコン膜3上に、ポジ型化
学増幅型レジスト(商品名:TDUR−P007、東京
応化工業社製)4を塗布し、98℃で120秒間ベーキ
ングを行った(図1(c))。この時のレジスト4の膜
厚は、150nmである。
Next, a positive chemically amplified resist (trade name: TDUR-P007, manufactured by Tokyo Ohka Kogyo Co., Ltd.) 4 was applied on the organic silicon film 3 and baked at 98 ° C. for 120 seconds (FIG. 1). (C)). At this time, the thickness of the resist 4 is 150 nm.

【0504】次に、レジスト4に対して、KrFエキシ
マレーザー光を光源とした縮小光学型ステッパーを用い
てパターン露光を行い(露光量30mJ/cm2 )、9
8℃で120秒間のベーキングを行った後、0.21規
定のTMAH現像液で現像処理を行い、図1(d)に示
すように、0.18μmL/Sのレジストパターン4を
形成した。
Next, the resist 4 was subjected to pattern exposure (exposure amount: 30 mJ / cm 2 ) using a reduction optical stepper using KrF excimer laser light as a light source.
After baking at 8 ° C. for 120 seconds, development processing was performed with a 0.21 N TMAH developer to form a resist pattern 4 of 0.18 μmL / S as shown in FIG. 1D.

【0505】レジストパターンの膜厚は130nmであ
る。レジストパターン4のプロファイルを断面SEMに
より観察したところ、側壁には定在波による波打ち形状
は見られなかった。レジストパターンの形状には裾引き
や食われは見られず、良好なレジストプロファイルが得
られた。
The thickness of the resist pattern is 130 nm. When the profile of the resist pattern 4 was observed with a cross-sectional SEM, no wavy shape due to a standing wave was found on the side wall. There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0506】以上のように形成したレジストパターンを
マスクとして用いて、マグネトロン型RIE装置により
ポリシラン膜のエッチングを行った(図1(e))。ソ
ースガスとして流量200SCCMのCl2 を用い、励
起電力200W、真空度25mTorrのエッチング条
件で有機シリコン膜のエッチングを行ったところ、レジ
ストパターンが途中で削れてなくなることなく、有機シ
リコン膜のエッチングを行うことができた。
Using the resist pattern formed as described above as a mask, the polysilane film was etched by a magnetron type RIE apparatus (FIG. 1E). Using Cl 2 at a flow rate of 200 SCCM as a source gas and etching the organic silicon film under the etching conditions of an excitation power of 200 W and a degree of vacuum of 25 mTorr, the organic silicon film is etched without the resist pattern being removed in the middle. I was able to.

【0507】ポリシラン膜の加工形状を観察したとこ
ろ、垂直に異方性よくエッチングされており、エッチン
グ前のレジストパターン寸法とずれることなく有機シリ
コン膜をエッチングすることができたことがわかる。エ
ッチング終了後、残ったレジスト膜厚は80nmであ
る。ベタ膜でレジスト膜と有機シリコン膜のエッチング
レートを測定したところ、レジスト膜が52nm/mi
n、有機シリコン膜が195nm/minであり、ポリ
シラン膜のエッチングレートがレジスト膜より3.75
倍早いことが分かった。
[0507] Observation of the processed shape of the polysilane film shows that the etching was performed vertically and anisotropically with good anisotropy, and that the organic silicon film could be etched without deviation from the resist pattern dimensions before etching. After the etching, the remaining resist film thickness is 80 nm. When the etching rates of the resist film and the organic silicon film were measured with the solid film, the resist film was found to be 52 nm / mi.
n, the organic silicon film is 195 nm / min, and the etching rate of the polysilane film is 3.75 times higher than that of the resist film.
It turned out to be twice as fast.

【0508】さらに、マグネトロン型RIE装置によ
り、レジストパターンの剥離、SiN膜のエッチングを
順次行った(図2(f))。レジストパターンの剥離
は、ソースガスとして流量30SCCMのO2 を用い、
励起電力200W、真空度30mTorrの条件で行っ
た。
Further, the resist pattern was peeled off and the SiN film was sequentially etched by a magnetron type RIE apparatus (FIG. 2 (f)). The resist pattern was peeled off using O 2 at a flow rate of 30 SCCM as a source gas.
The test was performed under the conditions of an excitation power of 200 W and a degree of vacuum of 30 mTorr.

【0509】次いで、同一チャンバーでエッチング条件
を変えて、SiN膜のエッチングを行った。すなわち、
ソースガスとして流量30SCCMのC4 8 、流量1
00SCCMのCO、流量3SCCMのO2 を用い、励
起電力280W、真空度15mTorrのエッチング条
件でエッチングを行ったところ、有機シリコン膜が途中
で削れてなくなることなく、SiN膜のエッチングを行
うことができた。この時のSiN膜の形状は、垂直に異
方性よくエッチングされており、エッチング前のレジス
トパターン寸法とずれることなく、有機シリコン膜をエ
ッチングすることができた。
Next, the etching conditions were changed in the same chamber to etch the SiN film. That is,
C 4 H 8 at a flow rate of 30 SCCM and a flow rate of 1 as a source gas
When etching was performed under the etching conditions of 280 W of excitation power and 15 mTorr of vacuum using CO of 00 SCCM and O 2 at a flow rate of 3 SCCM, the SiN film could be etched without the organic silicon film being removed halfway. Was. At this time, the shape of the SiN film was vertically etched with good anisotropy, and the organic silicon film could be etched without shifting from the resist pattern dimensions before the etching.

【0510】このエッチング条件で、ベタ膜でレジスト
膜、有機シリコン膜及びSiN膜のエッチングレートを
測定したところ、レジスト膜が62nm/min、有機
シリコン膜が42nm/min、SiN膜が368nm
/minであり、SiN膜のエッチングレートが有機シ
リコン膜より10.1倍早く、有機シリコン膜がレジス
トよりエッチング耐性のあるSiNのエッチングマスク
となっていることが分かった。そのため、エッチング前
のレジストパターン寸法とずれることなく、かつ垂直に
異方性よく、SiN膜をエッチングすることができたも
のと考えられる。
[0510] Under these etching conditions, when the etching rates of the resist film, the organic silicon film and the SiN film were measured for the solid film, the resist film was 62 nm / min, the organic silicon film was 42 nm / min, and the SiN film was 368 nm.
/ Min, the etching rate of the SiN film was 10.1 times faster than that of the organic silicon film, and it was found that the organic silicon film was used as an etching mask of SiN which was more resistant to etching than the resist. Therefore, it is considered that the SiN film could be etched without deviation from the resist pattern dimension before etching and with good vertical anisotropy.

【0511】実施例35 シリコンウェハー上に膜厚600nmのTEOS酸化膜
をプラズマCVD法で成膜した。次いで、TEOS酸化
膜上に上記式[13]に示す重量平均分子量12500
のポリシラン(n/m=4/1)13gをアニソール8
7gに溶解した溶液材料を塗布し、160℃で180秒
間のベーキングを行った。このようにして得た有機シリ
コン膜の膜厚は400nmであり、ガラス転移温度は1
39℃である。波長248nmでの複素屈折率はn=
2.03、k=0.32である。
Example 35 A TEOS oxide film having a thickness of 600 nm was formed on a silicon wafer by a plasma CVD method. Next, a weight average molecular weight of 12,500 represented by the above formula [13] is formed on the TEOS oxide film.
13 g of polysilane (n / m = 4/1) from Anisole 8
A solution material dissolved in 7 g was applied and baked at 160 ° C. for 180 seconds. The thickness of the organic silicon film thus obtained was 400 nm and the glass transition temperature was 1
39 ° C. The complex refractive index at a wavelength of 248 nm is n =
2.03, k = 0.32.

【0512】有機シリコン膜上にポジ型化学増幅型レジ
スト(商品名:APEX−E、シップレー社製)を塗布
し、98℃で120秒間ベーキングを行った。この時の
レジストの膜厚は250nmである。そして、レジスト
膜上に、上層反射防止膜(商品名:アクアタール(Aq
uatar)、ヘキスト社製)を膜厚42nmとなるよ
うに塗布した。
A positive chemically amplified resist (trade name: APEX-E, manufactured by Shipley Co.) was applied on the organic silicon film, and baked at 98 ° C. for 120 seconds. At this time, the thickness of the resist is 250 nm. Then, an upper antireflection film (trade name: Aquatar (Aq) is formed on the resist film.
uatar) (manufactured by Hoechst) to a thickness of 42 nm.

【0513】次に、KrFエキシマレーザー光を光源と
した縮小光学型ステッパーを用いてパターン露光を行い
(露光量28mJ/cm2 )、98℃で120秒間のベ
ーキングを行った後、0.21規定のTMAH現像液で
現像処理を行い、0.25μmL/Sのレジストパター
ンを形成した。なお、上層反射防止膜は水溶性であるた
め、現像処理を行った際にレジスト膜上から除去され
る。
Next, pattern exposure was performed (exposure amount: 28 mJ / cm 2 ) using a reduction optical type stepper using a KrF excimer laser beam as a light source, baking was performed at 98 ° C. for 120 seconds, and then 0.21 standard. Was developed using a TMAH developer solution of No. 1, and a resist pattern of 0.25 μmL / S was formed. Since the upper antireflection film is water-soluble, it is removed from the resist film during the development process.

【0514】このようにして得たレジストパターンのプ
ロファイルを断面SEMにより観察したところ、側壁に
は定在波による波打ち形状は見られなかった。
When the profile of the resist pattern thus obtained was observed by a cross-sectional SEM, no wavy shape due to a standing wave was found on the side wall.

【0515】以上のようにレジストパターンを形成し、
実施例29と同様noエッチング条件でTEOS酸化膜
を加工した結果、エッチング前のレジストパターン寸法
からずれることなく、TEOS酸化膜をエッチングする
ことができた。
[0515] A resist pattern is formed as described above.
As a result of processing the TEOS oxide film under the no etching condition as in Example 29, the TEOS oxide film could be etched without deviating from the resist pattern dimensions before etching.

【0516】実施例36 シリコンウェハー上にスピンオングラス(商品名:R
7、日立化成社製)をスピンコーティング法で塗布し、
80℃で1分、150℃で1分、200℃で1分間ずつ
順次ベーキングを行った後、窒素パージを行いながら4
00℃で30分間ベーキングを行い、スピンオングラス
膜を成膜した。ベーキング処理後の膜厚は500nmで
ある。
Example 36 A spin-on glass (trade name: R) was placed on a silicon wafer.
7, Hitachi Chemical Co., Ltd.) by spin coating method,
After baking sequentially at 80 ° C. for 1 minute, at 150 ° C. for 1 minute, and at 200 ° C. for 1 minute, 4 times while performing nitrogen purging.
Baking was performed at 00 ° C. for 30 minutes to form a spin-on-glass film. The film thickness after the baking treatment is 500 nm.

【0517】次いで、上記式[13]に示す平均分子量
12500のポリシラン(n/m=4/1)10gをア
ニソール90gに溶解して作成した溶液材料を、スピン
オングラス上に塗布し、180℃で60秒間ベーキング
を行い、有機シリコン膜を形成した。この時の有機シリ
コン膜の膜厚は300nmであり、ガラス転移温度は1
39℃である。
Next, a solution material prepared by dissolving 10 g of polysilane (n / m = 4/1) having an average molecular weight of 12,500 represented by the above formula [13] in 90 g of anisole was applied on a spin-on glass, and the solution was heated at 180 ° C. Baking was performed for 60 seconds to form an organic silicon film. At this time, the thickness of the organic silicon film was 300 nm, and the glass transition temperature was 1
39 ° C.

【0518】また、XPS分光法を用いて有機シリコン
膜の膜厚方向でのO/Siの割合を調べたところ、図1
0に示す結果を得た。図10から、表面が酸化されてい
るが、そのため有機シリコン膜からレジストへの露光光
の反射光を抑えることができ、寸法制御性の高いレジス
トパターンを得ることができることがわかる。このよう
に、シリコン有機膜の消衰係数を膜厚方向で表面から絶
縁膜側に向かって増大させ、反射を抑えてもよい。
[0518] The ratio of O / Si in the thickness direction of the organic silicon film was examined by XPS spectroscopy.
0 was obtained. FIG. 10 shows that although the surface is oxidized, the reflected light of exposure light from the organic silicon film to the resist can be suppressed, and a resist pattern with high dimensional control can be obtained. As described above, the reflection coefficient may be suppressed by increasing the extinction coefficient of the silicon organic film in the thickness direction from the surface toward the insulating film.

【0519】次に、有機シリコン膜上にポジ型化学増幅
型レジスト(商品名:APEX−E、シップレー社製)
を塗布し、98℃で120秒間ベーキングを行ない、レ
ジスト膜を形成した。この時のレジスト膜の膜厚は10
0nmである。そして、このレジスト膜に対し、KrF
エキシマレーザー光を光源とした縮小光学型ステッパー
を用いてパターン露光を行い(露光量28mJ/c
2 )、98℃で120秒間のベーキングを行った後、
0.21規定のTMAH現像液で現像処理を行い、0.
25μmL/Sのレジストパターンを形成した。
Next, a positive chemically amplified resist (trade name: APEX-E, manufactured by Shipley Co.) is formed on the organic silicon film.
Was applied and baked at 98 ° C. for 120 seconds to form a resist film. At this time, the thickness of the resist film is 10
0 nm. Then, KrF
Perform pattern exposure using a reduction optical type stepper using excimer laser light as a light source (exposure amount: 28 mJ / c
m 2 ), after baking at 98 ° C. for 120 seconds,
Develop with a 0.21 normal TMAH developing solution.
A resist pattern of 25 μmL / S was formed.

【0520】このようにして得られたレジストパターン
のプロファイルを断面SEMにより観察したところ、側
壁には定在波による波打ち形状は見られなかった。レジ
ストパターンの形状には裾引きや食われは見られず、良
好なレジストプロファイルが得られた。
When the profile of the resist pattern thus obtained was observed by a cross-sectional SEM, no wavy shape due to a standing wave was found on the side wall. There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0521】以上のようにレジストパターンを形成し、
実施例29と同様にしてスピンオングラスを加工した結
果、エッチング前のレジストパターン寸法からずれるこ
となく、スピンオングラスをエッチングすることができ
た。
[0521] A resist pattern is formed as described above.
As a result of processing the spin-on-glass in the same manner as in Example 29, the spin-on-glass could be etched without deviating from the resist pattern dimensions before the etching.

【0522】実施例37 シリコンウェハー上に500nm厚のBPSG膜をプラ
ズマCVD法で形成した。次に、BPSG膜上に上記式
[14]に示す重量平均分子量9000のポリシラン
(n/m=4/1)10g、分子量2000のポリ(フ
ェニルシラン)5gをアニソール85gに溶解して作成
した溶液材料を塗布した後、160℃で180秒間ベー
キングを行った。この時のシリコン有機膜の膜厚は15
0nmで、ガラス転移温度は152℃である。波長24
8nmでの複素屈折率はn=2.20、k=0.39で
ある。そして、ポリサルフォン10gをアニソール90
gに溶解して作成した溶液材料をシリコン有機膜上に塗
布した後、220℃で180秒間ベーキングを行い、レ
ジストプロファイルを良くするための下層膜を作成し
た。下層膜の膜厚は30nmである。
Example 37 A BPSG film having a thickness of 500 nm was formed on a silicon wafer by a plasma CVD method. Next, a solution prepared by dissolving 10 g of polysilane (n / m = 4/1) having a weight average molecular weight of 9000 represented by the above formula [14] and 5 g of poly (phenylsilane) having a molecular weight of 2,000 in 85 g of anisole on the BPSG film. After applying the material, baking was performed at 160 ° C. for 180 seconds. At this time, the thickness of the silicon organic film is 15
At 0 nm, the glass transition temperature is 152 ° C. Wavelength 24
The complex refractive index at 8 nm is n = 2.20 and k = 0.39. Then, add 10 g of polysulfone to Anisole 90
g, and applied to the silicon organic film, and baked at 220 ° C. for 180 seconds to form a lower layer film for improving the resist profile. The thickness of the lower layer film is 30 nm.

【0523】次に、下層膜上にネガ型化学増幅型レジス
ト(商品名:TDUR−N908、東京応化工業社製)
を塗布し、98℃で120秒間ベーキングした。このよ
うにして得たレジスト膜の膜厚は300nmである。そ
の後、レジスト膜に対し、KrFエキシマレーザー光を
光源とした縮小光学型ステッパーを用いてパターン露光
を行い(露光量38mJ/cm2 )、98℃で120秒
間のベーキングを行った後、0.21規定のTMAH現
像液で現像処理を行い、0.18μmL/Sのレジスト
パターンを形成した。このレジストパターンのプロファ
イルを断面SEMにより観察したところ、側壁には定在
波による波打ち形状は見られなかった。また、下層膜上
では、図1(d)に示すように、裾引きや食われのない
良好な形状のレジストパターンが得られた。
Next, a negative chemically amplified resist (trade name: TDUR-N908, manufactured by Tokyo Ohka Kogyo Co., Ltd.) is formed on the lower film.
And baked at 98 ° C. for 120 seconds. The thickness of the resist film thus obtained is 300 nm. Thereafter, the resist film was subjected to pattern exposure (exposure amount: 38 mJ / cm 2 ) using a reduction optical type stepper using KrF excimer laser light as a light source, and baked at 98 ° C. for 120 seconds, followed by 0.21 The resist was developed with a specified TMAH developer to form a resist pattern of 0.18 μmL / S. When the profile of this resist pattern was observed by a cross-sectional SEM, no wavy shape due to a standing wave was found on the side wall. Further, on the lower layer film, as shown in FIG. 1D, a resist pattern having a good shape without footing or erosion was obtained.

【0524】以上のようにレジストパターンを形成し、
実施例29と同様にしてBPSG膜を加工した結果、エ
ッチング前のレジストパターン寸法からずれることな
く、BPSG膜をエッチングすることができた。本実施
例のように、有機シリコン膜上に下層膜を形成してレジ
スト形状を良くしてもよい。
[0524] A resist pattern is formed as described above.
As a result of processing the BPSG film in the same manner as in Example 29, the BPSG film could be etched without deviating from the resist pattern dimensions before etching. As in this embodiment, a lower layer film may be formed on the organic silicon film to improve the resist shape.

【0525】実施例38 シリコンウェハー上に、膜厚500nmのフッ素添加S
iO2 膜を、減圧CVD法により形成した。次いで、上
記式[1−84]に示す分子量4000のポリシラン1
0gをアニソール90gに溶解して作成した溶液材料を
SiO2 膜上に塗布した後、160℃で60秒間ベーキ
ングを行ない、有機シリコン膜を形成した。この時の有
機シリコン膜の膜厚は、180nmであり、ガラス転移
温度は141℃である。
Example 38 Fluorine-doped S having a thickness of 500 nm was formed on a silicon wafer.
An iO 2 film was formed by a low pressure CVD method. Next, polysilane 1 having a molecular weight of 4000 represented by the above formula [1-84]
A solution material prepared by dissolving 0 g in 90 g of anisole was applied on the SiO 2 film, and then baked at 160 ° C. for 60 seconds to form an organic silicon film. At this time, the thickness of the organic silicon film is 180 nm, and the glass transition temperature is 141 ° C.

【0526】この有機シリコン膜に、ポリメチルメタク
リレート10gを乳酸エチル90gに溶解して作成した
レジスト溶液を塗布し、98℃で120秒間ベーキング
を行ない、レジスト膜を形成した。この時のレジストの
膜厚は200nmである。そして、レジスト膜に対し、
ArFエキシマレーザー光を光源とした縮小光学型ステ
ッパーを用いてパターン露光を行い(800mJ/cm
2 )、98℃で120秒間のベーキングを行った後、
0.21規定のTMAH現像液で現像処理を行い、0.
18μmL/Sのレジストパターンを形成した。
A resist solution prepared by dissolving 10 g of polymethyl methacrylate in 90 g of ethyl lactate was applied to the organic silicon film, and baked at 98 ° C. for 120 seconds to form a resist film. At this time, the thickness of the resist is 200 nm. And for the resist film,
Pattern exposure was performed using a reduction optical type stepper using ArF excimer laser light as a light source (800 mJ / cm).
2 ) After baking at 98 ° C for 120 seconds,
Develop with a 0.21 normal TMAH developing solution.
A resist pattern of 18 μmL / S was formed.

【0527】このようにして得たレジストパターンのプ
ロファイルを断面SEMにより観察したところ、側壁に
は定在波による波打ち形状は見られなかった。レジスト
パターンの形状には裾引きや食われは見られず、良好な
レジストプロファイルが得られた。
When the profile of the resist pattern thus obtained was observed by a cross-sectional SEM, no wavy shape due to a standing wave was observed on the side wall. There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0528】以上のようにレジストパターンを形成し、
実施例29と同様にしてSiO2 膜を加工した結果、エ
ッチング前のレジストパターン寸法からずれることな
く、SiO2 膜をエッチングすることができた。
[0528] A resist pattern is formed as described above.
As a result of processing the SiO 2 film in the same manner as in Example 29, the SiO 2 film could be etched without deviating from the resist pattern dimensions before etching.

【0529】実施例39 まず、本実施例で用いるポリシランを次のように合成し
た。すなわち、アルゴン雰囲気下−20℃で乾燥したジ
エチルエーテル60ml及びジルコノセンジクロル5.
34gを攪拌し、ここに1.5Mのメチルエーテルを少
量ずつ添加し、70分間攪拌した。次いで、0℃で30
分攪拌した後、ジエチルエーテルを取り除き、生成した
白い固体を昇華して、ジルコノセンメチルを調製した。
Example 39 First, the polysilane used in this example was synthesized as follows. That is, 60 ml of diethyl ether and zirconocene dichloride dried at −20 ° C. in an argon atmosphere.
34 g was stirred, 1.5 M methyl ether was added little by little, and the mixture was stirred for 70 minutes. Then at 0 ° C. for 30
After stirring for minutes, diethyl ether was removed and the resulting white solid was sublimated to prepare zirconocene methyl.

【0530】次に、フェニルシランに対し、このジルコ
ノセンジメチルを50:1のモル比で添加し、フェニル
シランを室温下で5時間重合させた。そして、得られた
ポリマーをトルエンに溶解し、メタノール中に攪拌しな
がら投入して、ポリマーを再沈させた。さらに、ポリマ
ーを同様にメタノールで2回再沈させた後、80〜90
℃で減圧乾燥して、重量平均分子量約2.000の上記
式[16−1]に示すポリマーを得た。
Next, this zirconocene dimethyl was added to phenylsilane at a molar ratio of 50: 1, and the phenylsilane was polymerized at room temperature for 5 hours. Then, the obtained polymer was dissolved in toluene and poured into methanol with stirring to reprecipitate the polymer. Further, after reprecipitating the polymer twice with methanol in the same manner, 80-90
The polymer was dried at reduced pressure under reduced pressure to obtain a polymer represented by the formula [16-1] having a weight average molecular weight of about 2.000.

【0531】実施例17と同様にして、シリコンウェハ
ー上にSiO2 膜を成膜した。そして、このSiO2
上に、上記方法により得たポリシラン8g、式[6−
9]に示す架橋剤2gをアニソール90gに溶解した溶
液を塗布し、150℃で60秒間ベーキングを行い、ポ
リシランを架橋させ、膜厚250nmの有機シリコン膜
を形成した。架橋させた有機シリコン膜のガラス転移温
度は158℃である。
In the same manner as in Example 17, a SiO 2 film was formed on a silicon wafer. Then, the SiO 2 film, polysilane 8g obtained by the above method, wherein [6-
A solution in which 2 g of the crosslinking agent shown in [9] was dissolved in 90 g of anisole was applied and baked at 150 ° C. for 60 seconds to crosslink polysilane to form an organic silicon film having a thickness of 250 nm. The glass transition temperature of the crosslinked organic silicon film is 158 ° C.

【0532】次に、実施例20と同様の手順で、有機シ
リコン膜上にレジストパターンを形成した。
Next, a resist pattern was formed on the organic silicon film in the same procedure as in Example 20.

【0533】得られたレジストパターンのプロファイル
を断面SEMにより観察したところ、側壁には定在波に
よる波打ち形状は見られなかった。レジストパターンの
形状には裾引きや食われは見られず、良好なレジストプ
ロファイルが得られた。
When the profile of the obtained resist pattern was observed with a cross-sectional SEM, no wavy shape due to a standing wave was found on the side wall. There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0534】有機シリコン膜とSiO2 膜のエッチング
を行ったところ、実施例29と同様に、所望の寸法でS
iO2 膜の加工を行うことができた。
When the organic silicon film and the SiO 2 film were etched, as in Example 29, S
The iO 2 film could be processed.

【0535】実施例40 クォーツ基板上に実施例38で作成した平均分子量12
000のポリシラン10g、式[5−24]に示す架橋
剤12gをアニソール90gに溶解して作成した溶液材
料を塗布し、160℃で100秒間ベーキングを行っ
た。このようにして得た有機シリコン膜の膜厚は300
nmであり、ガラス転移温度は132℃である。
Example 40 An average molecular weight of 12 prepared on a quartz substrate in Example 38
A solution material prepared by dissolving 10 g of polysilane of 000 and 12 g of a crosslinking agent represented by the formula [5-24] in 90 g of anisole was applied and baked at 160 ° C. for 100 seconds. The thickness of the organic silicon film thus obtained is 300
nm and the glass transition temperature is 132 ° C.

【0536】有機シリコン膜上に化学増幅型ポジレジス
ト(商品名:APEX、シップレー社製)を塗布し、9
8℃で120秒間ベーキングを行った。このようにして
得たレジスト膜の膜厚は200nmである。次に、電子
ビーム描画装置でパターン露光を行い(1μC/c
2 )、98℃で120秒間ベーキングを行った。そし
て、0.21規定のTMAH現像液で現像処理を行い、
0.9μmL/Sのレジストパターンを形成した。レジ
ストパターンのプロファイルを断面SEMにより観察し
たところ、側壁には定在波による波打ち形状は見られな
かった。
A chemically amplified positive resist (trade name: APEX, manufactured by Shipley Co., Ltd.) was applied on the organic silicon film.
Baking was performed at 8 ° C. for 120 seconds. The thickness of the resist film thus obtained is 200 nm. Next, pattern exposure is performed with an electron beam drawing apparatus (1 μC / c
m 2 ), and baked at 98 ° C. for 120 seconds. Then, a development process is performed with a 0.21 TMAH developer,
A resist pattern of 0.9 μmL / S was formed. When the profile of the resist pattern was observed by a cross-sectional SEM, no wavy shape due to a standing wave was found on the side wall.

【0537】以上のようにレジストパターンを形成し、
実施例31と同様にしてクォーツ基板上に0.4mの溝
を形成した。このように、本発明の方法は、クォーツ基
板の加工にも用いることができる。
[0537] A resist pattern is formed as described above.
A groove of 0.4 m was formed on a quartz substrate in the same manner as in Example 31. Thus, the method of the present invention can also be used for processing a quartz substrate.

【0538】実施例41 重量平均分子量8000のポリ(フェニルシレン)10
gをキシレン90gに溶解し、有機シリコン膜の溶液材
料を作成した。シリコンウェハー上に被加工膜であるS
iO2 膜を成膜し、SiO2 膜上に有機シリコン膜の溶
液材料をスピンコーテング法により塗布し、160℃で
300秒間ベーキングを行い、溶剤を乾燥させた。この
時の有機シリコン膜の膜厚は250nmであり、ガラス
転移温度は132℃である。分光エリプソで測定したλ
=193nmにおける複素屈折率はn=2.03,k=
0.48である。
Example 41 Poly (phenylsilene) 10 having a weight average molecular weight of 8000
g was dissolved in 90 g of xylene to prepare a solution material for the organic silicon film. S to be processed on silicon wafer
An iO 2 film was formed, an organic silicon film solution material was applied on the SiO 2 film by a spin coating method, baked at 160 ° C. for 300 seconds, and the solvent was dried. At this time, the thickness of the organic silicon film is 250 nm, and the glass transition temperature is 132 ° C. Λ measured by spectroscopic ellipsometry
= 193 nm, the complex refractive index is n = 2.03, k =
0.48.

【0539】次いで、ポリメチルメタクリル酸メチル1
0gを乳酸エチル90gに溶解して作成したレジスト溶
液を塗布し、110℃で90秒間のベーキングを行っ
た。ベーキング後のレジスト膜厚は100nmである。
次に、ArFエキシマレーザー光を光源とする縮小光学
型ステッパーで露光し(露光量500mJ/cm2 )、
0.21規定のTMAH現像液で90秒間の現像処理を
行ない、0.18μmライン アンド スペースパター
ンを形成した。レジストパターンの形状には、裾引きや
食われは見られず、良好なレジストプロファイルが得ら
れた。レジストの膜厚を50nmから150nmの範囲
で変化させ、各レジスト膜厚でレジストパターン寸法を
測定した。その結果、レジスト膜中に発生した定在波に
よる寸法変動量は無視できる大きさであることが分かっ
た。レジストパターンの形状には裾引きや食われは見ら
れず、良好なレジストプロファイルが得られた。
Next, polymethyl methacrylate 1
A resist solution prepared by dissolving 0 g in 90 g of ethyl lactate was applied and baked at 110 ° C. for 90 seconds. The resist film thickness after baking is 100 nm.
Next, exposure was performed with a reduction optical stepper using ArF excimer laser light as a light source (exposure amount: 500 mJ / cm 2 ).
A development process was performed for 90 seconds using a 0.21 N TMAH developer to form a 0.18 μm line and space pattern. The resist pattern did not show any tailing or erosion, and a good resist profile was obtained. The thickness of the resist was changed in the range of 50 nm to 150 nm, and the dimension of the resist pattern was measured at each resist thickness. As a result, it was found that the dimensional variation caused by the standing wave generated in the resist film was negligible. There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0540】次に、形成したレジストパターンをマスク
として用いて、ソ−スガスとして流量300SCCMの
Cl2 を用い、真空度20mtorr励起電力を300
Wとしたことを除いて、実施例12と同様の方法で有機
シリコン膜のエッチングを行ったところ、有機シリコン
膜のエッチング終了後もレジストパターンがすべて削れ
ることなく、寸法制御性よく、有機シリコン膜をエッチ
ングすることができた。
Next, using the formed resist pattern as a mask, using Cl 2 at a flow rate of 300 SCCM as a source gas, and applying a pumping power of
When the organic silicon film was etched in the same manner as in Example 12 except that W was used, the resist pattern was not completely removed even after the etching of the organic silicon film was completed, and the organic silicon film was well controlled. Could be etched.

【0541】実施例42 シリコンウェハー上に、膜厚500nmのフッ素添加S
iO2 膜を減圧CVD法により形成した。次いで、式
[1−95]に示す、重量平均分子量12。。。の有機
シリコン化合物(n/m=1/4)10gをアニソール
90gに溶解して作成した溶液材料をSiO2 膜上に塗
布した後、窒素雰囲気中で180℃で100秒間ベーキ
ングを行い、有機シリコン膜を形成した。この時の有機
シリコン膜の膜厚は200nmであり、ガラス転移温度
は℃である。波長193nmでの複素屈折率はn=2.
10、k=0.57である。
Example 42 Fluorine-doped S having a thickness of 500 nm was formed on a silicon wafer.
An iO 2 film was formed by a low pressure CVD method. Next, the weight average molecular weight represented by the formula [1-95] is 12. . . A solution material prepared by dissolving 10 g of an organosilicon compound (n / m = 1/4) in 90 g of anisole is applied on a SiO 2 film, and then baked at 180 ° C. for 100 seconds in a nitrogen atmosphere to obtain an organic silicon compound. A film was formed. At this time, the thickness of the organic silicon film is 200 nm, and the glass transition temperature is ° C. The complex refractive index at a wavelength of 193 nm is n = 2.
10, k = 0.57.

【0542】次に、この有機シリコン膜上に、ポリメチ
ルメタクリレート10gを乳酸エチル90gに溶解して
作成したレジスト溶液を塗布し、98℃で120秒間ベ
ーキングを行い、レジスト膜を形成した。この時のレジ
スト膜の膜厚は200nmである。そして、レジスト膜
に対し、ArFエキシマレーザー光を光源とした縮小光
学型ステッパーを用いてパターン露光を行い(800m
J/cm2 )、98℃で120秒間のベーキングを行っ
た後、0.21規定のTMAH現像液で現像処理を行
い、0.18μmL/Sのレジストパターンを形成し
た。
Next, a resist solution prepared by dissolving 10 g of polymethyl methacrylate in 90 g of ethyl lactate was applied on the organic silicon film, and baked at 98 ° C. for 120 seconds to form a resist film. At this time, the thickness of the resist film is 200 nm. Then, pattern exposure is performed on the resist film using a reduction optical type stepper using ArF excimer laser light as a light source (800 m).
(J / cm 2 ), baked at 98 ° C. for 120 seconds, and then developed with a 0.21 N TMAH developer to form a 0.18 μmL / S resist pattern.

【0543】このようにして得たレジストパターンのプ
ロファイルを断面SEMにより観察したところ、側壁に
は定在波による波打ち形状は見られなかった。レジスト
パターンの形状には裾引きや食われは見られず、良好な
レジストプロファイルが得られた。
When the profile of the resist pattern thus obtained was observed by a cross-sectional SEM, no wavy shape due to a standing wave was observed on the side wall. There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0544】以上のようにレジストパターンを形成し、
実施例29と同様にしてSiO2 膜を加工した結果、エ
ッチング前のレジストパターン寸法からずれることなく
SiO2 膜をエッチングすることができた。
[0544] A resist pattern is formed as described above.
As a result of processing the SiO 2 film in the same manner as in Example 29, it was possible to etch the SiO 2 film without deviating from the resist pattern dimensions before the etching.

【0545】実施例43 シリコンウェハー上に膜厚500nmのフッ素添加Si
2 膜を減圧CVD法により形成した。次いで、上記式
[17−7]に示すAsF5 をドープした平均分子量1
2000のポリシラン(n/m=1/4)10gをアニ
ソール90gに溶解して作成した溶液材料をSiO2
上に塗布した後、窒素雰囲気中で180℃で100秒間
ベーキングを行った。このようにして得た有機シリコン
膜の膜厚は300nmであり、ガラス転移温度は℃であ
る。
Example 43 Fluorine-doped Si having a thickness of 500 nm was formed on a silicon wafer.
An O 2 film was formed by a low pressure CVD method. Then, the average molecular weight of 1 doped with AsF 5 represented by the above formula [17-7] is 1
A solution material prepared by dissolving 10 g of 2000 polysilane (n / m = 1/4) in 90 g of anisole was applied on the SiO 2 film, and then baked at 180 ° C. for 100 seconds in a nitrogen atmosphere. The organic silicon film thus obtained has a thickness of 300 nm and a glass transition temperature of ° C.

【0546】そして、AsF5 を含む雰囲気中に有機シ
リコン膜をさらし、有機シリコン膜中にAsF5 ををド
ープさせて導電性をもたせた。
Then, the organic silicon film was exposed to an atmosphere containing AsF 5 , and the organic silicon film was doped with AsF 5 to have conductivity.

【0547】有機シリコン膜上にポリメチルメタクリレ
ート10gを乳酸エチル90gに溶解して作成したレジ
スト溶液を塗布し、98℃で120秒間ベーキングを行
った。このようにして得たレジスト膜の膜厚は200n
mである。次に、レジスト膜に対し、電子ビーム描画装
置を用いてパターン露光を行い(10μmC/c
2)、0.21規定のTMAH現像液で現像処理を行
い、0.18μmL/Sのレジストパターンを形成し
た。レジストパターンの形状には裾引きや食われは見ら
れず、良好なレジストプロファイルが得られた。
A resist solution prepared by dissolving 10 g of polymethyl methacrylate in 90 g of ethyl lactate was applied on the organic silicon film, and baked at 98 ° C. for 120 seconds. The thickness of the resist film thus obtained is 200 n
m. Next, the resist film is subjected to pattern exposure using an electron beam lithography system (10 μm C / c
m 2 ), development processing was performed with a 0.21 N TMAH developer to form a 0.18 μmL / S resist pattern. There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0548】以上のように、レジストパターンを形成
し、実施例29と同様にしてSiO2を加工した結果、
エッチング前のレジストパターン寸法からずれることな
く、SiO2 膜をエッチングすることができた。また、
本実施例において得られた有機シリコン膜の伝導度は、
5×10-6S/cmであり、チャージアップによる位置
ずれがなく、レジストパターンを形成することができ
た。
As described above, a resist pattern was formed and SiO 2 was processed in the same manner as in Example 29.
The SiO 2 film could be etched without shifting from the resist pattern dimensions before etching. Also,
The conductivity of the organic silicon film obtained in this example is
The resist pattern was 5 × 10 −6 S / cm, and there was no displacement due to charge-up, and a resist pattern could be formed.

【0549】実施例44 シリコンウェハー上に膜厚500nmのフッ素添加Si
2 膜を減圧CVD法により形成した。次いで、上記式
[17−3]に示す重量平均分子量12000のポリシ
ラン10gをアニソール90gに溶解して作成した溶液
材料をSiO2膜上に塗布した後、窒素雰囲気中で18
0℃で100秒間ベーキングを行った。このようにして
得た有機シリコン膜の膜厚は200nmであり、ガラス
転移温度は136℃である。
Example 44 Fluorine-doped Si having a thickness of 500 nm was formed on a silicon wafer.
An O 2 film was formed by a low pressure CVD method. Then, a solution material prepared by dissolving 10 g of polysilane having a weight average molecular weight of 12000 represented by the above formula [17-3] in 90 g of anisole is applied on a SiO 2 film, and then the solution material is treated in a nitrogen atmosphere.
Baking was performed at 0 ° C. for 100 seconds. The thickness of the organic silicon film thus obtained is 200 nm, and the glass transition temperature is 136 ° C.

【0550】この有機シリコン膜上に化学増幅型ポジレ
ジスト(商品名:APEX、シップレー社製)を塗布
し、98℃で120秒間ベーキングを行った。このよう
にして得たレジスト膜の膜厚は200nmである。次
に、レジスト膜に対し、放射光を光源としたX線ステッ
パーでパターン露光を行い(45mJ/cm2 )、98
℃で120秒間ベーキングを行った。そして、0.21
規定のTMAH現像液で現像処理を行い、0.18μm
L/Sのレジストパターンを形成した。レジストパター
ンの形状には裾引きや食われは見られず、良好なレジス
トプロファイルが得られた。
A chemically amplified positive resist (APEX, manufactured by Shipley Co.) was applied on the organic silicon film and baked at 98 ° C. for 120 seconds. The thickness of the resist film thus obtained is 200 nm. Next, the resist film was subjected to pattern exposure (45 mJ / cm 2 ) using an X-ray stepper using emitted light as a light source,
Baking was performed at 120 ° C. for 120 seconds. And 0.21
Develop with a specified TMAH developer and apply 0.18 μm
An L / S resist pattern was formed. There was no footing or erosion in the shape of the resist pattern, and a good resist profile was obtained.

【0551】以上のようにレジストパターンを形成し、
実施例29と同様にしてSiO2 膜を加工した結果、エ
ッチング前のレジストパターン寸法からずれることな
く、SiO2 膜をエッチングすることができた。
The resist pattern is formed as described above.
As a result of processing the SiO 2 film in the same manner as in Example 29, the SiO 2 film could be etched without deviating from the resist pattern dimensions before etching.

【0552】実施例45 シリコンウェハー上に膜厚300nmのSiO2 膜をL
PCVD法で形成した。次いで、図1(a)に示すよう
に、シリコンウェハー上にスパッター法で膜厚300n
mのアモルファスシリコン膜を形成した。そして、図1
(b)に示すように実施例2の(B3)〜(B10)の
方法で有機シリコン膜3をそれぞれアモルファスシリコ
ン上に形成した。有機シリコン膜の膜厚は100nmで
ある。
Example 45 A 300 nm thick SiO 2 film was formed on a silicon wafer by L
It was formed by the PCVD method. Next, as shown in FIG. 1A, a film thickness of 300 n was formed on the silicon wafer by sputtering.
m of amorphous silicon film was formed. And FIG.
As shown in (b), the organic silicon films 3 were formed on amorphous silicon by the methods (B3) to (B10) in Example 2. The thickness of the organic silicon film is 100 nm.

【0553】次に、実施例21と同様にして、図1
(c)に示すように有機シリコン膜上にレジスト4を形
成した。さらに、図11(a)に示すように0.21規
定のTMAH現像液を用いて現像処理を行い0.18μ
mのラインアンドスペースパターンを形成した。現像処
理後のレジストパターン5の膜厚は250nmである。
Next, in the same manner as in Embodiment 21, FIG.
As shown in (c), a resist 4 was formed on the organic silicon film. Further, as shown in FIG. 11A, a development process was performed using a 0.21 N
m and a line and space pattern were formed. The thickness of the resist pattern 5 after the development processing is 250 nm.

【0554】レジストパターンを観察したところ、チャ
ージアップによる位置ずれはなかった。また、レジスト
パターンの形状には裾引きや食われは見られず、良好な
レジストプロファイルが得られた。
When the resist pattern was observed, there was no displacement due to charge-up. In addition, no tailing or erosion was observed in the shape of the resist pattern, and a good resist profile was obtained.

【0555】次に、図11(b)Bに示すように、レジ
ストパターンをエッチングマスクとして用いて、有機シ
リコン膜およびアモルファスシリコン膜を一括してエッ
チングした。エッチング装置にはTCP方式のエッチン
グ装置を用い、ソースガスCl2=10SCCM、励起
電力100W、真空度12mTorr、基板温度30℃
の条件でエッチングを行ったところ、レジストパターン
が途中で削れることなく有機シリコン膜およびアモルフ
ァスシリコンをエッチングすることができ、0.18μ
mのラインアンドスペースパターン7をアモルファスシ
リコン膜に形成することができた。
Next, as shown in FIG. 11B, the organic silicon film and the amorphous silicon film were collectively etched using the resist pattern as an etching mask. A TCP type etching apparatus is used as an etching apparatus, and a source gas Cl2 = 10 SCCM, an excitation power of 100 W, a degree of vacuum of 12 mTorr, and a substrate temperature of 30 ° C.
When etching was performed under the conditions described above, the organic silicon film and the amorphous silicon could be etched without the resist pattern being scraped off in the middle.
The m line and space pattern 7 could be formed on the amorphous silicon film.

【0556】実施例46 シリコンウェハー上に膜厚300nmのSiO2 膜をL
PCVD法で形成した。次いで、図1(a)に示すよう
に、SiO2 膜上にスパッター法で膜厚300nmのタ
ングステン膜を順次形成し、配線膜を形成した。そし
て、図1Bに示すように、実施例3の(C3)〜(C1
0)の方法で有機シリコン膜をそれぞれタングステン上
に形成した。有機シリコン膜の膜厚は100nmであ
る。
Example 46 A 300 nm thick SiO 2 film was formed on a silicon wafer by L
It was formed by the PCVD method. Next, as shown in FIG. 1A, a 300 nm-thick tungsten film was sequentially formed on the SiO 2 film by a sputtering method to form a wiring film. Then, as shown in FIG. 1B, (C3) to (C1) of Example 3
An organic silicon film was formed on each tungsten by the method of 0). The thickness of the organic silicon film is 100 nm.

【0557】次に、図1(c)に示すように、実施例1
の(R)の方法で作成したレジストを各有機シリコン膜
上に塗布し、ホットプレートで110℃で90秒間加熱
してレジストを形成した。レジストの膜厚は300nm
である。続いて、KrFエキシマレーザーを光源とする
縮小光学型ステッパーを用いてパターン露光を行った
後、ホットプレートで110℃で90秒間加熱した。
Next, as shown in FIG.
(R) was applied onto each organic silicon film, and heated on a hot plate at 110 ° C. for 90 seconds to form a resist. Resist thickness is 300nm
It is. Subsequently, after pattern exposure was performed using a reduction optical type stepper using a KrF excimer laser as a light source, the substrate was heated at 110 ° C. for 90 seconds on a hot plate.

【0558】さらに、図11(a)に示すように,0.
21規定のTMAH現像液を用いて現像処理を行い,
0.18μmのラインアンドスペースパターンを形成し
た。現像処理後のレジストパターンの膜厚は250nm
である。走査型電子顕微鏡を用いてレジストプロファイ
ルを観察した結果、何れの有機シリコン膜上でもレジス
ト膜中での定在波による波打ち形状がなく、良好なレジ
ストプロファイルが得られていることが分かった。次
に、図11(b)に示すようにレジストパターンをエッ
チングマスクとして用いて、有機シリコン膜およびタン
グステン膜を一括してエッチングした。エッチング装置
にはTCP方式のエッチング装置を用い、ソースガスC
l2=100SCCM、TCPパワー/バイアスパワー
=500/250W、真空度12mTorr、基板温度
30℃の条件でエッチングを行ったところ、レジストパ
ターンが途中で削れることなく、有機シリコン膜および
タングステン膜をエッチングすることができ、0.18
μmのラインアンドスペースパターンの配線パターンを
形成することができた。
Further, as shown in FIG.
Perform development processing using a 21N TMAH developer,
A 0.18 μm line and space pattern was formed. The thickness of the resist pattern after development processing is 250 nm
It is. As a result of observing the resist profile using a scanning electron microscope, it was found that there was no wavy shape due to a standing wave in the resist film on any of the organic silicon films, and a good resist profile was obtained. Next, as shown in FIG. 11B, the organic silicon film and the tungsten film were collectively etched using the resist pattern as an etching mask. A TCP type etching apparatus is used as an etching apparatus, and a source gas C
l2 = 100 SCCM, TCP power / bias power = 500/250 W, degree of vacuum 12 mTorr, substrate temperature 30 ° C. When etching was performed, the organic silicon film and the tungsten film were etched without the resist pattern being cut off in the middle. 0.18
A wiring pattern of a μm line and space pattern could be formed.

【0559】実施例45、46のように、被加工膜がア
モルファスシリコン、ポリシリコン、シリコン基板など
のシリコン系材料、配線膜単体、配線膜とバリヤメタル
との積層構造、およびバリヤメタルとTiN、TiWの
ような反射防止膜との積層構造の場合、有機シリコン膜
と同一エッチング条件で一括してエッチングできるた
め、工程の短縮が図られる。
As in Embodiments 45 and 46, the film to be processed is made of a silicon-based material such as amorphous silicon, polysilicon, or a silicon substrate, a wiring film alone, a laminated structure of a wiring film and a barrier metal, or a film of a barrier metal and TiN or TiW. In the case of such a laminated structure with an anti-reflection film, the steps can be shortened because the etching can be performed collectively under the same etching conditions as the organic silicon film.

【0560】以下の実施例47〜51は、第3の実施態
様に係るものである。
The following Examples 47 to 51 relate to the third embodiment.

【0561】実施例47 シリコンウェハー上にLPCVD法で膜厚800nmの
SiN膜を形成した(図1(a)参照)。次に、SiN
膜上に膜厚100nmの有機シリコン膜を形成した(図
1(b)参照)。有機シリコン膜には以下の(H1)〜
(H6)の方法で形成した膜をそれぞれ用いた。
Example 47 An 800 nm-thick SiN film was formed on a silicon wafer by LPCVD (see FIG. 1A). Next, SiN
An organic silicon film having a thickness of 100 nm was formed on the film (see FIG. 1B). The following (H1) ~
The films formed by the method (H6) were used.

【0562】(H1):式[1−1]に示す重量平均分
子量1,000のポリシラン9g、式[3−1]に示す
架橋剤0.9g、ラジカル発生剤ベンゾイン0.1gを
アニソール90gに溶解して調製した溶液をスピンコー
テング法で下地基板上に塗布した後、窒素雰囲気下(酸
素濃度50ppm以下)で180℃で10分間加熱し
た。得られた有機シリコン膜のガラス転移温度は68℃
である。
(H1): 9 g of a polysilane having a weight average molecular weight of 1,000 represented by the formula [1-1], 0.9 g of a crosslinking agent represented by the formula [3-1], and 0.1 g of a radical generator benzoin were added to 90 g of anisole. The solution prepared by dissolution was applied on a base substrate by a spin coating method, and then heated at 180 ° C. for 10 minutes in a nitrogen atmosphere (oxygen concentration: 50 ppm or less). The glass transition temperature of the obtained organic silicon film is 68 ° C.
It is.

【0563】(H2):式[1−53]に示す重量平均
分子量12、000のポリシラン(n/m=1/4)1
0gをアニソール90gに溶解して調製した溶液をスピ
ンコーテング法で塗布した後、160℃で1分間加熱し
た。得られた有機シリコン膜のガラス転移温度は123
℃である。
(H2): Polysilane having a weight average molecular weight of 12,000 represented by the formula [1-53] (n / m = 1/4) 1
A solution prepared by dissolving 0 g in 90 g of anisole was applied by a spin coating method, and then heated at 160 ° C. for 1 minute. The glass transition temperature of the obtained organic silicon film is 123.
° C.

【0564】(H3):式[1−13]に示す重量平均
分子量18、000のポリシラン(n/m=1/4)1
0gをアニソール90gに溶解して調製した溶液をスピ
ンコーテング法で塗布した後、160℃で1分間加熱し
た。得られた有機シリコン膜のガラス転移温度は148
℃である。
(H3): Polysilane having a weight average molecular weight of 18,000 represented by the formula [1-13] (n / m = 1/4) 1
A solution prepared by dissolving 0 g in 90 g of anisole was applied by a spin coating method, and then heated at 160 ° C. for 1 minute. The glass transition temperature of the obtained organic silicon film is 148.
° C.

【0565】(H4):式[1−82]に示す重量平均
分子量15、000のポリシラン(n/m=1/4)
9.99g、フラーレン0.01gをアニソール90g
に溶解して調製した溶液をスピンコーテング法で塗布し
た後、160℃で1分間加熱した。得られた有機シリコ
ン膜のガラス転移温度は123℃である。
(H4): Polysilane having a weight average molecular weight of 15,000 represented by the formula [1-82] (n / m = 1/4)
9.99 g, 0.01 g of fullerene and 90 g of anisole
Was applied by a spin coating method, and then heated at 160 ° C. for 1 minute. The glass transition temperature of the obtained organic silicon film is 123 ° C.

【0566】(H5):式[1−50]に示す重量平均
分子量18、000のポリシラン(n/m=1/1)1
0gをアニソール90gに溶解して調製した溶液をスピ
ンコーテング法で塗布した後、160℃で1分間加熱し
た。得られた有機シリコン膜のガラス転移温度は118
℃である。
(H5): Polysilane having a weight average molecular weight of 18,000 represented by the formula [1-50] (n / m = 1/1) 1
A solution prepared by dissolving 0 g in 90 g of anisole was applied by a spin coating method, and then heated at 160 ° C. for 1 minute. The glass transition temperature of the obtained organic silicon film is 118
° C.

【0567】(H6):式[1−51]記載のポリシラ
ンをCVD法で下地基板上に成膜した。得られた有機シ
リコン膜のガラス転移温度は52℃である。
(H6): Polysilane represented by the formula [1-51] was formed on an underlying substrate by a CVD method. The glass transition temperature of the obtained organic silicon film is 52 ° C.

【0568】分光エリプソで測定した露光波長である波
長248nmにおけるn,k値を上記表15に示す。何
れも反射防止膜として作用するために必要な値を有して
いることが分かる。次に、各有機シリコン膜上に、重量
平均分子量20、000のポリビニルフェノール樹脂5
g、重量平均分子量27、000のポリビニルフェノー
ルの水酸基の50%がターシャリブトキシカルボニル基
で置換された抑止剤樹脂4.97g、酸発生剤としてス
ルフォンイミド0.03gを乳酸エチル90gに溶解し
て調製したレジスト溶液をスピンコーテング法により塗
布し、110℃で90秒間のプリベークを行い膜厚20
0nmのレジストを形成した(図1C参照)。そして、
KrFエキシマレーザーを光源とする縮小光学型ステッ
パー(NA=0.5、σ=0.5)を用いてパターン露
光を行った後、110℃で90秒間のポストエクスポー
ジャーベークを行った。続いて、0.21規定のTMA
H現像液を用いて現像処理を行って、0.18μmライ
ンアンドスペースパターンを形成した(図1D参照)。
有機シリコン膜(H1)上で、レジストの膜厚を変化さ
せてレジストパターン寸法を測定した。レジスト膜厚の
変動による寸法変動量を各有機シリコン膜について測定
した結果を表15に示す。この寸法変動量の大きさは、
実施例17で定義されている。
Table 15 shows the n and k values at a wavelength of 248 nm, which is the exposure wavelength measured by spectroscopic ellipsometry. It can be seen that each of them has a value necessary to function as an antireflection film. Next, a polyvinylphenol resin 5 having a weight average molecular weight of 20,000 was formed on each organic silicon film.
g, 4.97 g of an inhibitor resin in which 50% of hydroxyl groups of polyvinyl phenol having a weight average molecular weight of 27,000 are substituted with a tertiary butoxycarbonyl group, and 0.03 g of sulfonimide as an acid generator are dissolved in 90 g of ethyl lactate. The prepared resist solution is applied by a spin coating method, and prebaked at 110 ° C. for 90 seconds to form a film having a thickness of 20 μm.
A 0 nm resist was formed (see FIG. 1C). And
After pattern exposure was performed using a reduction optical stepper (NA = 0.5, σ = 0.5) using a KrF excimer laser as a light source, post-exposure bake was performed at 110 ° C. for 90 seconds. Then, TMA of 0.21 regulation
A 0.18 μm line and space pattern was formed by performing a development process using an H developer (see FIG. 1D).
On the organic silicon film (H1), the resist pattern dimensions were measured while changing the thickness of the resist. Table 15 shows the results of measuring the amount of dimensional change due to the change in the resist film thickness for each organic silicon film. The magnitude of this dimensional variation is
Defined in Example 17.

【0569】表15から、何れの有機シリコン膜でも許
容範囲の9nm以下でSiN膜からの反射光が抑えられ
ているために、寸法制御性の良いレジストパターンが得
られていることが分かる。
From Table 15, it can be seen that a resist pattern having good dimensional controllability was obtained because the reflected light from the SiN film was suppressed within the allowable range of 9 nm or less in any of the organic silicon films.

【0570】次に、レジストパターンをエッチングマス
クとして有機シリコン膜のエッチングを行った(図1
(e)参照)。エッチング装置には平行平板型RIE装
置を用い、ソースガスとして、流量200SCCMのC
2 を用い、真空度75mTorr、励起電力200
W、基板温度60℃のエッチング条件でエッチングを行
い、エッチング時間はプラズマからの発光で検出した終
点に対して50%のオーバーエッチングになるように設
定した。
Next, the organic silicon film was etched using the resist pattern as an etching mask (FIG. 1).
(E)). A parallel plate type RIE apparatus was used as an etching apparatus, and C was used as a source gas at a flow rate of 200 SCCM.
l 2 , vacuum degree 75 mTorr, excitation power 200
The etching was performed under the etching conditions of W and the substrate temperature of 60 ° C., and the etching time was set to be 50% over-etching with respect to the end point detected by light emission from plasma.

【0571】加工形状を走査型電子顕微鏡で観察したと
ころ、異方性よく有機シリコン膜をエッチングすること
ができていることが分かった。エッチング前のレジスト
パターン寸法Xと、有機シリコン膜のエッチング後の寸
法Yの差(=Y―X)で寸法変換差を定義した結果を下
記表15に示す。表15から、ほぼ−2nm〜+2nm
の範囲内に収まっており、寸法制御性よく有機シリコン
膜の加工がなされていることがわかる。
When the processed shape was observed with a scanning electron microscope, it was found that the organic silicon film could be etched with good anisotropy. Table 15 below shows the result of defining the dimension conversion difference by the difference (= Y−X) between the dimension X of the resist pattern before the etching and the dimension Y after the etching of the organic silicon film. From Table 15, it can be seen that almost -2 nm to +2 nm.
It can be seen that the organic silicon film has been processed with good dimensional controllability.

【0572】次に、有機シリコン膜のエッチングで用い
た平行平板型RIE装置を用いて、ソースガスとして流
量300SCCMのO2 を用い、真空度12mTor
r、励起電力75Wの条件で酸素プラズマを発生させて
有機シリコン膜を酸化処理した(図3(a)参照)。酸
化処理前後で有機シリコン膜の赤外吸収スペクトルを測
定したところ、何れの膜においても酸化処理によって1
000〜1100cm-1付近のシロキサン結合による吸
収ピークが成長していた。これは、有機シリコン化合物
中の主鎖に含まれるシリコンとシリコンとの結合が、酸
素プラズマによって開烈して酸素ラジカルと結合しシロ
キサン結合が生成したものと考えられる。また、レジス
トパターンは酸素プラズマを照射したことにより灰化さ
れてなくなった。酸化処理後の有機シリコン膜の寸法W
を測定し、酸化処理による寸法変動量(=W−Y)を測
定した結果を表15に示す。表から、酸化処理によりよ
る寸法の変動はほとんど見られないことが分かる。有機
シリコン膜のエッチングにより生じた寸法変換差、およ
び酸化処理によって生じた寸法変動量は何れの有機シリ
コン膜でも許容範囲の−9nm〜+9nm以内に収まっ
ており、寸法制御性よくマスク材を形成することができ
た。
Next, using the parallel plate type RIE apparatus used for etching the organic silicon film, O 2 at a flow rate of 300 SCCM was used as a source gas, and the degree of vacuum was 12 mTorr.
Oxygen plasma was generated under the conditions of r and excitation power of 75 W to oxidize the organic silicon film (see FIG. 3A). The infrared absorption spectrum of the organic silicon film was measured before and after the oxidation treatment.
An absorption peak due to a siloxane bond near 000 to 1100 cm -1 was growing. This is considered to be due to the fact that the bond between silicon and silicon contained in the main chain in the organosilicon compound was broken by oxygen plasma and combined with oxygen radicals to form a siloxane bond. The resist pattern was not ashed by the irradiation of the oxygen plasma. Dimension W of organic silicon film after oxidation treatment
Table 15 shows the results of measuring the dimensional variation (= W−Y) due to the oxidation treatment. From the table, it can be seen that there is hardly any dimensional change due to the oxidation treatment. The dimensional conversion difference caused by the etching of the organic silicon film and the dimensional variation caused by the oxidation treatment are within the allowable range of -9 nm to +9 nm in any of the organic silicon films, and the mask material is formed with good dimensional control. I was able to.

【0573】次に、酸化処理した有機シリコン膜をエッ
チングマスクとして用いて、SiN膜のエッチングを行
った(図3(b)参照)。エッチング装置には平行平板
型RIE装置を用い、ソースガスとして流量50SCC
MのCF4 および流量100SCCMのN2 を用い、真
空度45mTorr、励起電力200W、基板温度60
℃のエッチング条件でエッチングを行った。エッチング
時間は、プラズマからの発光で検出した終点に対して5
0%のオーバーエッチングになるように設定した。
Next, the SiN film was etched using the oxidized organic silicon film as an etching mask (see FIG. 3B). A parallel plate type RIE device was used as the etching device, and the flow rate was 50 SCC as a source gas.
M CF 4 and N 2 at a flow rate of 100 SCCM, a degree of vacuum of 45 mTorr, an excitation power of 200 W, and a substrate temperature of 60
Etching was performed under the etching condition of ° C. The etching time is 5 times with respect to the end point detected by the emission from the plasma.
It was set so that over-etching would be 0%.

【0574】加工形状を走査型電子顕微鏡で観察したと
ころ、異方性よく有機シリコン膜をエッチングすること
ができたことがわかった。SiN膜のエッチングを途中
で止めて、酸化処理した有機シリコン膜とSiN膜との
エッチング選択比(=SiN膜のエッチレート/酸化処
理した有機シリコン膜のエッチレート)を算出した結果
を、表15に示す。なお、SiNのエッチレートは25
0nm/分である。表から、何れも選択比は10以上と
れており、SiN膜のマスク材として十分な耐性がある
ことが分かった。
When the processed shape was observed with a scanning electron microscope, it was found that the organic silicon film could be etched with good anisotropy. Table 15 shows the result of calculating the etching selectivity between the oxidized organic silicon film and the SiN film (= etch rate of SiN film / etch rate of oxidized organic silicon film) by stopping the etching of the SiN film halfway. Shown in The etch rate of SiN is 25
0 nm / min. From the table, it was found that the selectivity was 10 or more in each case, indicating that the SiN film had sufficient resistance as a mask material.

【0575】比較例11 実施例47において、有機シリコン膜のエッチング後、
酸化処理を行わないでSiN膜のエッチングを行ったと
ころ、SiN膜のエッチング途中でレジストパターンと
有機シリコン膜が削れてなくなり、SiN膜をエッチン
グすることができなかった。
Comparative Example 11 In Example 47, after etching the organic silicon film,
When the SiN film was etched without performing the oxidation treatment, the resist pattern and the organic silicon film were not removed during the etching of the SiN film, and the SiN film could not be etched.

【0576】比較例12 実施例47において、酸化処理した有機シリコン膜の代
わりにSiO2 膜をハードマスクとして用いた。即ち、
シリコンウェハー31上に形成されたSiN膜32上
に、SiO2 膜33をLPCVD法で形成した(図12
(a)、12(b))。そして、ポリサルフォン10g
をシクロヘキサノン90gに溶解して調製した溶液をS
iO2 膜上にスピンコーテング法で塗布した後、220
℃で90秒間加熱を行って反射防止膜34を形成した
(図12(c))。加熱処理後の反射防止膜34の膜厚
は90nmである。分光エリプソで測定した露光波長で
ある波長248nmでのn,k値は、n=1.72、k
=0.23である。
Comparative Example 12 In Example 47, an SiO 2 film was used as a hard mask instead of the oxidized organic silicon film. That is,
An SiO 2 film 33 was formed on a SiN film 32 formed on a silicon wafer 31 by LPCVD (FIG. 12).
(A), 12 (b)). And 10g of polysulfone
Is dissolved in 90 g of cyclohexanone,
After coating by spin coating on the iO 2 film, 220
Heating was performed at 90 ° C. for 90 seconds to form an antireflection film 34 (FIG. 12C). The thickness of the antireflection film 34 after the heat treatment is 90 nm. The n and k values at a wavelength of 248 nm, which is the exposure wavelength measured by the spectral ellipsometer, are as follows: n = 1.72, k
= 0.23.

【0577】次に、実施例47と同様の方法でレジスト
35を反射防止膜34上に形成し(図12(d))、
0.18μmラインアンドスペースパターンを形成した
(図12(e))。そして、レジストパターン35をエ
ッチングマスクとして用いて、反射防止膜34とSiO
2 膜33を一括してエッチングした(図12(f))。
エッチング装置には平行平板型RIE装置を用い、ソー
スガスとして流量30SCCMのC4 8 、流量100
SCCMのCO、流量100SCCMのArを用い、真
空度45mTorr、励起電力200W、基板温度60
℃の条件でエッチングを行い、エッチング時間は、プラ
ズマからの発光で検出した終点に対して50%のオーバ
ーエッチングになるように設定した。
Next, a resist 35 is formed on the antireflection film 34 in the same manner as in the embodiment 47 (FIG. 12D).
A 0.18 μm line and space pattern was formed (FIG. 12E). Then, using the resist pattern 35 as an etching mask, the anti-reflection film 34 and the SiO 2
The two films 33 were collectively etched (FIG. 12F).
A parallel plate type RIE apparatus was used as an etching apparatus, and C 4 F 8 at a flow rate of 30 SCCM and a flow rate of 100 were used as a source gas.
Using SCCM CO, flow rate of 100 SCCM Ar, vacuum degree 45 mTorr, excitation power 200 W, substrate temperature 60
The etching was performed under the condition of ° C., and the etching time was set so as to be 50% over-etched with respect to the end point detected by the emission from the plasma.

【0578】エッチング前のレジストパターン寸法X
と、SiO2 膜のエッチング後の寸法Yの差(=Y―
X)で寸法変換差を定義した。寸法変換差は+12nm
あり、許容範囲の−9nm〜+9nmを超していること
がわかる。これは、レジストとSiO2 膜の間に反射防
止膜が介在しているために生じたものと考えられる。
The resist pattern dimension X before etching
And the difference between the dimension Y of the SiO 2 film after etching (= Y−
X) defined the dimensional conversion difference. Dimension conversion difference is +12 nm
It can be seen that it exceeds the allowable range of -9 nm to +9 nm. This is considered to be caused by the interposition of the antireflection film between the resist and the SiO 2 film.

【0579】本発明による方法では、パターン露光時に
は有機シリコン膜は反射防止膜として作用するため、レ
ジストとハードマスクの間に介在させる必要がない。そ
の分、レジストパターンを忠実に有機シリコン膜に転写
することができる。
In the method according to the present invention, the organic silicon film acts as an antireflection film at the time of pattern exposure, so that it is not necessary to intervene between the resist and the hard mask. To that extent, the resist pattern can be faithfully transferred to the organic silicon film.

【0580】次に、酸素プラズマによりレジストパター
ン35および反射防止膜34を除去して、SiO2 膜パ
ターン33をエッチングマスクとして用いて、SiN膜
32のエッチングを行った(図12(g))。エッチン
グ条件は、実施例47と同様である。SiO2 膜のエッ
チングを途中で止めて、SiO2 膜とSiN膜とのエッ
チング選択比(=SiN膜のエッチングレート/SiO
2 膜のエッチングレート)を算出した結果、10.6あ
ることが分かった。この結果から、本発明による酸化処
理した有機シリコン膜は、SiN膜をエッチングするの
に適したプラズマ中でもSiO2 膜と同程度にエッチン
グ耐性があることが分かる、 比較例13 本比較例は、有機シリコン膜に、直接、紫外光を照射し
て有機シリコン膜をパターニングした例である。
Next, the resist pattern 35 and the antireflection film 34 were removed by oxygen plasma, and the SiN film 32 was etched using the SiO 2 film pattern 33 as an etching mask (FIG. 12 (g)). The etching conditions are the same as in Example 47. It stopped halfway etching of SiO 2 film, etching selectivity of the SiO 2 film and the SiN film (= SiN film etching rate / SiO
As a result of calculating (etching rate of the two films), it was found to be 10.6. From these results, it can be seen that the oxidized organic silicon film according to the present invention has the same etching resistance as the SiO 2 film even in the plasma suitable for etching the SiN film. Comparative Example 13 This is an example in which the silicon film is directly irradiated with ultraviolet light to pattern the organic silicon film.

【0581】シリコンウェハー41上に形成されたSi
N膜42上に、膜厚100nmの有機シリコン膜43
を、実施例47と同様の方法で形成した(図13A、1
2B)。次いで、ArFエキシマレーザを光源とする露
光装置を用いてパターン露光を行い、有機シリコン膜4
3の露光部分44を酸化した(図13C)。
[0580] Si formed on the silicon wafer 41
An organic silicon film 43 having a thickness of 100 nm is formed on the N film 42.
Was formed in the same manner as in Example 47 (FIG. 13A, FIG.
2B). Next, pattern exposure is performed using an exposure apparatus using an ArF excimer laser as a light source, and the organic silicon film 4 is exposed.
The exposed portion 44 of No. 3 was oxidized (FIG. 13C).

【0582】次に、有機シリコン膜43の、未露光部分
の酸化処理されていない部分を、露光部分の酸化された
部分44をエッチングマスクとして用いてエッチングし
て、0.18μmラインアンドスペースパターン43を
形成した(図13D)。エッチング装置には平行平板型
RIE装置を用い、ソースガスとして流量200SCC
MのCl2 を用い、真空度75mTorr、励起電力2
00W、基板温度60℃のエッチング条件でエッチング
を行い、エッチング時間はプラズマからの発光で検出し
た終点に対して50%のオーバーエッチングになるよう
に設定した。加工形状を走査型電子顕微鏡で観察したと
ころ、異方性よく有機シリコン膜をエッチングすること
ができた。
Next, the unexposed portion of the organic silicon film 43 that has not been oxidized is etched using the oxidized portion 44 of the exposed portion as an etching mask to form a 0.18 μm line and space pattern 43. Was formed (FIG. 13D). A parallel plate type RIE device was used as the etching device, and the flow rate was 200 SCC
M Cl 2 , vacuum degree 75 mTorr, excitation power 2
Etching was performed under the etching conditions of 00 W and a substrate temperature of 60 ° C., and the etching time was set to be 50% over-etching with respect to the end point detected by light emission from plasma. When the processed shape was observed with a scanning electron microscope, the organic silicon film could be etched with good anisotropy.

【0583】次いで、実施例47と同様にして、酸素プ
ラズマを有機シリコン膜に対して照射することで有機シ
リコン膜を酸化処理した(図13E)。酸化処理による
有機シリコン膜の寸法変動量(=W−Y)を測定した結
果を表16に示す。何れの有機シリコン膜でも寸法が太
っていることが分かる。
Next, in the same manner as in Example 47, the organic silicon film was irradiated with oxygen plasma to oxidize the organic silicon film (FIG. 13E). Table 16 shows the results of measuring the dimensional variation (= W−Y) of the organic silicon film due to the oxidation treatment. It can be seen that the dimensions are thick in any of the organic silicon films.

【0584】本比較例から、レジストパターンをエッチ
ングマスクとして用いて、有機シリコン膜をエッチング
した方が、酸化によって太りにくい有機シリコン膜パタ
ーンが得られていること分かる。おそらく、レジストパ
ターンをエッチングマスクとして有機シリコン膜をエッ
チングした場合、エッチング中に生じた生成物が有機シ
リコン膜の側壁に付着し、酸化による太りを抑制してい
るものと考えられる。 実施例48 本実施例は、酸化処理のためにSH処理を行った例であ
る。
From this comparative example, it can be seen that, when the organic silicon film is etched using the resist pattern as an etching mask, an organic silicon film pattern which is hardly thickened by oxidation is obtained. Probably, when the organic silicon film is etched using the resist pattern as an etching mask, the product generated during the etching adheres to the side wall of the organic silicon film and suppresses the thickening due to oxidation. Embodiment 48 This embodiment is an example in which the SH treatment is performed for the oxidation treatment.

【0585】実施例47と同様にして、SiN膜上に
(H1)〜(H6)の有機シリコン膜を形成し、有機シ
リコン膜上にレジストパターンを形成した。そして、レ
ジストパターンをエッチングマスクとして用いて、有機
シリコン膜を実施例47と同様の条件でエッチングし
た。
In the same manner as in Example 47, organic silicon films (H1) to (H6) were formed on the SiN film, and a resist pattern was formed on the organic silicon film. Then, the organic silicon film was etched under the same conditions as in Example 47 using the resist pattern as an etching mask.

【0586】次に、硫酸と過酸化水素水を1:2の重量
比で混合した溶液中にウェハーを浸透させて、有機シリ
コン膜を酸化処理した。有機シリコン膜の赤外吸収スペ
クトルを測定した結果、実施例47と同様に、酸化処理
によりシロキサン結合が生成していることを確認した。
また、酸化処理による有機シリコン膜パターンの寸法変
動量を測定した結果、実施例47と同様に、酸化処理に
より寸法はほとんど変化していないことを確認した。
Next, the organic silicon film was oxidized by permeating the wafer into a solution in which sulfuric acid and aqueous hydrogen peroxide were mixed at a weight ratio of 1: 2. As a result of measuring the infrared absorption spectrum of the organic silicon film, it was confirmed that a siloxane bond was generated by the oxidation treatment as in Example 47.
Also, as a result of measuring the amount of dimensional change of the organic silicon film pattern due to the oxidation treatment, it was confirmed that the dimensions were hardly changed by the oxidation treatment as in Example 47.

【0587】次に、酸化処理した有機シリコン膜をエッ
チングマスクとして用いて、実施例47と同様にしてS
iN膜をエッチングしたところ、異方性よく、SiN膜
をエッチングすることができた。
Next, using the oxidized organic silicon film as an etching mask, S
When the iN film was etched, the SiN film could be etched with good anisotropy.

【0588】実施例49 本実施例は、酸化処理のための方法として、紫外光を照
射した例である。
Embodiment 49 This embodiment is an example in which ultraviolet light is irradiated as a method for the oxidation treatment.

【0589】実施例47と同様にして、SiN膜上に
(H1)〜(H6)の有機シリコン膜を形成し、有機シ
リコン膜上にレジストパターンを形成した。そして、レ
ジストパターンをエッチングマスクとして用いて、有機
シリコン膜を実施例47と同様の条件でエッチングし
た。
In the same manner as in Example 47, organic silicon films (H1) to (H6) were formed on the SiN film, and a resist pattern was formed on the organic silicon film. Then, the organic silicon film was etched under the same conditions as in Example 47 using the resist pattern as an etching mask.

【0590】次に、高圧水銀灯を露光量1W/cm2
照射して、有機シリコン膜を酸化処理した(図12
(f))。赤外吸収スペクトルを測定した結果、実施例
47に示すように、酸化処理によりシロキサン結合が生
成しているのを確認した。また、酸化処理による有機シ
リコン膜パターンの寸法変動量を測定した結果、実施例
47と同様にほとんど変化していないことを確認した。
Next, the organic silicon film was oxidized by irradiating it with a high-pressure mercury lamp at an exposure amount of 1 W / cm 2 (FIG. 12).
(F)). As a result of measuring an infrared absorption spectrum, as shown in Example 47, it was confirmed that a siloxane bond was generated by the oxidation treatment. Further, as a result of measuring the amount of dimensional change of the organic silicon film pattern due to the oxidation treatment, it was confirmed that there was almost no change as in Example 47.

【0591】次に、レジストパターンおよび酸化処理し
た有機シリコン膜をエッチングマスクとして用いて実施
例47と同様にしてSiN膜をエッチングしたところ、
異方性よくSiN膜をエッチングすることができた(図
12(g))。
Next, the SiN film was etched in the same manner as in Example 47 using the resist pattern and the oxidized organic silicon film as an etching mask.
The SiN film could be etched with good anisotropy (FIG. 12 (g)).

【0592】実施例50 本実施例は、被加工膜としてバリヤメタルと金属膜との
積層からなる配線層を用いた例である。
Embodiment 50 This embodiment is an example in which a wiring layer composed of a laminate of a barrier metal and a metal film is used as a film to be processed.

【0593】シリコンウェハー上に膜厚300nmのS
iO2 膜をLPCVD法で形成した。そして、SiO2
膜上に膜厚50nmのW膜、膜厚300nmのAlSi
膜、膜厚50nmのW膜をスパッター法により成膜し、
配線層を形成した。そして、実施例47と同様にして、
SiN膜上に(H1)〜(H6)の有機シリコン膜を形
成し、有機シリコン膜上にレジストパターンを形成し
た。そして、レジストパターンをエッチングマスクとし
て用いて、有機シリコン膜を実施例47と同様の条件で
エッチングした。
[0593] A 300 nm thick S
An iO 2 film was formed by the LPCVD method. And SiO 2
50 nm thick W film, 300 nm thick AlSi on the film
A W film having a thickness of 50 nm is formed by a sputtering method,
A wiring layer was formed. Then, similarly to the embodiment 47,
Organic silicon films (H1) to (H6) were formed on the SiN film, and a resist pattern was formed on the organic silicon film. Then, the organic silicon film was etched under the same conditions as in Example 47 using the resist pattern as an etching mask.

【0594】次に、実施例47と同様にして、有機シリ
コン膜を酸化処理した。さらに、酸化処理した有機シリ
コン膜をエッチングマスクとして用いて、配線層をエッ
チングした。エッチング装置にはICP型RIE装置を
用い、ソースガスとして流量90SCCMのCl2 、流
量10SCCMのBCl3 を用い、真空度12mTor
r、ICPパワー500W、バイアスパワー250W、
基板温度30℃の条件でエッチングを行い、エッチング
時間はプラズマからの発光による終点に対して50%の
オバーエッチングになるように設定した。
Next, in the same manner as in Example 47, the organic silicon film was oxidized. Further, the wiring layer was etched using the oxidized organic silicon film as an etching mask. An ICP type RIE apparatus was used as an etching apparatus, Cl 2 at a flow rate of 90 SCCM and BCl 3 at a flow rate of 10 SCCM were used as source gases, and the degree of vacuum was 12 mTorr.
r, ICP power 500W, bias power 250W,
Etching was performed under the condition of a substrate temperature of 30 ° C., and the etching time was set so as to be 50% over etching with respect to the end point due to light emission from plasma.

【0595】加工形状を走査型電子顕微鏡で観察したと
ころ、異方性よく有機シリコン膜をエッチングすること
ができた。AlSi膜のエッチングを途中で止めて、酸
化処理した有機シリコン膜とAlSi膜とのエッチング
選択比(=AlSi膜のエッチングレート/酸化処理し
た有機シリコン膜のエッチングレート)を算出した結果
を表16に示す。表16から、何れも選択比は10以上
とれており、AlSi膜をエッチングするためのマスク
材として作用するのに十分な値が得られていることがわ
かる。
When the processed shape was observed with a scanning electron microscope, the organic silicon film could be etched with good anisotropy. Table 16 shows the results of calculating the etching selectivity between the oxidized organic silicon film and the AlSi film (= the etching rate of the AlSi film / the etching rate of the oxidized organic silicon film) by stopping the etching of the AlSi film halfway. Show. From Table 16, it can be seen that the selectivity is 10 or more in each case, and a value sufficient to act as a mask material for etching the AlSi film is obtained.

【0596】比較例14 実施例50において、有機シリコン膜のエッチング後、
酸化処理を行わないでAlSi膜のエッチングを行った
ところ、AlSi膜のエッチング途中でレジストパター
ンと有機シリコン膜が削れてなくなり、AlSi膜をエ
ッチングすることができなかった。
Comparative Example 14 In Example 50, after etching the organic silicon film,
When the AlSi film was etched without performing the oxidation treatment, the resist pattern and the organic silicon film were not removed during the etching of the AlSi film, and the AlSi film could not be etched.

【0597】比較例15 比較例12と同様の手法で、実施例50の配線層のエッ
チング条件でのSiO2 膜とAlSi膜のエッチング選
択比(=AlSi膜のエッチングレート/酸化処理した
有機シリコン膜のエッチングレート)を調べた結果、選
択比は13.0あることが分かった。この結果から、本
発明による酸化処理した有機シリコン膜は、配線層のエ
ッチングに適したプラズマ中でもSiO2 膜と同程度に
エッチング耐性があることが分かる。
Comparative Example 15 By the same method as in Comparative Example 12, the etching selectivity of the SiO 2 film and the AlSi film under the etching condition of the wiring layer of Example 50 (= etching rate of AlSi film / organic silicon film subjected to oxidation treatment) As a result, the selectivity was found to be 13.0. From this result, it can be seen that the oxidized organic silicon film according to the present invention has the same level of etching resistance as the SiO 2 film even in plasma suitable for etching the wiring layer.

【0598】実施例51 本実施例では、シリコンを本発明による方法で加工した
場合を示す。
Embodiment 51 This embodiment shows a case where silicon is processed by the method according to the present invention.

【0599】シリコンウェハー上に実施例47の(H
1)〜(H6)の方法で、膜厚500nmの有機シリコ
ン膜を形成した。次いで、実施例47で調製したレジス
ト溶液を有機シリコン膜上に形成し、110℃で90秒
間の加熱を行った。加熱後のレジストの膜厚は300n
mである。続いて、KrFエキシマレーザーを光源とす
る縮小光学型ステッパー(NA=0.5、σ=0.5)
を用いてパターン露光を行った後、110℃で90秒間
のポストエクスポージャーベークを行った。そして、
0.21規定のTMAH現像液を用いて現像処理を行っ
て、直径0.18μmのコンタクトホールパターンを形
成した。
The (H) of Example 47 was placed on a silicon wafer.
An organic silicon film having a thickness of 500 nm was formed by the methods 1) to (H6). Next, the resist solution prepared in Example 47 was formed on the organic silicon film, and heated at 110 ° C. for 90 seconds. Resist thickness after heating is 300n
m. Subsequently, a reduction optical stepper using a KrF excimer laser as a light source (NA = 0.5, σ = 0.5)
After performing pattern exposure using, a post-exposure bake was performed at 110 ° C. for 90 seconds. And
The contact hole pattern having a diameter of 0.18 μm was formed by performing development processing using a 0.21 N TMAH developer.

【0600】次に、レジストパターンをエッチングマス
クとして用いて、有機シリコン膜を実施例47と同様の
条件でエッチングした後、実施例47と同様にして有機
シリコン膜を酸化処理した。
Next, the organic silicon film was etched under the same conditions as in Example 47 using the resist pattern as an etching mask, and the organic silicon film was oxidized in the same manner as in Example 47.

【0601】その後、酸化処理した有機シリコン膜をエ
ッチングマスクとして用いて、シリコンをエッチングし
た。エッチング装置にはマグネトロン型RIE装置を用
い、ソースガスとして流量200SCCMのCl2 を用
い、真空度12mTorr、ICPパワー500W、バ
イアスパワー250W、基板温度30℃の条件でエッチ
ングを行い、深さ2000nmのトレンチを形成した。
After that, silicon was etched using the oxidized organic silicon film as an etching mask. Using a magnetron-type RIE apparatus as an etching apparatus, using Cl 2 at a flow rate of 200 SCCM as a source gas, performing etching under the conditions of a vacuum degree of 12 mTorr, an ICP power of 500 W, a bias power of 250 W, and a substrate temperature of 30 ° C., and a trench of 2000 nm in depth Was formed.

【0602】加工形状を走査型電子顕微鏡で観察したと
ころ、異方性よく有機シリコン膜をエッチングすること
ができた。シリコンのエッチングを途中で止めて、酸化
処理した有機シリコン膜とシリコンとのエッチング選択
比(=シリコンのエッチングレート/酸化処理した有機
シリコン膜のエッチングレート)を算出した結果を表1
7に示す。表17から、何れも選択比は6以上とれてお
り、シリコンをエッチングするためのマスク材として十
分な耐性があることが分かる。
When the processed shape was observed with a scanning electron microscope, the organic silicon film could be etched with good anisotropy. Table 1 shows the results of calculating the etching selectivity between the oxidized organic silicon film and silicon (= etching rate of silicon / etching rate of oxidized organic silicon film) by stopping the etching of silicon halfway.
FIG. From Table 17, it can be seen that all have a selectivity of 6 or more, and have sufficient resistance as a mask material for etching silicon.

【0603】比較例16 実施例51において、有機シリコン膜のエッチング後、
酸化処理を行わないでシリコンのエッチングを行ったと
ころ、シリコンのエッチング途中でレジストパターンと
有機シリコン膜が削れてなくなり、所望の深さのトレン
チ構造を得ることができなかった。。
Comparative Example 16 In Example 51, after etching the organic silicon film,
When the silicon was etched without performing the oxidation treatment, the resist pattern and the organic silicon film were not removed during the etching of the silicon, and a trench structure having a desired depth could not be obtained. .

【0604】比較例17 比較例12と同様の手法で、実施例51の配線層のエッ
チング条件でのSiO2 膜とシリコンのエッチング選択
比(=シリコンのエッチングレート/酸化処理した有機
シリコン膜のエッチングレート)を調べた結果、SiO
2 膜と同程度にエッチング耐性があることが分かる。
Comparative Example 17 In the same manner as in Comparative Example 12, the etching selectivity between the SiO 2 film and silicon under the etching conditions for the wiring layer in Example 51 (= etching rate of silicon / etching of oxidized organic silicon film) Rate), the result was SiO
It can be seen that the film has the same etching resistance as the two films.

【0605】[0605]

【表25】 [Table 25]

【0606】[0606]

【表26】 [Table 26]

【0607】[0607]

【表27】 以下の実施例52〜59は、有機シリコン膜の剥離に関
するものである。
[Table 27] The following Examples 52 to 59 relate to peeling of the organic silicon film.

【0608】実施例52 まず、第1の工程として、次の(J1)、(J2)、お
よび(J3)の方法で、シリコン有機膜を形成した。
Example 52 First, as a first step, a silicon organic film was formed by the following methods (J1), (J2) and (J3).

【0609】(J1):シリコン基板上の厚さ500nm の
SiO2 膜上に、式[1−84]に示す重量平均分子量
12,000の有機シリコン化合物10gをアニソール
90gに溶解して作成した溶液材料をスピンコーテング
法により塗布した。次いで、ホットプレートを用いて1
60℃で90秒間加熱して溶剤を気化乾燥させて、膜厚
0.1ミクロンの有機シリコン膜を形成した。
(J1): A solution prepared by dissolving 10 g of an organic silicon compound having a weight average molecular weight of 12,000 represented by the formula [1-84] in 90 g of anisole on a SiO 2 film having a thickness of 500 nm on a silicon substrate. The material was applied by a spin coating method. Then, using a hot plate,
The solvent was vaporized and dried by heating at 60 ° C. for 90 seconds to form an organic silicon film having a thickness of 0.1 μm.

【0610】(J2):図14(a)に示すように、シ
リコン基板51上に厚さ300nm のSiO2 膜52をスパ
ッター法で形成した。そして、SiO2 膜52上に、Ti
N/Ti/0.5%Cu-Al/Ti/TiN (膜厚は400A/50A/2300A/100A/
200A)からなる金属配線層53を形成した。次に、図1
4(b)に示すように、前記金属配線層53上に、式
[1−66]に示す重量平均分子量12、000の有機
シリコン化合物10gをアニソール90gに溶解して作
成した溶液材料をスピンコーテング法により塗布した。
次いで、ホットプレートを用いて160℃で90秒間加
熱して溶剤を気化乾燥させて、膜厚0.1ミクロンの有
機シリコン膜54を形成した。
(J2): As shown in FIG. 14A, an SiO 2 film 52 having a thickness of 300 nm was formed on a silicon substrate 51 by a sputtering method. Then, on the SiO 2 film 52, Ti
N / Ti / 0.5% Cu-Al / Ti / TiN (Film thickness 400A / 50A / 2300A / 100A /
200A) was formed. Next, FIG.
As shown in FIG. 4 (b), a solution material prepared by dissolving 10 g of an organosilicon compound having a weight average molecular weight of 12,000 represented by the formula [1-66] in 90 g of anisole was spin-coated on the metal wiring layer 53. It was applied by a method.
Next, the solvent was vaporized and dried at 160 ° C. for 90 seconds using a hot plate to form an organic silicon film 54 having a thickness of 0.1 μm.

【0611】(J3):シリコン基板上の厚さ500nm の
SiO2 膜上に、厚さ390nmのポリシリコン膜を形
成した。前記ポリシリコン膜上に、式[1−84]に示
す重量平均分子量12、000の有機シリコン化合物1
0gをアニソール90gに溶解して作成した溶液材料を
スピンコーテング法により塗布した。次いで、ホットプ
レートを用いて160℃で90秒間加熱して溶剤を気化
乾燥させて、膜厚0.1ミクロンの有機シリコン膜を形
成した。
(J3): A 390 nm thick polysilicon film was formed on a 500 nm thick SiO 2 film on a silicon substrate. An organic silicon compound 1 having a weight average molecular weight of 12,000 represented by the formula [1-84] is formed on the polysilicon film.
A solution material prepared by dissolving 0 g in 90 g of anisole was applied by a spin coating method. Next, the solvent was vaporized and dried by heating at 160 ° C. for 90 seconds using a hot plate to form an organic silicon film having a thickness of 0.1 μm.

【0612】(J1)〜(J3)で形成した有機シリコ
ン膜のガラス転移温度は132℃である。
The glass transition temperature of the organic silicon film formed in (J1) to (J3) is 132 ° C.

【0613】なお、図14(a)、14(b)Bは、上
記の態様(J2)の場合、すなわち金属配線層上に有機
シリコン膜がある場合を示しているが、(J1)或いは
(J3)の場合も、SiO2 上に直接有機シリコン膜が
ある以外は、同様である。
FIGS. 14 (a) and 14 (b) B show the case of the above embodiment (J2), that is, the case where there is an organic silicon film on the metal wiring layer. The case of J3) is the same except that an organic silicon film is directly provided on SiO 2 .

【0614】次いで、第2の工程として、上記の有機シ
リコン膜54上に、化学増幅型レジストAPEX−E
(シップレー社製)を1ミクロンの厚さに回転塗布し、
ホットプレートで100℃で90秒間ベークした(図1
4(c))。その後、KrF エキシマステッパを用いて上
記レジスト55の露光を行い、ホットプレートで100
℃で90秒間ベークした後、0.21規定のTMAHで60
秒間の現像を行って、上記レジストのパターン55を形
成した(図14(d))。
Next, as a second step, a chemically amplified resist APEX-E is formed on the organic silicon film 54.
(Shipley) is spin-coated to a thickness of 1 micron,
Baking at 100 ° C. for 90 seconds on a hot plate (FIG. 1)
4 (c)). Thereafter, the resist 55 is exposed using a KrF excimer stepper, and is exposed on a hot plate for 100 hours.
After baking at 90 ° C for 90 seconds,
The development was performed for 2 seconds to form the resist pattern 55 (FIG. 14D).

【0615】次に、第3の工程として、マグネトロン型
反応性イオンエッチング装置を用いて、流量100sc
cmのCl2 、励起電力100W、真空度20mTor
r、基板温度50℃の条件で、上記有機シリコン膜53
のエッチングを行い、上記有機シリコン膜のパターン5
3を形成した。
Next, as a third step, using a magnetron type reactive ion etching apparatus, a flow rate of 100 sc
cm Cl 2 , excitation power 100 W, vacuum degree 20 mTorr
r, at a substrate temperature of 50 ° C., the organic silicon film 53
Is etched to form the organic silicon film pattern 5
3 was formed.

【0616】その後、第4の工程として、被加工膜のエ
ッチングとパターン形成を行った。まず(J1)につい
ては、マグネトロン型反応性イオンエッチング装置を用
いて、O2 /CF4 =100/20sccm、励起電力
500W、真空度10mTorr、基板温度30℃の条
件で、上記SiO2 膜のエッチングを行い、上記SiO
2 膜のパターンを形成した。(J2)については、IC
P型反応性イオンエッチング装置を用いて、Inductive
Power/Bias Power=400/180W,Cl2/BCl3/N2=50/60/5SCCM,
圧力 12mTorrで金属配線層53のエッチングを行った
(図11E)。(J3)については、マグネトロン型反
応性イオンエッチング装置を用いて、HBr=100S
CCM、圧力30mTorrの条件でポリシリコン層の
エッチングを行った。
Thereafter, as a fourth step, the film to be processed was etched and a pattern was formed. First, regarding (J1), the SiO 2 film was etched using a magnetron-type reactive ion etching apparatus under the conditions of O 2 / CF 4 = 100/20 sccm, excitation power of 500 W, vacuum degree of 10 mTorr, and substrate temperature of 30 ° C. And the above SiO
Two film patterns were formed. About (J2), IC
Using a P-type reactive ion etching system, Inductive
Power / Bias Power = 400 / 180W, Cl2 / BCl3 / N2 = 50/60 / 5SCCM,
The metal wiring layer 53 was etched at a pressure of 12 mTorr (FIG. 11E). About (J3), HBr = 100S using a magnetron type reactive ion etching apparatus.
The polysilicon layer was etched under the conditions of CCM and a pressure of 30 mTorr.

【0617】次に、第5の工程として、次の方法で、
(J1)〜(J3)の化学増幅型レジストと有機シリコ
ン膜の剥離を行った(図1F)。剥離にはダウンフロー
プラズマ装置を用い、ガスにはO2 +CF4 を用いた。
基板温度、ガス流量は下記表18に示すように変化させ
た。プラズマ励起電力は200W、圧力は0.1Tor
rとしている。
Next, as a fifth step, the following method is used.
The chemically amplified resists (J1) to (J3) were separated from the organic silicon film (FIG. 1F). A down-flow plasma apparatus was used for peeling, and O 2 + CF 4 was used for gas.
The substrate temperature and gas flow rate were changed as shown in Table 18 below. Plasma excitation power is 200 W, pressure is 0.1 Torr
r.

【0618】[0618]

【表28】 下記表19に、レジストと有機シリコン膜とを残渣無く
剥離できたかどうかを調べた結果を示す。表中、○が残
渣がないもの、×が残渣があるものである。CF4 の割
合が0のときは、どの基板温度でも残渣が残ってしま
う。CF4 の割合を0.2%とした場合は、基板温度が
80℃、160℃のときは良好な剥離性を示した。CF
4 の割合が0.5%以上のときには、どの基板温度でも
剥離性は良好であった。また、剥離後、断面SEM観察
を行って下地と有機シリコン膜のミキシング層があるか
どうか調べたが、表20で残渣がないものについてはす
べて、ミキシング層は見られなかった。
[Table 28] Table 19 below shows the results of investigations as to whether or not the resist and the organic silicon film could be stripped without residues. In the table, ○ indicates that there is no residue, and X indicates that there is a residue. When the ratio of CF 4 is 0, a residue remains at any substrate temperature. When the ratio of CF 4 was set to 0.2%, when the substrate temperature was 80 ° C. and 160 ° C., good peelability was exhibited. CF
When the ratio of 4 was 0.5% or more, the peelability was good at any substrate temperature. Further, after peeling, a cross-sectional SEM observation was performed to examine whether or not there was a mixing layer of the base and the organic silicon film. In Table 20, all of those having no residue showed no mixing layer.

【0619】[0619]

【表29】 [Table 29]

【0620】[0620]

【表30】 上記表19で、剥離性が良好であったものについて、有
機シリコン膜を剥離したときの、被加工膜((J1)の
場合は下地のSiO2 、(J2)の場合は下地の金属配
線膜、(J3)の場合はポリシリコン膜)の削れ量を上
記表20に示す。上記表20から、殆どの場合、削れ量
は1nm以下(測定不可能)で、下地にダメージをほと
んど与えることがないことがわかる。
[Table 30] In Table 19, when the organic silicon film was peeled off, the film to be processed ((J1) was SiO 2 as a base and (J2) was a metal wiring film as a base) when the organic silicon film was peeled. , (J3), the polysilicon film is shown in Table 20 above. From Table 20 above, it can be seen that in most cases, the shaving amount is 1 nm or less (measurable), and the base is hardly damaged.

【0621】次に、比較例として、以下の実験を行っ
た。まず、第1の工程として次の(K1)、(K2)、
および(K3)の方法で、シリコン有機膜を形成した。
Next, the following experiment was performed as a comparative example. First, as the first step, the following (K1), (K2),
And (K3), a silicon organic film was formed.

【0622】(K1):(J1)と同様にして、シリコ
ン基板上の厚さ500nm のSiO2 膜上に有機シリコン膜
を形成した。
(K1): An organic silicon film was formed on a 500 nm-thick SiO 2 film on a silicon substrate in the same manner as in (J1).

【0623】(K2):(J2)と同様にして、シリコ
ン基板上の厚さ300nm のSiO2 膜上にTiN/Ti/0.5%Cu-
Al/Ti/TiN からなる金属配線層を形成し、さらに、金属
配線層上に0.1ミクロンの有機シリコン膜を形成し
た。
(K2): In the same manner as in (J2), a TiN / Ti / 0.5% Cu— film was formed on a SiO 2 film having a thickness of 300 nm on a silicon substrate.
A metal wiring layer made of Al / Ti / TiN was formed, and a 0.1-micron organic silicon film was formed on the metal wiring layer.

【0624】(K3):(J3)と同様にして、シリコ
ン基板上の厚さ500nm のSiO2 膜上にポリシリコン膜
を形成し、さらに、ポリシリコン層上に有機シリコン膜
を形成した。
(K3): A polysilicon film was formed on a 500-nm-thick SiO 2 film on a silicon substrate in the same manner as in (J3), and an organic silicon film was formed on the polysilicon layer.

【0625】第2の工程として、(K1)〜(K3)に
ついて、それぞれ(J1)〜(J3)の場合と同じ方法
で、有機シリコン膜上にレジストパターンを形成した。
As a second step, for (K1) to (K3), a resist pattern was formed on the organic silicon film in the same manner as in (J1) to (J3).

【0626】第3の工程として、マグネトロン型反応性
イオンエッチング装置を用いて、CF4 =200scc
m、励起電力100W、真空度20mTorr、基板温
度50℃の条件で、上記有機シリコン膜のエッチングを
行い、上記有機シリコン膜のパターンを形成した。
As a third step, CF 4 = 200 scc using a magnetron type reactive ion etching apparatus.
The organic silicon film was etched under the conditions of m, excitation power of 100 W, degree of vacuum of 20 mTorr, and substrate temperature of 50 ° C. to form a pattern of the organic silicon film.

【0627】第4の工程として、被加工膜のエッチング
とパターン形成を行った。方法は(K1)については
(J1)、(K2)については(J2)、(K3)につ
いては(J3)と同様である。
As a fourth step, a film to be processed was etched and a pattern was formed. The method is the same as (J1) for (K1), (J2) for (K2), and (J3) for (K3).

【0628】上記表20に、レジストと有機シリコン膜
とを残渣無く剥離できたかどうかを調べた結果を示す。
この例では、有機シリコン膜のエッチング時におけるエ
ッチングガスがCF4 のみであったため、どの基板温度
でも残渣が残ってしまったことがわかる。
[0628] Table 20 shows the results obtained by examining whether the resist and the organic silicon film could be peeled off without residue.
In this example, since the etching gas at the time of etching the organic silicon film was only CF 4 , it can be seen that a residue remained at any substrate temperature.

【0629】次に、第2の比較例として、有機シリコン
膜に直接、紫外光を照射してパターニングを行った場合
について説明する。まず、次の(L1)、(L2)、お
よび(L3)の方法で、シリコン有機膜を形成した。
Next, as a second comparative example, a case where patterning is performed by directly irradiating an organic silicon film with ultraviolet light will be described. First, a silicon organic film was formed by the following methods (L1), (L2), and (L3).

【0630】(L1):(J1)と同様にして、シリコ
ン基板61上のSiO2 62膜上に有機シリコン膜63
を形成した(図15A,15B)。
(L1): An organic silicon film 63 is formed on the SiO 2 62 film on the silicon substrate 61 in the same manner as in (J1).
Was formed (FIGS. 15A and 15B).

【0631】(L2):(J2)と同様にしてSiO2
膜上の配線層に有機シリコン膜を形成した。
(L2): SiO 2 in the same manner as (J2)
An organic silicon film was formed on the wiring layer on the film.

【0632】(L3):(J3)と同様にしてSiO2
膜上のポリシリコン膜上に有機シリコン膜を形成した。
(L3): SiO 2 in the same manner as (J3)
An organic silicon film was formed on the polysilicon film on the film.

【0633】次に、(L1)、(L2)および(L3)
の有機シリコン膜63にArFエキシマレーザーを照射
してパターン露光を行い、露光部分63aを酸化させた
(図15C)。次いで、マグネトロン型反応性イオンエ
ッチング装置を用いて、未露光部分をエッチングして、
0.18μmパターンを形成した。エッチング条件はC
2 =200SCCM,励起電力100W、真空度20
mTorr、基板温度50℃の条件で行った。
Next, (L1), (L2) and (L3)
The organic silicon film 63 was irradiated with ArF excimer laser to perform pattern exposure to oxidize the exposed portion 63a (FIG. 15C). Next, using a magnetron-type reactive ion etching apparatus, the unexposed portion is etched,
A 0.18 μm pattern was formed. Etching condition is C
l 2 = 200 SCCM, excitation power 100 W, degree of vacuum 20
The test was performed under the conditions of mTorr and a substrate temperature of 50 ° C.

【0634】そして、露光されて酸化された部分63a
をエッチングマスクとして用いて、SiO2 膜、配線層
およびポリシリコン膜をそれそれエッチングした。エッ
チング方法は実施例52の第4の工程と同様である。な
お、図15(d)では、SiO2 膜をエッチングした場
合を示す。
Then, the exposed and oxidized portion 63a
Was used as an etching mask to etch the SiO 2 film, the wiring layer, and the polysilicon film, respectively. The etching method is the same as in the fourth step of the embodiment 52. FIG. 15D shows a case where the SiO 2 film is etched.

【0635】さらに、実施例52の第5の工程と同様に
して、有機シリコン膜を剥離した(図15(e))。剥
離方法は、実施例52の第5の工程と同様である。上記
表20に、レジストと有機シリコン膜が残渣なく剥離で
きたかどうか調べた結果について示す。この例では、レ
ジストパターンをエッチングマスクに有機シリコン膜を
エッチングしなかったため、どの基板温度でも残渣が残
ってしまった。この実験から、レジストパターンをエッ
チングマスクとして有機シリコン膜をエッチングした場
合の方が剥離しやすくなっていることが分かる。
Further, the organic silicon film was peeled off in the same manner as in the fifth step of Example 52 (FIG. 15E). The peeling method is the same as in the fifth step of Example 52. Table 20 above shows the results of examination as to whether the resist and the organic silicon film could be peeled off without residue. In this example, since the organic silicon film was not etched using the resist pattern as an etching mask, a residue remained at any substrate temperature. From this experiment, it can be seen that peeling is easier when the organic silicon film is etched using the resist pattern as an etching mask.

【0636】なお、実施例52において、でマグネトロ
ン型エッチング装置を用い、Cl2の代わりにHBr、
つまりHBr=100SCCM、励起電力150W、真
空度20mTorr、基板温度60℃の条件でエッチン
グを行ったところ、実施例5247と同様の結果を得
た。
In Example 52, a magnetron type etching apparatus was used, and instead of Cl 2, HBr was used.
That is, when etching was performed under the conditions of HBr = 100 SCCM, excitation power of 150 W, vacuum degree of 20 mTorr, and substrate temperature of 60 ° C., the same result as that of Example 5247 was obtained.

【0637】実施例53 まず、第1の工程として、実施例52の(J1)〜(J
3)、(K1)〜(K3)と同様の方法で、シリコン有
機膜を形成した。次に、第2、3、および4の工程で、
実施例52と同様の方法で、SiO2 膜、金属配線層、
或いはポリシリコン膜を加工した。
Embodiment 53 First, as a first step, (J1) to (J1) of Embodiment 52
3) A silicon organic film was formed in the same manner as in (K1) to (K3). Next, in the second, third, and fourth steps,
In the same manner as in Example 52, an SiO 2 film, a metal wiring layer,
Alternatively, a polysilicon film was processed.

【0638】次に、第5の工程として、次の方法で、化
学増幅型レジストと(J1)〜(J3)の有機シリコン
膜の剥離を行った。剥離には、ダウンフロープラズマ装
置を用い、ガスにはO2 +SF6 、あるいはO2 +NF
3 を用いた。O2 流量は、500SCCMと固定し、基
板温度、SF6 やNF3 の流量は、下記表21のように
変化させた。プラズマ励起電力は200W、圧力は0.
1Torrとしている。
Next, as a fifth step, the chemically amplified resist and the organic silicon films (J1) to (J3) were separated by the following method. For separation, a down-flow plasma apparatus is used, and gas is O 2 + SF 6 or O 2 + NF.
3 was used. The O 2 flow rate was fixed at 500 SCCM, and the substrate temperature and the flow rates of SF 6 and NF 3 were changed as shown in Table 21 below. The plasma excitation power is 200 W and the pressure is 0.
1 Torr.

【0639】[0639]

【表31】 下記表22に、レジストと有機シリコン膜とを残渣無く
剥離できたかどうかを調べた結果を示す。剥離後、断面
SEM観察を行って下地と有機シリコン膜のミキシング
層があるかどうか調べたとこお、表22で残渣がないも
のについてはすべて、ミキシング層は見られなかった。
[Table 31] Table 22 below shows the results of an investigation as to whether or not the resist and the organic silicon film could be peeled off without residue. After the peeling, a cross-sectional SEM observation was performed to examine whether or not there was a mixing layer of the base and the organic silicon film. As a result, no mixing layer was observed in any of Table 22 having no residue.

【0640】[0640]

【表32】 上記で、剥離性が良好であったものについて、有機シリ
コン膜を剥離したときの、被加工膜((J1)の場合は
下地のSiO2 、(J2)の場合は下地の金属配線膜、
(AJ)の場合は下地のポリシリコン膜)の削れ量を下
記表23に示す。下記表23から、ほとんどの場合、削
れ量は1nm以下(測定不可能)で、下地にダメージを
ほとんど与えることがないことがわかる。
[Table 32] As described above, when the organic silicon film was peeled off, the film to be processed ((J1) was SiO 2 as a base, (J2) was a metal wiring film as a base,
Table 23 below shows the shaved amount of the underlying polysilicon film in the case of (AJ). From Table 23 below, it can be seen that in most cases, the shaved amount is 1 nm or less (measurable) and hardly damages the base.

【0641】[0641]

【表33】 実施例54 まず、第1の工程として、実施例52の(J1)〜(J
3)、(K1)〜(K3)と同様の方法で、シリコン有
機膜を形成した。次に、第2、3、および4の工程で、
実施例52と同様な方法で、SiO2 膜、金属配線層、
或いはポリシリコン膜を加工した。
[Table 33] Example 54 First, as a first step, (J1) to (J1) of Example 52 were used.
3) A silicon organic film was formed in the same manner as in (K1) to (K3). Next, in the second, third, and fourth steps,
In the same manner as in Example 52, an SiO 2 film, a metal wiring layer,
Alternatively, a polysilicon film was processed.

【0642】次に、第5の工程として、次の方法で、化
学増幅型レジストと(J1)〜(J3)の有機シリコン
膜の剥離を行った。まず、ダウンフロープラズマ装置に
よってO2 ガスで基板温度80℃、プラズマ励起電力は
200W、圧力は0.1Torrで、1分間処理した。
次に、室温で、HFまたはNH4 Fを含む溶媒中に浸漬
させた。溶媒中のHFまたはNH4 Fの割合を下記表2
4に示す。また、下記表25に、レジストと有機シリコ
ン膜とを残渣無く剥離できたかどうかを調べた結果を示
す。
Next, as a fifth step, the chemically amplified resist and the organic silicon films (J1) to (J3) were separated by the following method. First, processing was performed for 1 minute at a substrate temperature of 80 ° C., a plasma excitation power of 200 W, and a pressure of 0.1 Torr by an O 2 gas using a downflow plasma apparatus.
Next, it was immersed in a solvent containing HF or NH 4 F at room temperature. Table 2 below shows the ratio of HF or NH 4 F in the solvent.
It is shown in FIG. In addition, Table 25 below shows the results obtained by examining whether the resist and the organic silicon film could be peeled off without residue.

【0643】[0643]

【表34】 上記表25から、次のことがわかる。まず、(J1)〜
(J3)の場合、O2プラズマのみによる剥離では、実
施例52に示すように、どの基板温度でも残渣が残って
しまうのに対して、CF4 の割合を0.2%とした場合
は、基板温度が30℃、160℃のときは良好な剥離性
を示した。CF4 の割合が0.5%以上のときには、ど
の基板温度でも剥離性は良好であった。
[Table 34] The following can be seen from Table 25 above. First, (J1) ~
For (J3), with the only by peeling O 2 plasma, as shown in Example 52, whereas what leaves a residue in the substrate temperature, if the ratio of CF 4 and 0.2%, When the substrate temperature was 30 ° C. or 160 ° C., good peelability was exhibited. When the ratio of CF 4 was 0.5% or more, the releasability was good at any substrate temperature.

【0644】次に、(K1)〜(K3)の場合は、有機
シリコン膜のエッチングガスとしてCF4 を用いたこと
で、剥離がスムーズに進まず、剥離後に残渣を生じてし
まった。また、剥離後、断面SEM観察を行って下地と
有機シリコン膜のミキシング層があるかどうか調べた
が、表26で残渣がないものについてはすべて、ミキシ
ング層は見られなかった。
Next, in the cases of (K1) to (K3), since CF 4 was used as the etching gas for the organic silicon film, the separation did not proceed smoothly, and residues were generated after the separation. Further, after peeling, a cross-sectional SEM observation was performed to examine whether there was a mixing layer of the underlayer and the organic silicon film. In Table 26, no mixing layer was found for any of the samples having no residue.

【0645】上の例で、剥離性が良好であったものにつ
いて、有機シリコン膜を剥離したときの、被加工膜
((J1)の場合は下地のSiO2 、(J2)の場合は
下地の金属配線膜、(A3)の場合は下地のポリシリコ
ン膜)の削れ量を下記表26に示す。表26から、ほと
んどの場合、削れ量は1nm以下(測定不可能)で、下
地にダメージをほとんど与えることがないことがわか
る。
In the above example, when the organic silicon film was peeled off, the film to be processed ((J1) was SiO 2 as the base, and (J2) was the base) when the organic silicon film was peeled off. Table 26 below shows the shaved amounts of the metal wiring film and the underlying polysilicon film in the case of (A3). From Table 26, it can be seen that in most cases, the shaving amount is 1 nm or less (measurable), and the base is hardly damaged.

【0646】[0646]

【表35】 実施例55 まず、第1の工程として、実施例52の(J1)〜(J
3)、(K1)〜(K3)と同様の方法で、シリコン有
機膜を形成した。次に、第2、3、および4の工程で、
実施例52と同様な方法で、SiO2 膜、金属配線層、
或いはポリシリコン膜を加工した。
[Table 35] Example 55 First, as a first step, (J1) to (J1) of Example 52 were used.
3) A silicon organic film was formed in the same manner as in (K1) to (K3). Next, in the second, third, and fourth steps,
In the same manner as in Example 52, an SiO 2 film, a metal wiring layer,
Alternatively, a polysilicon film was processed.

【0647】次に、第5の工程として、次の方法で、
(J1)〜(J3)のレジストと有機シリコン膜の剥離
を行った。まず室温で、硫酸と過酸化水素水を含む溶液
に10分間浸漬させた後、室温で、HFまたはNH4
を含む溶媒中に浸漬させた。溶液の配合比を下記表27
に示す。また、下記表28に、レジストと有機シリコン
膜とを残渣無く剥離できたかどうかを調べた結果を示
す。
Next, as a fifth step, the following method is used.
The resists (J1) to (J3) and the organic silicon film were peeled off. First, it is immersed in a solution containing sulfuric acid and hydrogen peroxide solution for 10 minutes at room temperature, and then HF or NH 4 F
Was immersed in a solvent containing The mixing ratio of the solution is shown in Table 27 below.
Shown in Table 28 below shows the results of investigations as to whether or not the resist and the organic silicon film could be peeled off without residue.

【0648】[0648]

【表36】 次に、(K1)〜(K3)の場合には、有機シリコン膜
のエッチングガスとしてCF4 を用いたことで剥離がス
ムーズに進まず、剥離後に残渣を生じてしまった。ま
た、剥離後、断面SEM観察を行って下地と有機シリコ
ン膜のミキシング層があるかどうか調べたが、上記表2
6で残渣がないものについてはすべて、ミキシング層は
見られなかった。
[Table 36] Then, in the case of (K1) ~ (K3), the release by using CF 4 as the etching gas of the organic silicon film does not proceed smoothly, it had occurred residue after peeling. Further, after peeling, a cross-sectional SEM observation was performed to determine whether there was a mixing layer between the base and the organic silicon film.
No mixing layer was observed for all of the samples having no residue in No. 6.

【0649】上の例で、剥離性が良好であったものにつ
いて、有機シリコン膜を剥離したときの、被加工膜
((J1)の場合は下地のSiO2 、(J2)の場合は
下地の金属配線膜、(J3)の場合はポリシリコン膜)
の削れ量を下記表29に示す。表30から、ほとんどの
場合、削れ量は1nm以下(測定不可能)で、下地にダ
メージをほとんど与えることがないことがわかる。
In the above example, when the organic silicon film was peeled off, the film to be processed ((J1) was SiO 2 as the base, and (J2) was the base) when the organic silicon film was peeled off. (Metal wiring film, polysilicon film in case of (J3))
Is shown in Table 29 below. From Table 30, it can be seen that in most cases, the shaving amount is 1 nm or less (measurable), and the base is hardly damaged.

【0650】[0650]

【表37】 実施例56 本実施例では、実施例52で説明した剥離方法により、
材料の構造と剥離特性について調べた場合について示
す。
[Table 37] Example 56 In this example, the peeling method described in Example 52 was used.
The case where the structure and the peeling property of the material are examined will be described.

【0651】まず、実施例52の(J1)の方法でシリ
コン基板上に形成したSiO2 膜上に、以下の(S1)
〜(S12)の方法により、有機シリコン膜を形成し
た。
First, on the SiO 2 film formed on the silicon substrate by the method of (J1) of Example 52, the following (S1)
An organic silicon film was formed by the methods (1) to (S12).

【0652】(S1):式[1−95]に示す重量平均
分子量3、000有機シリコン化合物(n/m=1/
4)10gをアニソール90gに溶解して溶液材料を作
成し、下地基板上にスピンコーテング法により塗布した
後、160℃で90秒間ベーキングした。
(S1): A weight average molecular weight 3,000 organosilicon compound represented by the formula [1-95] (n / m = 1 /
4) A solution material was prepared by dissolving 10 g in 90 g of anisole, applied on a base substrate by a spin coating method, and baked at 160 ° C. for 90 seconds.

【0653】(S2):式[1−95]に示す重量平均
分子量6、000の有機シリコン化合物(n/m=1/
4)10gを用いたことを除いて、(S1)と同様。
(S2): an organosilicon compound having a weight average molecular weight of 6,000 represented by the formula [1-95] (n / m = 1 /
4) Same as (S1) except that 10 g was used.

【0654】(S3):式[1−95]に示す重量平均
分子量40,000を用いたことを除いて、(S1)と
同様。n/m=1/4 (S4):式[1−47]に示す重量平均分子量1、0
00の有機シリコン化合物10gを用いたことを除い
て、(S1)と同様。
(S3): Same as (S1), except that the weight average molecular weight shown in the formula [1-95] was 40,000. n / m = 1/4 (S4): weight average molecular weight 1,0 shown in the formula [1-47]
Same as (S1) except that 10 g of the organosilicon compound of No. 00 was used.

【0655】(S5):式[1−47]に示す重量平均
分子量4、000の有機シリコン化合物10gを用いた
ことを除いて、(S1)と同様。
(S5): Same as (S1) except that 10 g of an organosilicon compound having a weight average molecular weight of 4,000 represented by the formula [1-47] was used.

【0656】(S6):式[1−47]に示す重量平均
分子量12、000の有機シリコン化合物10gを用い
たことを除いて、(S1)と同様。
(S6): Same as (S1) except that 10 g of an organosilicon compound having a weight average molecular weight of 12,000 represented by the formula [1-47] was used.

【0657】(S7):式[1−1]に示す重量平均分
子量3、000の有機シリコン化合物10gを用いたこ
とを除いて、(S1)と同様。
(S7): Same as (S1) except that 10 g of an organosilicon compound having a weight average molecular weight of 3,000 represented by the formula [1-1] was used.

【0658】(S8):式[1−1]に示す重量平均分
子量3、000の有機シリコン化合物10g、式[3−
61]に示す架橋剤1g、ラジカル発生剤としてシリル
ペルオキシド0.1gをアニソール88.9gに溶解し
て作成した溶液をスピンコーテング法で下地基板上に、
下地基板上にスピンコーテング法により塗布した後、窒
素雰囲気(酸素濃度50ppm以下)で160℃で90
秒間ベーキングした。 (S9):式[1−2]に示す重量平均分子量3、00
0の有機シリコン化合物10gをアニソール90gに溶
解して溶液材料を作成し、下地基板上にスピンコーテン
グ法により塗布した後、窒素雰囲気(酸素濃度50pp
m以下)100℃で90秒間ベーキングした。
(S8): 10 g of an organosilicon compound having a weight average molecular weight of 3,000 represented by the formula [1-1] and a compound of the formula [3-
61], and a solution prepared by dissolving 1 g of a crosslinking agent and 0.1 g of a silyl peroxide as a radical generator in 88.9 g of anisole was formed on a base substrate by a spin coating method.
After being applied on the base substrate by spin coating, 90 °
Baking for seconds. (S9): weight average molecular weight of 3,000 represented by the formula [1-2]
A solution material is prepared by dissolving 10 g of an organic silicon compound of No. 0 in 90 g of anisole, and is applied on a base substrate by a spin coating method.
b) at 100 ° C. for 90 seconds.

【0659】(S10):式[1−17]に示す重量平
均分子量12、000の有機シリコン化合物10gを用
いたことを除いて、(S1)と同様。
(S10): Same as (S1) except that 10 g of an organosilicon compound having a weight average molecular weight of 12,000 represented by the formula [1-17] was used.

【0660】(S11):式[1−29]に示す重量平
均分子量8、000の有機シリコン化合物10gを用い
たことを除いて、(S1)と同様。
(S11): Same as (S1) except that 10 g of an organosilicon compound having a weight average molecular weight of 8,000 represented by the formula [1-29] was used.

【0661】(S12):式[1−22]に示す重量平
均分子量8、000の有機シリコン化合物10gを用い
たことを除いて、(S1)と同様。
(S12): Same as (S1) except that 10 g of an organosilicon compound having a weight average molecular weight of 8,000 represented by the formula [1-22] was used.

【0662】(S1)〜(S12)の有機シリコン膜の
ガラス転移温度を測定した結果を下記表31に示す。
Table 31 below shows the results of measurement of the glass transition temperatures of the organic silicon films (S1) to (S12).

【0663】次に、シリコン膜のエッチングを行い、続
いてSiO2膜をそれぞれエッチングした。実施例2と
同様にしてレジストと有機シリコン膜が残渣なく剥離で
きたかどうかについて調べた結果を下記表30に示す。
また、剥離終了後のSiO2膜の削れ量を測定した結果
を下記表31に示す。
Next, the silicon film was etched, and then the SiO 2 film was etched. Table 30 below shows the result of investigation as to whether or not the resist and the organic silicon film could be peeled off without residue in the same manner as in Example 2.
Table 31 below shows the result of measuring the amount of shaving of the SiO2 film after the completion of the peeling.

【0664】本実施例からガラス転移温度が概ねO℃以
上の場合、及び有機シリコン膜が一般式(1)記載の構
造を有する有機シリコン化合物からなる場合、剥離性が
良好であることが分かる。また、被加工膜を配線層、ポ
リシリコンにした場合も同様の結果が得られた。
From this example, it can be seen that when the glass transition temperature is about O ° C. or higher, and when the organic silicon film is made of an organic silicon compound having a structure represented by the general formula (1), the releasability is good. Similar results were obtained when the film to be processed was a wiring layer and polysilicon.

【0665】[0665]

【表38】 [Table 38]

【0666】[0666]

【表39】 [Table 39]

【0667】[0667]

【表40】 [Table 40]

【0668】[0668]

【表41】 実施例57 実施例53で説明した剥離方法を用いたことを除いて、
実施例56と同様にして、材料の構造と剥離特性につい
て調べた。その結果を下記表32および表33に示す。
[Table 41] Example 57 Except for using the peeling method described in Example 53,
In the same manner as in Example 56, the structure of the material and the peeling characteristics were examined. The results are shown in Tables 32 and 33 below.

【0669】下記表32および表33から、ガラス転移
温度がほぼO℃以上の場合、及び有機シリコン膜が一般
式12により表される構造を有する有機シリコン化合物
を含む場合、剥離性が良好であることが分かる。また、
被加工膜を配線層、或はポリシリコンにした場合も同様
の結果が得られた。
From Tables 32 and 33 below, when the glass transition temperature is approximately O ° C. or higher, and when the organic silicon film contains an organic silicon compound having a structure represented by the general formula 12, the peelability is good. You can see that. Also,
Similar results were obtained when the film to be processed was a wiring layer or polysilicon.

【0670】[0670]

【表42】 [Table 42]

【0671】[0671]

【表43】 [Table 43]

【0672】[0672]

【表44】 実施例58 実施例54で説明した剥離方法を用いたことを除いて、
実施例56と同様にして、材料の構造と剥離特性につい
て調べた。その結果を下記表35および表36に示す。
[Table 44] Example 58 Except for using the peeling method described in Example 54,
In the same manner as in Example 56, the structure of the material and the peeling characteristics were examined. The results are shown in Tables 35 and 36 below.

【0673】下記表35および表36から、ガラス転移
温度がほぼO℃以上の場合、及び有機シリコン膜が一般
式12により表される構造を有する有機シリコン化合物
を含む場合、剥離性が良好であることが分かる。また、
被加工膜を配線層、或はポリシリコンにした場合も同様
の結果が得られた。
From Tables 35 and 36 below, when the glass transition temperature is approximately O ° C. or higher, and when the organic silicon film contains an organic silicon compound having a structure represented by the general formula 12, the peelability is good. You can see that. Also,
Similar results were obtained when the film to be processed was a wiring layer or polysilicon.

【0674】[0674]

【表45】 [Table 45]

【0675】[0675]

【表46】 実施例59 実施例54で説明した剥離方法を用いたことを除いて、
実施例56と同様にして、材料の構造と剥離特性につい
て調べた。その結果を下記表37および表38に示す。
[Table 46] Example 59 Except for using the peeling method described in Example 54,
In the same manner as in Example 56, the structure of the material and the peeling characteristics were examined. The results are shown in Tables 37 and 38 below.

【0676】下記表37および表38から、ガラス転移
温度がほぼO℃以上の場合、及び有機シリコン膜が一般
式12により表される構造を有する有機シリコン化合物
を含む場合、剥離性が良好であることが分かる。また、
被加工膜を配線層、或はポリシリコンにした場合も同様
の結果が得られた。
From Tables 37 and 38 below, when the glass transition temperature is approximately O ° C. or higher, and when the organic silicon film contains an organic silicon compound having a structure represented by the general formula 12, the peelability is good. You can see that. Also,
Similar results were obtained when the film to be processed was a wiring layer or polysilicon.

【0677】[0677]

【表47】 [Table 47]

【0678】[0678]

【表48】 [Table 48]

【0679】[0679]

【表49】 [Table 49]

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一態様に係るパターン形成方法を工程
順に示す断面図;
FIG. 1 is a cross-sectional view illustrating a pattern formation method according to one embodiment of the present invention in the order of steps;

【図2】有機シリコン膜パターンのみをマスクとして用
いて被加工膜をエッチングする工程を示す断面図;
FIG. 2 is a cross-sectional view showing a step of etching a film to be processed using only an organic silicon film pattern as a mask;

【図3】本発明の他の態様に係るパターン形成方法を工
程順に示す断面図;
FIG. 3 is a sectional view showing a pattern forming method according to another embodiment of the present invention in the order of steps;

【図4】エッチング後の有機シリコン膜の状態示す電子
顕微鏡図;
FIG. 4 is an electron micrograph showing the state of the organic silicon film after etching;

【図5】レジストとポリシラン膜との界面での光強度反
射率を計算した結果を示すグラフ;
FIG. 5 is a graph showing the result of calculating the light intensity reflectance at the interface between the resist and the polysilane film;

【図6】レジスト膜厚とレジストパターン寸法との関係
を示すグラフ;
FIG. 6 is a graph showing a relationship between a resist film thickness and a resist pattern dimension;

【図7】SiO2 膜の膜厚とレジストパターン寸法との
関係を示すグラフ;
FIG. 7 is a graph showing the relationship between the thickness of a SiO 2 film and the dimensions of a resist pattern;

【図8】比較例により得たカーボン膜の加工形状を示す
図;
FIG. 8 is a view showing a processed shape of a carbon film obtained according to a comparative example;

【図9】比較例にかかるパターン形成方法を工程順に示
す断面図;
FIG. 9 is a sectional view showing a pattern forming method according to a comparative example in the order of steps;

【図10】本発明の一実施例におけるポリシラン膜の膜
厚方向でのO/Siの割合を示すグラフ;
FIG. 10 is a graph showing the ratio of O / Si in the thickness direction of the polysilane film in one embodiment of the present invention;

【図11】本発明の他の実施例におけるパターン形成工
程を示す断面図;
FIG. 11 is a sectional view showing a pattern forming step according to another embodiment of the present invention;

【図12】他の比較例にかかるパターン形成方法を工程
順に示す断面図;
FIG. 12 is a sectional view showing a pattern forming method according to another comparative example in the order of steps;

【図13】更に他の比較例にかかるパターン形成方法を
工程順に示す断面図;
FIG. 13 is a sectional view showing a pattern forming method according to still another comparative example in the order of steps;

【図14】本発明の更に他の実施例におけるパターン形
成工程を示す断面図;および
FIG. 14 is a sectional view showing a pattern forming step according to still another embodiment of the present invention;

【図15】本発明の更にまた他の実施例におけるパター
ン形成工程を示す断面図。
FIG. 15 is a cross-sectional view showing a pattern forming step in still another embodiment of the present invention.

【符号の説明】[Explanation of symbols]

1…基板 2…被加工膜 3…有機シリコン膜 4…レジスト 5…レジストパターン 6…有機シリコン膜パターン 7…被加工膜パターン DESCRIPTION OF SYMBOLS 1 ... Substrate 2 ... Film to be processed 3 ... Organic silicon film 4 ... Resist 5 ... Resist pattern 6 ... Organic silicon film pattern 7 ... Film pattern to be processed

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 FI G03F 7/26 511 G03F 7/26 511 H01L 21/027 H01L 21/30 564D 21/3213 574 21/88 C (72)発明者 松山 日出人 神奈川県横浜市磯子区新杉田町8番地 株 式会社東芝横浜事業所内 (72)発明者 中野 義彦 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内 (72)発明者 藤岡 佐和子 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内 (72)発明者 川田 利佳子 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内 (72)発明者 早瀬 修二 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内 (72)発明者 成田 雅貴 神奈川県横浜市磯子区新杉田町8番地 株 式会社東芝横浜事業所内 (72)発明者 塩原 英志 神奈川県横浜市磯子区新杉田町8番地 株 式会社東芝横浜事業所内──────────────────────────────────────────────────の Continued on the front page (51) Int.Cl. 6 Identification symbol FI G03F 7/26 511 G03F 7/26 511 H01L 21/027 H01L 21/30 564D 21/3213 574 21/88 C (72) Inventor Hideto Matsuyama 8 Shinsugita-cho, Isogo-ku, Yokohama-shi, Kanagawa Prefecture Inside the Toshiba Yokohama office (72) Inventor Yoshihiko Nakano 1 Toshiba-cho, Komukai-Toshiba-cho, Saiwai-ku, Kawasaki-shi, Kanagawa Inside the R & D center (72 ) Inventor Sawako Fujioka 1 Toshiba R & D Center, Komukai Toshiba-cho, Sachi-ku, Kawasaki-shi, Kanagawa Prefecture (72) Inventor Rikako Kawada 1 Ritsuko Toshiba-cho, Komukai Toshiba-cho, Sachi-ku, Kawasaki-shi, Kanagawa Inside the center (72) Inventor Shuji Hayase 1 Toshiba R & D Center, Komukai Toshiba-cho, Saiyuki-ku, Kawasaki-shi, Kanagawa (72) Inventor Narita Masataka Yokohama, Kanagawa Prefecture Isogo-ku, Shinsugita-cho, address 8 Co., Ltd. Toshiba Yokohama workplace (72) inventor Eiji Shiobara Yokohama, Kanagawa Prefecture Isogo-ku, Shinsugita-cho, address 8 Co., Ltd. Toshiba Yokohama workplace

Claims (20)

【特許請求の範囲】[Claims] 【請求項1】 被加工膜上に、シリコンとシリコンとの
結合を主鎖に有する有機シリコン化合物を含有し、ガラ
ス転移温度が0℃以上の有機シリコン膜を形成する工程
と、 前記有機シリコン膜上にレジストパターンを形成する工
程と、 塩素、臭素、および沃素からなる群から選ばれた原子の
少なくとも1種を含むエッチングガスを用いて、前記有
機シリコン膜をエッチングすることにより前記レジスト
パターンを前記有機シリコン膜に転写する工程とを具備
することを特徴とするパターン形成方法。
A step of forming an organic silicon film on a film to be processed containing an organic silicon compound having a bond between silicon and silicon in a main chain and having a glass transition temperature of 0 ° C. or more; Forming a resist pattern thereon; and etching the organic silicon film using an etching gas containing at least one atom selected from the group consisting of chlorine, bromine, and iodine, thereby forming the resist pattern by etching. Transferring to an organic silicon film.
【請求項2】 前記レジストパターンおよび前記有機シ
リコン膜をエッチングマスクとして用いて、被加工膜を
エッチングする工程を更に具備することを特徴とする請
求項1に記載のパターン形成方法。
2. The pattern forming method according to claim 1, further comprising a step of etching the film to be processed using the resist pattern and the organic silicon film as an etching mask.
【請求項3】 前記レジストパターンを除去する工程
と、前記有機シリコン膜をエッチングマスクとして用い
て被加工膜をエッチングする工程を更に具備することを
特徴とする請求項1に記載のパターン形成方法。
3. The pattern forming method according to claim 1, further comprising: a step of removing the resist pattern; and a step of etching a film to be processed using the organic silicon film as an etching mask.
【請求項4】 前記有機シリコン膜は、シリコンとシリ
コンとの結合を主鎖に有する有機シリコン化合物を含む
溶液材料で塗膜を形成し、前記塗膜を加熱することによ
り形成されることを特徴とする請求項1に記載のパター
ン形成方法。
4. The organic silicon film is formed by forming a coating film with a solution material containing an organic silicon compound having a bond between silicon and silicon in a main chain, and heating the coating film. 2. The pattern forming method according to claim 1, wherein:
【請求項5】 前記有機シリコン膜は、シリコンとシリ
コンとの結合を主鎖に有する有機シリコン化合物を含む
溶液材料で塗膜を形成し、前記有機シリコン化合物を架
橋することにより形成されることを特徴とする請求項1
に記載のパターン形成方法。
5. The method according to claim 1, wherein the organic silicon film is formed by forming a coating film with a solution material containing an organic silicon compound having a bond between silicon and silicon in a main chain, and crosslinking the organic silicon compound. Claim 1.
4. The pattern forming method according to 1.
【請求項6】 前記架橋が前記塗膜を加熱することによ
りなされることを特徴とする請求項5に記載のパターン
形成方法。
6. The pattern forming method according to claim 5, wherein the crosslinking is performed by heating the coating film.
【請求項7】 前記架橋が前記塗膜を加熱すること、前
記塗膜に対してエネルギービームを照射すること、およ
び前記塗膜を加熱しながら、前記塗膜に対してエネルギ
ービームを照射することからなる群から選ばれた方法に
よってなされることを特徴とする請求項5に記載のパタ
ーン形成方法。
7. The method of claim 1, wherein the crosslinking heats the coating, irradiates the coating with an energy beam, and irradiates the coating with an energy beam while heating the coating. The pattern forming method according to claim 5, wherein the method is performed by a method selected from the group consisting of:
【請求項8】 前記有機シリコン化合物は、下記一般式
により表されることを特徴とする請求項1に記載のパタ
ーン形成方法。 【化1】 (式中、R1 、R2 、R3 、R4 およびR5 は、水素原
子、置換または無置換の炭素数3以下の炭化水素基であ
り、R6 は、水素原子または炭素数1〜20の置換また
は無置換の脂肪族炭化水素基または芳香族炭化水素基を
示す。)
8. The method according to claim 1, wherein the organic silicon compound is represented by the following general formula. Embedded image (Wherein, R 1 , R 2 , R 3 , R 4 and R 5 are a hydrogen atom, a substituted or unsubstituted hydrocarbon group having 3 or less carbon atoms, and R 6 is a hydrogen atom or a carbon atom having 1 to 3 carbon atoms. 20 represents a substituted or unsubstituted aliphatic hydrocarbon group or aromatic hydrocarbon group.)
【請求項9】 前記被加工膜は、金属配線層、およびシ
リコン系材料膜からなる群から選ばれた1種であること
を特徴とする請求項1に記載のパターン形成方法。
9. The pattern forming method according to claim 1, wherein the film to be processed is one type selected from the group consisting of a metal wiring layer and a silicon-based material film.
【請求項10】 前記被加工膜は、シリコン系絶縁膜で
あることを特徴とする請求項1に記載のパターン形成方
法。
10. The pattern forming method according to claim 1, wherein the film to be processed is a silicon-based insulating film.
【請求項11】 前記シリコン系絶縁膜のエッチング
は、フッ素系ガスを含むエッチングガスを用いて行われ
ることを特徴とする請求項1に記載のパターン形成方
法。
11. The pattern forming method according to claim 1, wherein the etching of the silicon-based insulating film is performed using an etching gas containing a fluorine-based gas.
【請求項12】 前記シリコン系絶縁膜は、酸化シリコ
ン膜、窒化シリコン膜、酸窒化シリコン膜、およびスピ
ンオングラス膜からなる群から選ばれた1種であること
を特徴とする請求項10に記載のパターン形成方法。
12. The semiconductor device according to claim 10, wherein the silicon-based insulating film is one type selected from the group consisting of a silicon oxide film, a silicon nitride film, a silicon oxynitride film, and a spin-on-glass film. Pattern formation method.
【請求項13】 前記有機シリコン膜は、導電性物質ま
たは光を照射することにより導電性を生ずる物質を含有
することを特徴とする請求項1に記載のパターン形成方
法。
13. The pattern forming method according to claim 1, wherein the organic silicon film contains a conductive substance or a substance that becomes conductive when irradiated with light.
【請求項14】 前記有機シリコン膜は、Cl2 および
HBrからなる群から選ばれた少なくとも1種を含むエ
ッチングガスを用いてエッチングされることを特徴とす
る請求項1に記載のパターン形成方法。
14. The pattern forming method according to claim 1, wherein the organic silicon film is etched using an etching gas containing at least one selected from the group consisting of Cl 2 and HBr.
【請求項15】 被加工膜上にシリコンとシリコンとの
結合を主鎖に有する有機シリコン化合物を含有し、ガラ
ス転移温度が0℃以上の有機シリコン膜を形成する工程
と、 前記有機シリコン膜上にレジストパターンを形成する工
程と、 塩素、臭素、および沃素からなる群から選ばれた原子の
少なくとも1種を含むエッチングガスを用いて、前記有
機シリコン膜をエッチングする工程と、 前記有機シリコン膜を酸化処理する工程と、 酸化処理された前記有機シリコン膜を含むパターンをエ
ッチングマスクとして用いて前記被加工膜をエッチング
する工程とを具備することを特徴とするパターン形成方
法。
15. A step of forming an organic silicon film having a glass transition temperature of 0 ° C. or higher on a film to be processed, containing an organic silicon compound having a bond between silicon and silicon in a main chain; Forming a resist pattern on the organic silicon film using an etching gas containing at least one kind of atom selected from the group consisting of chlorine, bromine, and iodine; A pattern forming method, comprising: a step of performing an oxidation treatment; and a step of etching the film to be processed using a pattern including the oxidized organic silicon film as an etching mask.
【請求項16】 前記酸化処理は、エネルギービームの
照射、プラズマの照射、および酸化剤を含む溶液への浸
漬からなる群から選ばれた1種によってなされることを
特徴とする請求項15に記載のパターン形成方法。
16. The method according to claim 15, wherein the oxidation treatment is performed by one selected from the group consisting of energy beam irradiation, plasma irradiation, and immersion in a solution containing an oxidizing agent. Pattern formation method.
【請求項17】 前記被加工膜は、窒化シリコン、シリ
コン系材料および金属配線層からなる群から選ばれた1
種であることを特徴とする請求項15に記載のパターン
形成方法。法。
17. The film to be processed is selected from the group consisting of silicon nitride, a silicon-based material, and a metal wiring layer.
The pattern forming method according to claim 15, wherein the pattern is a seed. Law.
【請求項18】 被加工膜上にシリコンとシリコンとの
結合を主鎖に有する有機シリコン化合物を含有し、ガラ
ス転移温度が0℃以上の有機シリコン膜を形成する工程
と、 前記有機シリコン膜上にレジストパターンを形成する工
程と、 塩素、臭素、および沃素からなる群から選ばれた原子の
少なくとも1種を含むエッチングガスを用いて、前記有
機シリコン膜をエッチングする工程と、 パターンをエッチングマスクとして用いて前記被加工膜
をエッチングする工程と、 前記有機シリコン膜を含むパターンを、塩素、臭素、お
よび弗素からなる群から選ばれた原子の少なくとも1種
を含むガスと、酸素原子を含むガスの混合ガスを用いて
剥離する工程と、 を具備することを特徴とするパターン形成方法。
18. A step of forming an organosilicon film having a glass transition temperature of 0 ° C. or higher on a film to be processed, containing an organosilicon compound having a bond between silicon and silicon in a main chain; Forming a resist pattern on the organic silicon film using an etching gas containing at least one atom selected from the group consisting of chlorine, bromine, and iodine; Etching the film to be processed using: a pattern containing the organic silicon film, a gas containing at least one atom selected from the group consisting of chlorine, bromine, and fluorine; and a gas containing oxygen atoms. Stripping using a mixed gas. A method for forming a pattern, comprising:
【請求項19】 被加工膜上にシリコンとシリコンとの
結合を主鎖に有する有機シリコン化合物を含有し、ガラ
ス転移温度が0℃以上の有機シリコン膜を形成する工程
と、 前記有機シリコン膜上にレジストパターンを形成する工
程と、 塩素、臭素、および沃素からなる群から選ばれた原子の
少なくとも1種を含むエッチングガスを用いて、前記有
機シリコン膜をエッチングする工程と、 パターンをエッチングマスクとして用いて前記被加工膜
をエッチングする工程と、 前記有機シリコン膜を含むパターンを、アミン系溶媒を
含む溶液およびフッ素原子を含む溶液からなる群から選
ばれた少なくとも1種の溶液により処理することにより
剥離する工程と、 を具備することを特徴とするパターン形成方法。
19. A step of forming an organosilicon film having a glass transition temperature of 0 ° C. or more on a film to be processed, the organosilicon compound having a bond between silicon and silicon in a main chain thereof; Forming a resist pattern on the organic silicon film using an etching gas containing at least one atom selected from the group consisting of chlorine, bromine, and iodine; Etching the film to be processed, and treating the pattern including the organic silicon film with at least one solution selected from the group consisting of a solution containing an amine-based solvent and a solution containing a fluorine atom. A pattern forming method, comprising the steps of:
【請求項20】 前記有機シリコン化合物は、下記一般
式により表される構造を主鎖に有することを特徴とする
請求項18または19に記載のパターン形成方法。 【化2】 (式中、R1 、R2 、R3 、R4 およびR5 は、水素原
子、置換または無置換の炭素数3以下の炭化水素基であ
り、R6 は、水素原子または炭素数1〜20の置換また
は無置換の脂肪族炭化水素基または芳香族炭化水素基を
示す。)
20. The pattern forming method according to claim 18, wherein the organic silicon compound has a structure represented by the following general formula in a main chain. Embedded image (Wherein, R 1 , R 2 , R 3 , R 4 and R 5 are a hydrogen atom, a substituted or unsubstituted hydrocarbon group having 3 or less carbon atoms, and R 6 is a hydrogen atom or a carbon atom having 1 to 3 carbon atoms. 20 represents a substituted or unsubstituted aliphatic hydrocarbon group or aromatic hydrocarbon group.)
JP24216897A 1996-08-22 1997-08-22 Pattern formation method Expired - Fee Related JP3435318B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP24216897A JP3435318B2 (en) 1996-08-22 1997-08-22 Pattern formation method

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP8-221354 1996-08-22
JP22135496 1996-08-22
JP8-229936 1996-08-30
JP22993696 1996-08-30
JP30947496 1996-11-20
JP8-309474 1996-11-20
JP24216897A JP3435318B2 (en) 1996-08-22 1997-08-22 Pattern formation method

Publications (2)

Publication Number Publication Date
JPH10209134A true JPH10209134A (en) 1998-08-07
JP3435318B2 JP3435318B2 (en) 2003-08-11

Family

ID=27477002

Family Applications (1)

Application Number Title Priority Date Filing Date
JP24216897A Expired - Fee Related JP3435318B2 (en) 1996-08-22 1997-08-22 Pattern formation method

Country Status (1)

Country Link
JP (1) JP3435318B2 (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000100699A (en) * 1998-09-22 2000-04-07 Toshiba Corp Pattern formation method
JP2002373936A (en) * 2001-06-14 2002-12-26 Nec Corp Wiring formation method by dual damascene method
JP2003114534A (en) * 2001-06-28 2003-04-18 Hynix Semiconductor Inc Method for manufacturing semiconductor device by using photoresist pattern
WO2006077684A1 (en) * 2005-01-19 2006-07-27 Tokyo Ohka Kogyo Co., Ltd. Silylphenylene polymer composition for the formation of interlayers and process for the formation of patterns by using the same
JP2006253626A (en) * 2005-03-09 2006-09-21 Hynix Semiconductor Inc Method for forming floating gate electrode in flash memory device
WO2006126406A1 (en) * 2005-05-24 2006-11-30 Nissan Chemical Industries, Ltd. Polysilane compound-containing lower layer film forming composition for lithography
KR100902100B1 (en) * 2002-11-18 2009-06-09 주식회사 하이닉스반도체 Method for forming fine pattern in semiconductor device
WO2009104552A1 (en) 2008-02-18 2009-08-27 日産化学工業株式会社 Silicon-containing resist underlayer film-forming composition containing cyclic amino group
WO2010021290A1 (en) 2008-08-18 2010-02-25 日産化学工業株式会社 Composition for forming silicon-containing resist underlayer film with onium group
KR20100109947A (en) 2008-01-11 2010-10-11 닛산 가가쿠 고교 가부시키 가이샤 Composition having urea group for forming silicon-containing resist underlying film
WO2011033965A1 (en) 2009-09-16 2011-03-24 日産化学工業株式会社 Silicon-containing composition having sulfonamide group for forming resist underlayer film
JP2011520242A (en) * 2008-02-20 2011-07-14 アプライド マテリアルズ インコーポレイテッド Process sequence for patterned hard mask thin film (RFP) formation that does not require photoresist or dry etching
JP2012208322A (en) * 2011-03-30 2012-10-25 Nippon Zeon Co Ltd Semiconductor element substrate
US8426112B2 (en) 2007-09-11 2013-04-23 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing polymer having nitrogen-containing silyl group
JP2014007306A (en) * 2012-06-25 2014-01-16 Toshiba Corp Pattern formation method
US8815494B2 (en) 2008-12-19 2014-08-26 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing silicon having anion group
US9023588B2 (en) 2010-02-19 2015-05-05 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing silicon having nitrogen-containing ring
US9196484B2 (en) 2010-09-21 2015-11-24 Nissan Chemical Industries, Ltd. Silicon-containing composition for formation of resist underlayer film, which contains organic group containing protected aliphatic alcohol
US9217921B2 (en) 2009-06-02 2015-12-22 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing silicon having sulfide bond
KR20190133288A (en) 2012-02-01 2019-12-02 닛산 가가쿠 가부시키가이샤 Semiconductor device manufacturing method using silicon-containing resist underlayer film forming composition for solvent development

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000100699A (en) * 1998-09-22 2000-04-07 Toshiba Corp Pattern formation method
JP2002373936A (en) * 2001-06-14 2002-12-26 Nec Corp Wiring formation method by dual damascene method
JP2003114534A (en) * 2001-06-28 2003-04-18 Hynix Semiconductor Inc Method for manufacturing semiconductor device by using photoresist pattern
KR100902100B1 (en) * 2002-11-18 2009-06-09 주식회사 하이닉스반도체 Method for forming fine pattern in semiconductor device
WO2006077684A1 (en) * 2005-01-19 2006-07-27 Tokyo Ohka Kogyo Co., Ltd. Silylphenylene polymer composition for the formation of interlayers and process for the formation of patterns by using the same
JP2006253626A (en) * 2005-03-09 2006-09-21 Hynix Semiconductor Inc Method for forming floating gate electrode in flash memory device
WO2006126406A1 (en) * 2005-05-24 2006-11-30 Nissan Chemical Industries, Ltd. Polysilane compound-containing lower layer film forming composition for lithography
KR101366792B1 (en) * 2005-05-24 2014-02-25 닛산 가가쿠 고교 가부시키 가이샤 Polysilane compound-containing lower layer film forming composition for lithography
US8163460B2 (en) 2005-05-24 2012-04-24 Nissan Chemical Industries, Ltd. Underlayer coating forming composition for lithography containing polysilane compound
JP5062420B2 (en) * 2005-05-24 2012-10-31 日産化学工業株式会社 Lithographic underlayer film forming composition comprising polysilane compound
US8426112B2 (en) 2007-09-11 2013-04-23 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing polymer having nitrogen-containing silyl group
KR20100109947A (en) 2008-01-11 2010-10-11 닛산 가가쿠 고교 가부시키 가이샤 Composition having urea group for forming silicon-containing resist underlying film
US9760006B2 (en) 2008-01-11 2017-09-12 Nissan Chemical Industries, Ltd. Silicon-containing resist underlayer film forming composition having urea group
WO2009104552A1 (en) 2008-02-18 2009-08-27 日産化学工業株式会社 Silicon-containing resist underlayer film-forming composition containing cyclic amino group
KR20100135744A (en) 2008-02-18 2010-12-27 닛산 가가쿠 고교 가부시키 가이샤 Silicon-containing resist underlayer film-forming composition containing cyclic amino group
US11392037B2 (en) 2008-02-18 2022-07-19 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing silicone having cyclic amino group
JP2011520242A (en) * 2008-02-20 2011-07-14 アプライド マテリアルズ インコーポレイテッド Process sequence for patterned hard mask thin film (RFP) formation that does not require photoresist or dry etching
US8864894B2 (en) 2008-08-18 2014-10-21 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing silicone having onium group
WO2010021290A1 (en) 2008-08-18 2010-02-25 日産化学工業株式会社 Composition for forming silicon-containing resist underlayer film with onium group
US8835093B2 (en) 2008-12-19 2014-09-16 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing silicon having anion group
US8815494B2 (en) 2008-12-19 2014-08-26 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing silicon having anion group
US9217921B2 (en) 2009-06-02 2015-12-22 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing silicon having sulfide bond
US8828879B2 (en) 2009-09-16 2014-09-09 Nissan Chemical Industries, Ltd. Silicon-containing composition having sulfonamide group for forming resist underlayer film
WO2011033965A1 (en) 2009-09-16 2011-03-24 日産化学工業株式会社 Silicon-containing composition having sulfonamide group for forming resist underlayer film
US9023588B2 (en) 2010-02-19 2015-05-05 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing silicon having nitrogen-containing ring
US9196484B2 (en) 2010-09-21 2015-11-24 Nissan Chemical Industries, Ltd. Silicon-containing composition for formation of resist underlayer film, which contains organic group containing protected aliphatic alcohol
JP2012208322A (en) * 2011-03-30 2012-10-25 Nippon Zeon Co Ltd Semiconductor element substrate
KR20190133288A (en) 2012-02-01 2019-12-02 닛산 가가쿠 가부시키가이샤 Semiconductor device manufacturing method using silicon-containing resist underlayer film forming composition for solvent development
KR20220027252A (en) 2012-02-01 2022-03-07 닛산 가가쿠 가부시키가이샤 Semiconductor device manufacturing method using silicon-containing resist underlayer film forming composition for solvent development
US11488824B2 (en) 2012-02-01 2022-11-01 Nissan Chemical Industries, Ltd. Method for manufacturing semiconductor device using silicon-containing resist underlayer film forming composition for solvent development
JP2014007306A (en) * 2012-06-25 2014-01-16 Toshiba Corp Pattern formation method

Also Published As

Publication number Publication date
JP3435318B2 (en) 2003-08-11

Similar Documents

Publication Publication Date Title
JP3435318B2 (en) Pattern formation method
US7270931B2 (en) Silicon-containing compositions for spin-on ARC/hardmask materials
JP3971088B2 (en) Pattern formation method
US6270948B1 (en) Method of forming pattern
TWI344672B (en) Method of lithography patterning
US6030541A (en) Process for defining a pattern using an anti-reflective coating and structure therefor
EP1061560B1 (en) Antireflective hard mask compositions
JP5357186B2 (en) On-track process for hard mask pattern formation by multiple dark field exposure
JP5290204B2 (en) Fine pattern mask, method of manufacturing the same, and method of forming fine pattern using the same
JPH1160735A (en) Polysilane and formation of pattern
EP0364740B1 (en) Structure for depositing a metallization pattern thereon and processes of forming such a structure
US6569595B1 (en) Method of forming a pattern
JPH11504446A (en) How to get a lift-off imaging profile
JP3974295B2 (en) Pattern formation method
JP4786513B2 (en) Composition for hard mask in lithography process
US6806021B2 (en) Method for forming a pattern and method of manufacturing semiconductor device
JPH10268526A (en) Production of semiconductor device and pattern forming method
JP4024898B2 (en) Silicon composition, pattern forming method using the same, and electronic component manufacturing method
JPH07261398A (en) Energy sensitive material and using method thereof
JP3998393B2 (en) Pattern formation method
JP3772077B2 (en) Pattern formation method
JP3486123B2 (en) Pattern transfer composition and pattern transfer method
JP2002198283A (en) Resist pattern formation method
JP2000100700A (en) Pattern formation method and hybrid exposure method
JP2000031118A (en) Formation of pattern

Legal Events

Date Code Title Description
FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090530

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees