JP5271267B2 - Mask layer processing method before performing etching process - Google Patents

Mask layer processing method before performing etching process Download PDF

Info

Publication number
JP5271267B2
JP5271267B2 JP2009523873A JP2009523873A JP5271267B2 JP 5271267 B2 JP5271267 B2 JP 5271267B2 JP 2009523873 A JP2009523873 A JP 2009523873A JP 2009523873 A JP2009523873 A JP 2009523873A JP 5271267 B2 JP5271267 B2 JP 5271267B2
Authority
JP
Japan
Prior art keywords
plasma
mask layer
electron beam
output
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009523873A
Other languages
Japanese (ja)
Other versions
JP2010500758A (en
Inventor
エル.ジー. ヴェントゼック,ピーター
チェン,リー
公 輿石
郁夫 沢田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/499,679 external-priority patent/US7572386B2/en
Priority claimed from US11/499,680 external-priority patent/US7642193B2/en
Priority claimed from US11/499,678 external-priority patent/US7449414B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2010500758A publication Critical patent/JP2010500758A/en
Application granted granted Critical
Publication of JP5271267B2 publication Critical patent/JP5271267B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/3233Discharge generated by other radiation using charged particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明はプラズマ処理システム内で基板上の薄膜をエッチングする方法に関する。より詳細には本発明は、弾道電子ビームによって支援されるプラズマを用いた薄膜のエッチングを行う前に薄膜上のマスク層を処理する方法に関する。   The present invention relates to a method for etching a thin film on a substrate in a plasma processing system. More particularly, the present invention relates to a method of treating a mask layer on a thin film prior to etching the thin film using a plasma assisted by a ballistic electron beam.

半導体処理中、シリコン基板上にパターニングされた、微細線に沿って、又はビア若しくはコンタクト内部の材料を除去すなわちエッチングするのに(ドライ)エッチングプロセスが利用されて良い。プラズマエッチング処理は一般的に、処理チャンバ内に、パターニングされた保護層-たとえばフォトレジスト層-で覆われた半導体基板を設ける工程を有する。一旦基板がチャンバ内に設けられると、電離可能でかつ分解可能な気体混合物が、所定の流速でチャンバ内部に導入される。その一方で周辺のプロセス圧力に到達するように真空ポンプが絞られる。   During semiconductor processing, a (dry) etching process may be utilized to remove or etch material patterned along a fine line on a silicon substrate or within a via or contact. Plasma etching processes generally include providing a semiconductor substrate covered with a patterned protective layer, such as a photoresist layer, in a processing chamber. Once the substrate is in the chamber, an ionizable and decomposable gas mixture is introduced into the chamber at a predetermined flow rate. Meanwhile, the vacuum pump is throttled to reach the surrounding process pressure.

その後、存在する気体種の一部が、加熱された電子によって電離するときに、プラズマが生成される。その加熱は、容量的若しくは誘導的に高周波(RF)出力が輸送されることにより、又はたとえば電子サイクロトロン共鳴(ECR)を用いたマイクロ波出力によって、実現される。しかも加熱された電子は、周辺の気体種の一部を分解し、かつ露出した表面のエッチングを行う化学的性質に適した(複数の)反応種を生成する役割を果たす。一旦プラズマが生成されると、基板の選択された表面はそのプラズマによってエッチングされる。その処理は適切な条件を実現するように調節される。適切な条件には、その基板の選択された領域で様々な特徴部位(たとえば溝、ビア、コンタクト等)をエッチングするために必要な反応物及びイオン数の適切な濃度が含まれる。エッチングが必要な係る基板材料には、二酸化シリコン(SiO2)、low-k及び超low-k誘電材料、多結晶シリコン、シリコンカーバイド、及びシリコン窒化物が含まれる。 Thereafter, plasma is generated when some of the gas species present are ionized by heated electrons. The heating is realized by capacitively or inductively transporting radio frequency (RF) power or by microwave power, for example using electron cyclotron resonance (ECR). Moreover, the heated electrons play a role in decomposing some of the surrounding gas species and generating reactive species (s) suitable for the chemistry that etches the exposed surface. Once the plasma is generated, selected surfaces of the substrate are etched by the plasma. The process is adjusted to achieve the appropriate conditions. Appropriate conditions include the appropriate concentration of reactants and ions required to etch various features (eg, trenches, vias, contacts, etc.) in selected areas of the substrate. Such substrate materials that require etching include silicon dioxide (SiO 2 ), low-k and ultra-low-k dielectric materials, polycrystalline silicon, silicon carbide, and silicon nitride.

米国特許出願第11/156559号明細書U.S. Patent Application No. 11/156559 米国特許出願第2006/0037701A1号明細書US Patent Application No. 2006 / 0037701A1 国際公開第2008/016747号パンフレットInternational Publication No. 2008/016747 Pamphlet

本発明の目的は改善された誘電体のエッチング方法及びシステムを提供することである。   It is an object of the present invention to provide an improved dielectric etching method and system.

本発明の他の目的は、エッチング処理を助けるためにパターニングされたマスク層の改善された処理方法及びシステムを提供することである。   Another object of the present invention is to provide an improved method and system for processing a mask layer patterned to aid in the etching process.

本発明のこれら及び/又は他の目的は、基板上に形成され、かつ上にパターニングされたマスク層を有する薄膜のエッチング方法によって供される。当該方法は、酸素含有プラズマ、若しくはハロゲンガス含有プラズマ、若しくは希ガス含有プラズマ、又はこれらの2種類以上の混合プラズマへ前記マスク層を曝露することによって前記マスク層を処理する工程、及び前記マスク層の処理後、前記薄膜に前記マスク層のパターンを転写するために前記薄膜をエッチングする工程、を有する。前記エッチング工程は、処理気体からプラズマ処理システム内にプラズマを生成する工程、前記プラズマ処理システム内の電極に直流(DC)出力を結合して、前記エッチング工程中に前記プラズマを支援する電子ビームを前記プラズマ処理システム内部に生成する工程、及び前記基板を前記プラズマと前記電子ビームに曝露する工程、を有する。   These and / or other objects of the present invention are provided by a method of etching a thin film having a mask layer formed on a substrate and patterned thereon. The method includes a step of treating the mask layer by exposing the mask layer to oxygen-containing plasma, halogen gas-containing plasma, rare gas-containing plasma, or a mixed plasma of two or more of these, and the mask layer A step of etching the thin film in order to transfer the pattern of the mask layer to the thin film. The etching step includes generating a plasma from a processing gas in a plasma processing system, coupling a direct current (DC) output to an electrode in the plasma processing system, and generating an electron beam that supports the plasma during the etching step. Generating inside the plasma processing system, and exposing the substrate to the plasma and the electron beam.

本発明の他の態様は、基板上に形成され、かつ上にパターニングされたマスク層を有する薄膜のエッチング方法を有する。当該方法は、プラズマ及び弾道電子ビームを生成するように備えられているプラズマ処理システム内の基板ホルダ上に基板を供する工程、並びに、酸素含有プラズマ、若しくはハロゲンガス含有プラズマ、若しくは希ガス含有プラズマ、又はこれらの2種類以上の混合プラズマへ前記マスク層を曝露することによって、弾道電子ビームを生成することなく前記マスク層を処理する工程、を有する。さらに前記のマスク層を処理する工程に続いて、前記薄膜をエッチングし、かつ前記パターニングされたマスク層のパターンを前記薄膜へ転写するために前記プラズマ処理システム内にプラズマ及び弾道電子ビームを生成する。   Another aspect of the present invention includes a method for etching a thin film having a mask layer formed on a substrate and patterned thereon. The method includes providing a substrate on a substrate holder in a plasma processing system equipped to generate a plasma and a ballistic electron beam, and an oxygen-containing plasma, a halogen gas-containing plasma, or a rare gas-containing plasma, Or exposing the mask layer to a mixed plasma of two or more of these to process the mask layer without generating a ballistic electron beam. Further, following the step of processing the mask layer, plasma and ballistic electron beams are generated in the plasma processing system to etch the thin film and transfer the patterned mask layer pattern to the thin film. .

さらに他の態様では、基板をエッチングするように備えられているプラズマ処理システムは、処理チャンバ、該処理チャンバへ気体を供給するように備えられた気体供給システム、前記処理チャンバと結合して前記基板を処理するように備えられている基板ホルダ、及び前記処理チャンバ内部に供された電極、を有する。AC出力システムは、前記処理チャンバ内部にプラズマを生成するため、前記処理チャンバと結合し、かつ前記基板及び/又は前記電極へ少なくとも1つのAC信号を結合するように備えられている。DC出力システムは、前記プラズマを介して電子ビームを生成するため、前記処理チャンバと結合し、かつ前記電極へDC電圧を結合するように備えられている。制御装置は、前記気体供給システム、前記AC出力システム、及び前記DC出力システムを制御して、前記気体供給システム、前記AC出力システム、及び前記DC出力システムに以下の工程を実行させるように備えられている。前記以下の工程とは:酸素含有プラズマ、若しくはハロゲンガス含有プラズマ、若しくは希ガス含有プラズマ、又はこれらの2種類以上の混合プラズマへ前記マスク層を曝露することによって、弾道電子ビームを生成することなく前記マスク層を処理する工程;及び、前記のマスク層を処理する工程に続いて、前記薄膜をエッチングし、かつ前記パターニングされたマスク層のパターンを前記薄膜へ転写するために前記プラズマ処理システム内にプラズマ及び弾道電子ビームを生成する工程;である。   In yet another aspect, a plasma processing system provided to etch a substrate includes a processing chamber, a gas supply system provided to supply gas to the processing chamber, and the substrate coupled to the processing chamber. A substrate holder provided to process the substrate, and an electrode provided inside the processing chamber. An AC output system is provided to couple with the processing chamber and to couple at least one AC signal to the substrate and / or the electrode to generate a plasma within the processing chamber. A DC output system is provided to couple to the processing chamber and to couple a DC voltage to the electrode to generate an electron beam through the plasma. A control device is provided to control the gas supply system, the AC output system, and the DC output system to cause the gas supply system, the AC output system, and the DC output system to perform the following steps. ing. The following steps are: without generating a ballistic electron beam by exposing the mask layer to oxygen-containing plasma, halogen gas-containing plasma, rare gas-containing plasma, or a mixed plasma of two or more of these. Following the steps of processing the mask layer; and processing the mask layer, in the plasma processing system for etching the thin film and transferring the pattern of the patterned mask layer to the thin film. Generating a plasma and a ballistic electron beam.

本発明の実施例によるプラズマ処理システムの概略図を示している。1 shows a schematic diagram of a plasma processing system according to an embodiment of the present invention. 本発明の他の実施例によるプラズマ処理システムの概略図を示している。FIG. 3 shows a schematic diagram of a plasma processing system according to another embodiment of the invention. 本発明の他の実施例によるプラズマ処理システムの概略図を示している。FIG. 3 shows a schematic diagram of a plasma processing system according to another embodiment of the invention. 本発明の他の実施例によるプラズマ処理システムの概略図を示している。FIG. 3 shows a schematic diagram of a plasma processing system according to another embodiment of the invention. 本発明の他の実施例によるプラズマ処理システムの概略図を示している。FIG. 3 shows a schematic diagram of a plasma processing system according to another embodiment of the invention. 本発明の他の実施例によるプラズマ処理システムの概略図を示している。FIG. 3 shows a schematic diagram of a plasma processing system according to another embodiment of the invention. 本発明の他の実施例によるプラズマ処理システムの概略図を示している。FIG. 3 shows a schematic diagram of a plasma processing system according to another embodiment of the invention. 本発明の他の実施例によるプラズマを用いた基板処理方法を示している。6 shows a substrate processing method using plasma according to another embodiment of the present invention.

以降の説明では、限定ではない説明を目的として、たとえばプラズマ処理システムの構造や様々な処理の説明といった具体的詳細について説明する。しかし本発明は、これらの具体的詳細から逸脱した他の実施例においても実施可能であることに留意して欲しい。   In the following description, specific details such as the structure of the plasma processing system and descriptions of various processes will be described for the purpose of non-limiting description. However, it should be noted that the invention may be practiced in other embodiments that depart from these specific details.

材料処理方法においては、パターンエッチングは、エッチング中に基板上に設けられた下地の薄膜にこのパターンを転写するためのマスクを供するため、後でパターニングされる基板の上側表面に感光性材料-たとえばフォトレジスト-の薄い層を塗布する手順を有する。感光性材料のパターニングは一般的に、たとえばマイクロリソグラフィを用いた感光性材料のレチクル(及び関連光学系)を介する放射線源による露光、及びそれに続く、現像溶液を用いた感光性材料の照射領域(ポジのレジストの場合)又は非照射領域(ネガのレジストの場合)の除去を有する。しかもこのマスク層は複数の層を有して良い。   In the material processing method, pattern etching provides a mask for transferring this pattern to an underlying thin film provided on the substrate during etching, so that a photosensitive material- Having a procedure of applying a thin layer of photoresist. Photosensitive material patterning generally involves exposure by a radiation source, for example through a reticle (and related optics) of the photosensitive material using, for example, microlithography, followed by an exposed area of the photosensitive material using a developer solution ( (For positive resist) or removal of non-irradiated areas (for negative resist). Moreover, the mask layer may have a plurality of layers.

パターンエッチング中、ドライエッチング処理がよく利用される。ドライエッチングプロセスでは、電子を加熱し、かつそれに続くプロセスガスの原子及び/又は分子組成物の電離並びに分解を引き起こすために、そのプロセスガスに電磁(EM)エネルギー-たとえば高周波(RF)出力のような-を結合することによって、そのプロセスガスからプラズマが生成される。さらに、RFサイクルの一部が行われている間、つまり結合RF出力の正の半周期の間、に基板表面を照射する弾道電子ビームを生成するため、負の高電圧直流(DC)出力がプラズマ処理システムに結合されて良い。弾道電子ビームは、たとえば(エッチングされる)下地の薄膜とマスク層との間でのエッチング選択性を改善することによって、ドライプラズマエッチングプロセスの特性を改善することができる。それによってたとえば電子シェーディングダメージのような荷電損傷が減少する。弾道電子ビームの発生に関しての更なる詳細は、特許文献1及び2に開示されている。   A dry etching process is often used during pattern etching. In a dry etching process, the process gas is subjected to electromagnetic (EM) energy, such as radio frequency (RF) output, to heat the electrons and subsequently cause ionization and decomposition of the atomic and / or molecular composition of the process gas. A plasma is generated from the process gas by combining the negative ions. In addition, a negative high voltage direct current (DC) output is generated to generate a ballistic electron beam that illuminates the substrate surface during part of the RF cycle, that is, during the positive half cycle of the combined RF output. It may be coupled to a plasma processing system. Ballistic electron beams can improve the characteristics of the dry plasma etching process, for example, by improving the etch selectivity between the underlying thin film (to be etched) and the mask layer. This reduces charge damage, such as electronic shading damage. Further details regarding the generation of a ballistic electron beam are disclosed in US Pat.

ここで図1を参照すると、弾道電子ビームを備えたプラズマ処理システムの概略図が供されている。当該プラズマ処理システムは、処理チャンバ内部で互いに対向するように設けられている第1電極120と第2電極172を有する。第1電極120は基板125を支持するように備えられている。第1電極120が、第1RF周波数でRF出力を供するように備えられている第1RF発生装置140と結合する。他方第2電極172は、第2RF周波数でRF出力を供するように備えられている第2RF発生装置170と結合する。たとえば第2RF周波数は第1RF周波数と同一であっても良いし、又は異なっていても良い。たとえば第2RF周波数は第1RF周波数よりも高くて良い。第1及び第2電極へのRF出力の結合はプラズマ130の生成を助ける。   Referring now to FIG. 1, a schematic diagram of a plasma processing system with a ballistic electron beam is provided. The plasma processing system includes a first electrode 120 and a second electrode 172 provided to face each other inside the processing chamber. The first electrode 120 is provided to support the substrate 125. A first electrode 120 is coupled to a first RF generator 140 that is equipped to provide an RF output at a first RF frequency. On the other hand, the second electrode 172 is coupled to a second RF generator 170 that is equipped to provide an RF output at a second RF frequency. For example, the second RF frequency may be the same as or different from the first RF frequency. For example, the second RF frequency may be higher than the first RF frequency. The coupling of the RF power to the first and second electrodes aids in the generation of plasma 130.

それに加えて当該プラズマ処理システムは、第2電極172にDC電圧を供するように備えられているDC出力供給体150を有する。ここで第2電極172への負のDC電圧の結合は、弾道電子ビーム135の生成を助ける。(たとえば)第2電極172への負のDC電圧の結合は弾道電子ビーム135の生成を助ける。電子ビーム出力は第2電極172での負のDC電圧の重ね合わせから得られる。特許文献2に記載されているように、当該プラズマ処理システムへの負のDC出力の印加は、基板125の表面を照射する弾道(衝突が起こらない)電子ビームの生成に影響を及ぼす。   In addition, the plasma processing system includes a DC power supply 150 that is equipped to provide a DC voltage to the second electrode 172. Here, the coupling of the negative DC voltage to the second electrode 172 helps to generate the ballistic electron beam 135. The coupling of a negative DC voltage to the second electrode 172 (for example) helps generate the ballistic electron beam 135. The electron beam output is obtained from the superposition of negative DC voltage at the second electrode 172. As described in Patent Document 2, application of a negative DC output to the plasma processing system affects the generation of a ballistic (non-collision) electron beam that irradiates the surface of the substrate 125.

一般的には、後述するように、弾道電子ビームは、任意の種類のプラズマ処理システムによって実装されて良い。この例では、負のDC電圧が、RF出力容量性結合プラズマ(CCP)処理システムで重ね合わせられる。従って本発明はこの例によって限定されない。この例は単なる例示目的に過ぎない。   In general, the ballistic electron beam may be implemented by any type of plasma processing system, as described below. In this example, a negative DC voltage is superimposed in an RF output capacitively coupled plasma (CCP) processing system. Therefore, the present invention is not limited by this example. This example is for illustrative purposes only.

弾道電子ビームがエッチング特性にとって重要であるが、本発明者らは、多くの場合では、弾道電子ビームを用いることで、ストライエーション又はパターンの異常(通常「ラインエッジラフネス」(LER)と呼ばれる)のマスク層内部での進展が引き起こされることを観察した。特に発明者らは、LERが、低ポリマー生成(たとえばCF2ラジカル含有量が比較的少ない)エッチング用化学物質(たとえばCF4)で頻繁に生じ、かつ高ポリマー生成(たとえばCF2ラジカル含有量が比較的多い)エッチング用化学物質(たとえばC4F8又はC5F8)では頻繁には生じないことを観察した。係るパターンの異常及び側壁の粗さは、現在及び/又は後続のエッチングプロセス中に下地層へ転写される恐れがある。たとえば結合を破壊するような励起を有するエッチングプロセス-たとえば弾道電子ビーム支援プラズマ-へ最初に基板を曝露する間、マスク層は変化し、それによりそのマスク層中に形成されたパターンは側壁粗さ(すなわちパターン異常)を示す恐れがある。その側壁粗さは、エッチングプロセスが進行することで、エッチングされる膜へ転写されてしまう。この結果、製造歩留まりの減少、及び/又は素子の性能や信頼性が低くなってしまう恐れがある。 While ballistic electron beams are important for etching properties, we often use striking electron beams to cause striations or pattern anomalies (usually referred to as “line edge roughness” (LER)). It was observed that the development inside the mask layer was caused. In particular, we find that LER frequently occurs with low polymer production (eg, relatively low CF 2 radical content) etch chemicals (eg, CF 4 ) and high polymer production (eg, CF 2 radical content). It has been observed that it does not occur frequently with relatively high etching chemicals (eg C 4 F 8 or C 5 F 8 ). Such pattern anomalies and sidewall roughness can be transferred to the underlying layer during the current and / or subsequent etching processes. For example, during the initial exposure of the substrate to an etching process that has an excitation that breaks bonds, such as a ballistic electron beam assisted plasma, the mask layer changes so that the pattern formed in the mask layer has a sidewall roughness. (Ie pattern abnormality). The sidewall roughness is transferred to the film to be etched as the etching process proceeds. As a result, the manufacturing yield may be reduced and / or the performance and reliability of the device may be lowered.

本発明者らは、上述したLER問題の原因を特定するため、弾道電子ビーム支援プラズマの特性について研究してきた。マスク層-たとえばフォトレジスト層-が強力な(たとえば約100eV超の電子エネルギーを有する)電子ビームに曝露される時間を長くすることで、そのマスク層が変化する結果、上述したようにエッチングプロセスが改善されるにもかかわらず、電子ビームへの最初の曝露が、ハロゲン原子種が存在するときにはマスク層内にストライエーション(以降LERと呼ぶ)を生成してしまう恐れのある損傷-電子誘起欠陥を含む-を引き起こす、と本発明者らは考えている。たとえばマスク層が、上記のフッ素含有エッチング用化学物質に曝露されるとき、マスク層の表面層での化学結合の破壊は、フッ素の酸化、並びに、マスク層表面から(入射電子のエネルギーによって決定される深さまで)の炭素、水素、及び酸素の除去を引き起こす。一般的には、従来の弾道電子ビームエッチングプロセスにおいては、たとえ弾道電子ビームの存在下でハロゲン原子種への曝露が続けて行われることがエッチングプロセスにとって有利であるとしても、弾道電子ビームの存在下でのハロゲン原子種へのマスク層の最初の曝露こそがLERを引き起こす、と本発明者らは考えている。   The inventors have studied the characteristics of ballistic electron beam assisted plasma in order to identify the cause of the LER problem described above. Increasing the exposure time of a mask layer--for example, a photoresist layer--to a strong electron beam (eg, having an electron energy greater than about 100 eV) changes the mask layer, resulting in an etching process as described above. Despite the improvements, the initial exposure to the electron beam has caused damage-electron induced defects that can create striations (hereinafter referred to as LER) in the mask layer when halogen species are present. The present inventors believe that it causes inclusion. For example, when the mask layer is exposed to the fluorine-containing etching chemicals described above, the chemical bond breakage at the surface layer of the mask layer is determined by the oxidation of the fluorine as well as from the mask layer surface (by the energy of the incident electrons. Cause removal of carbon, hydrogen, and oxygen to a certain depth. In general, in conventional ballistic electron beam etching processes, the presence of a ballistic electron beam is present even though it is advantageous for the etching process to continue exposure to halogen atom species in the presence of the ballistic electron beam. We believe that the initial exposure of the mask layer to the underlying halogen atom species causes LER.

よって本発明者らは、エッチングプロセスを行う前にマスク層を処理することで、エッチングプロセス中でのLERの進展を減少させることができると予想している。マスク層は、シリコン含有層を有しても良いし、又は非シリコン含有層を有しても良い。それに加えてマスク層は、たとえばフォトレジストのような感光性材料を有して良い。たとえばマスク層は、248ナノメートル(nm)のフォトレジスト、913ナノメートル(nm)のフォトレジスト、157ナノメートル(nm)のフォトレジスト、若しくはEUV(極紫外フォトレジスト)、又はこれらを混合したフォトレジストを有して良い。   Thus, the inventors expect that the LER progression during the etching process can be reduced by treating the mask layer before the etching process. The mask layer may have a silicon-containing layer or a non-silicon-containing layer. In addition, the mask layer may comprise a photosensitive material such as a photoresist. For example, the mask layer may be a 248 nanometer (nm) photoresist, a 913 nanometer (nm) photoresist, a 157 nanometer (nm) photoresist, EUV (extreme ultraviolet photoresist), or a mixture of these. A resist may be included.

一の実施例によると、パターニングされたマスク層は、そのマスク層内で形成されたパターンを下地の薄膜へ転写するエッチングプロセスを実行する前に、酸素含有プラズマ、若しくはハロゲンプラズマ、若しくは希ガスプラズマ、又はこれらの混合プラズマに曝露される。マスク層は、結合を破壊するような励起-たとえば強力な電子又は光子-が起こらない状態で、酸素含有プラズマ、若しくはハロゲンプラズマ、若しくは希ガスプラズマ、又はこれらの混合プラズマによって処理される。処理プラズマは、パターニングされたマスク上にほとんど又は全く強くないイオン(つまり基板において低エネルギーのイオン)を衝突させるプラズマであることが好ましい。よってプラズマ源へ供される高周波(RF)又はマイクロ波出力は、酸素又はハロゲンガスを分解及びイオン化し、かつ希ガスをイオン化するのに十分な出力レベルで供されることが好ましい。一の実施例では、プラズマ源への出力は約2000W以下であり、かつプラズマ源への出力は約500W以下であることが望ましい。それに加えて、基板電極へのバイアス出力は約500W未満であり、かつ基板電極へのバイアス出力は約100W未満であることが望ましく、かつバイアス出力は基板電極へ実質的に出力を印加しないことがより望ましい。さらに処理プラズマは約1〜30秒間行われ、かつ処理プラズマは約2〜20秒間-たとえば約10秒間-行われることが望ましい。   According to one embodiment, the patterned mask layer is subjected to an oxygen-containing plasma, or a halogen plasma, or a noble gas plasma prior to performing an etching process that transfers the pattern formed in the mask layer to the underlying thin film. Or are exposed to a mixed plasma thereof. The mask layer is treated with an oxygen-containing plasma, or a halogen plasma, or a rare gas plasma, or a mixed plasma thereof in the absence of excitation—such as strong electrons or photons—that would break the bond. The processing plasma is preferably a plasma that bombards the patterned mask with little or no strong ions (ie, low energy ions at the substrate). Thus, the radio frequency (RF) or microwave power provided to the plasma source is preferably provided at a power level sufficient to decompose and ionize oxygen or halogen gas and ionize noble gas. In one embodiment, the power to the plasma source is desirably about 2000 W or less and the power to the plasma source is desirably about 500 W or less. In addition, the bias output to the substrate electrode should be less than about 500 W, the bias output to the substrate electrode should be less than about 100 W, and the bias output should not substantially apply power to the substrate electrode. More desirable. Further, it is desirable that the processing plasma be performed for about 1-30 seconds and the processing plasma be performed for about 2-20 seconds, such as about 10 seconds.

マスク層の曝露は、エッチングプロセスに利用されるプラズマ処理システム-たとえば図1に図示されたプラズマ処理システム-内部で行われて良い。あるいは曝露は、内部でエッチングプロセスが行われるプラズマ処理システム以外の基板処理システム内で行われても良い。プラズマは、エッチングプロセス中でのプラズマの生成を助けるプラズマ生成システムを用いることによって、その場で生成されて良い。あるいはプラズマは、内部でエッチングプロセスが行われるプラズマ処理システム、又は別個の基板処理システムと結合する離れた場所に位置するプラズマ生成システムを用いることによって、係る曝露が行われるプラズマ処理チャンバ外で生成されても良い。   The exposure of the mask layer may be performed within a plasma processing system utilized in the etching process, such as the plasma processing system illustrated in FIG. Alternatively, the exposure may be performed in a substrate processing system other than the plasma processing system in which the etching process is performed. The plasma may be generated in situ by using a plasma generation system that assists in generating the plasma during the etching process. Alternatively, the plasma is generated outside the plasma processing chamber in which such exposure takes place by using a plasma processing system in which the etching process takes place, or a remotely located plasma generation system that couples with a separate substrate processing system. May be.

酸素含有プラズマは、O2、CO、CO2、NO、N2O、若しくはNO2、又はこれら2種類以上の混合気体から生成されて良い。酸素含有気体は、約10sccm(標準状態でのcl3/分)〜約1000sccm-たとえば約100sccm〜300sccm-の流速を有して良い。チャンバ圧力は約1mTorr〜約1000mTorrであって良い。また望ましくは、チャンバ圧力は約50mTorr〜約500mTorrであって良い。またより望ましくは、チャンバ圧力は約100mTorr〜約500mTorrであって良い。酸素含有プラズマは、不活性ガス、希ガス、N2、H2、又はCNをさらに有して良い。本発明者らは、酸素含有プラズマを用いることによって、酸素濃度が増大したマスク層内部での副次層(sub-layer)の生成を促進することができると考えている。このように処理されたマスク層が、以降のエッチングプロセスにおいてマスク層中でのLERの減少を助けることを、本発明者らは予想している。たとえばシリコン含有マスク層の場合では、LERの生成に対して特に耐性を有することが期待される「ガラス状」(つまりSiOx)副次層が形成されて良い。 The oxygen-containing plasma may be generated from O 2 , CO, CO 2 , NO, N 2 O, or NO 2 , or a mixture of two or more of these. The oxygen-containing gas may have a flow rate between about 10 sccm (cl 3 / min at normal conditions) to about 1000 sccm—for example about 100 sccm to 300 sccm—. The chamber pressure can be from about 1 mTorr to about 1000 mTorr. Also desirably, the chamber pressure may be between about 50 mTorr and about 500 mTorr. More desirably, the chamber pressure may be between about 100 mTorr and about 500 mTorr. The oxygen-containing plasma may further include an inert gas, a rare gas, N 2 , H 2 , or CN. The inventors believe that the use of oxygen-containing plasma can facilitate the generation of sub-layers within the mask layer with increased oxygen concentration. We expect that the mask layer thus treated will help reduce LER in the mask layer in subsequent etching processes. For example, in the case of a silicon-containing mask layer, a “glassy” (ie, SiO x ) sublayer that is expected to be particularly resistant to LER generation may be formed.

一例では、酸素含有プラズマによるマスク層の処理は、内部でエッチングプロセスが行われるプラズマ処理チャンバ中で行われる。プロセス条件は、約100scc〜約500sccmの範囲である酸素含有気体の流速、約100mTorr以上であるチャンバ圧力、(基板上に存在する)下部電極へ全く又はほとんど印加されないRFバイアス出力、約500Wである上部電極(又は誘導コイル)へのRF出力、及び約10秒である処理時間、を有して良い。他の例では、酸素含有プラズマによるマスク層の処理は、エッチングプロセスが行われるプラズマ処理チャンバ外のプラズマ源(つまりリモートプラズマ源)-たとえばマイクロ波出力プラズマ源-を用いて行われる。プロセス条件は、約100scc〜約500sccmの範囲である酸素含有気体の流速、約100mTorr以上であるチャンバ圧力、(基板上に存在する)下部電極へ全く又はほとんど印加されないRFバイアス出力、約1000Wである上部電極(又は誘導コイル)へのRF出力、及び約10秒である処理時間、を有して良い。   In one example, the treatment of the mask layer with the oxygen-containing plasma is performed in a plasma processing chamber in which an etching process is performed. The process conditions are oxygen-containing gas flow rate in the range of about 100 scc to about 500 sccm, chamber pressure of about 100 mTorr or more, RF bias power applied to the bottom electrode (present on the substrate) with little or no application, about 500 W. RF power to the top electrode (or induction coil) and processing time that is about 10 seconds may be included. In another example, treatment of the mask layer with an oxygen-containing plasma is performed using a plasma source (ie, a remote plasma source) outside the plasma processing chamber in which the etching process is performed, eg, a microwave power plasma source. The process conditions are oxygen-containing gas flow rate in the range of about 100 scc to about 500 sccm, chamber pressure of about 100 mTorr or more, RF bias power applied to the bottom electrode (present on the substrate) with little or no, about 1000 W. RF power to the top electrode (or induction coil) and processing time that is about 10 seconds may be included.

ハロゲン含有プラズマは、Cl2、Br2、F2、HBr、HCl、HF、C2H4Br2、ClF3、NF3、SiCl4、若しくはSF6、又はこれら2種類以上の気体の混合物から生成されて良い。ハロゲン含有気体は、約10sccm〜約1000sccm-たとえば約100sccm〜300sccm-の流速を有して良い。チャンバ圧力は約1mTorr〜約1000mTorrであって良い。また望ましくは、チャンバ圧力は約20mTorr〜約500mTorrであって良い。またより望ましくは、チャンバ圧力は約20mTorr〜約100mTorrであって良い。ハロゲン含有プラズマは、希ガス、N2、H2、又はCNをさらに有して良い。それに加えてハロゲン含有プラズマは、酸素含有プラズマをさらに有して良い。強力電子ビームが存在しない状態でマスク層をハロゲン含有プラズマへ曝露することによってマスク層の表面層が不活性化し、それによって以降のエッチングプロセスにおいてはマスク層中でのLERの減少が助けられる、と本発明者らは予想している。 Halogen-containing plasma can be Cl 2 , Br 2 , F 2 , HBr, HCl, HF, C 2 H 4 Br 2 , ClF 3 , NF 3 , SiCl 4 , or SF 6 , or a mixture of two or more gases. May be generated. The halogen-containing gas may have a flow rate between about 10 sccm and about 1000 sccm, such as between about 100 sccm and 300 sccm. The chamber pressure can be from about 1 mTorr to about 1000 mTorr. Also desirably, the chamber pressure may be from about 20 mTorr to about 500 mTorr. More desirably, the chamber pressure may be between about 20 mTorr and about 100 mTorr. The halogen-containing plasma may further include a noble gas, N 2 , H 2 , or CN. In addition, the halogen-containing plasma may further comprise an oxygen-containing plasma. Exposing the mask layer to a halogen-containing plasma in the absence of an intense electron beam deactivates the surface layer of the mask layer, thereby helping to reduce LER in the mask layer in subsequent etching processes. The inventors expect.

一例では、ハロゲン含有プラズマによるマスク層の処理は、内部でエッチングプロセスが行われるプラズマ処理チャンバ中で行われる。プロセス条件は、約100scc〜約500sccmの範囲であるハロゲン含有気体の流速、約25mTorrから約50mTorrの範囲であるチャンバ圧力、(基板上に存在する)下部電極へ全く又はほとんど印加されないRFバイアス出力、約100W〜約500Wである上部電極(又は誘導コイル)へのRF出力、及び約10秒である処理時間、を有して良い。他の例では、ハロゲン含有プラズマによるマスク層の処理は、エッチングプロセスが行われるプラズマ処理チャンバ外の(つまりそのチャンバから離れた)プラズマ源-たとえばマイクロ波出力プラズマ源-を用いて行われる。プロセス条件は、約100scc〜約500sccmの範囲であるハロゲン含有気体の流速、約100mTorr以上であるチャンバ圧力、(基板上に存在する)下部電極へ全く又はほとんど印加されないRFバイアス出力、約1000Wである上部電極(又は誘導コイル)へのRF出力、及び約10秒である処理時間、を有して良い。   In one example, the processing of the mask layer with the halogen-containing plasma is performed in a plasma processing chamber in which an etching process is performed. Process conditions include halogen-containing gas flow rates that range from about 100 scc to about 500 sccm, chamber pressures that range from about 25 mTorr to about 50 mTorr, RF bias output that is not or hardly applied to the bottom electrode (present on the substrate), RF power to the upper electrode (or induction coil) that is about 100W to about 500W, and a processing time that is about 10 seconds. In another example, treatment of the mask layer with a halogen-containing plasma is performed using a plasma source outside the plasma processing chamber in which the etching process is performed (ie, away from the chamber), such as a microwave power plasma source. The process conditions are a halogen-containing gas flow rate in the range of about 100 scc to about 500 sccm, a chamber pressure that is about 100 mTorr or more, an RF bias output that is not or hardly applied to the bottom electrode (present on the substrate), about 1000 W. RF power to the top electrode (or induction coil) and processing time that is about 10 seconds may be included.

希ガスプラズマは、希ガス-たとえばHe、Ne、Ar、Xe、Kr、又はこれら2種類以上の混合気体-から生成されて良い。希ガスは、約10sccm〜約1000sccm-たとえば約100sccm〜300sccm-の流速を有して良い。チャンバ圧力は約1mTorr〜約1000mTorrであって良い。また望ましくは、チャンバ圧力は約50mTorr〜約500mTorrであって良い。またより望ましくは、チャンバ圧力は約50mTorr〜約200mTorrであって良い。希ガスプラズマを用いることによって、炭素が豊富な、すなわち「炭素化」された、マスク層上の表面層(つまりたとえばO及びHが欠損した表面層)の生成が促進される、と本発明者らは考えている。その「炭素化」された表面層は、マスク層に衝突するイオンのイオンエネルギーに依存して、マスク層へ数ナノメートル(nm)(たとえば1〜10nm)だけ深く進展して良い。たとえば約25〜約50eVの範囲のエネルギーを有するイオンは、約1nm〜約2nmの深さまで入り込むはずである。このように処理されたマスク層は、以降のエッチングプロセスにおいてはマスク層中でのLERの減少を助ける、と本発明者らは予想している。   The rare gas plasma may be generated from a rare gas, such as He, Ne, Ar, Xe, Kr, or a mixture of two or more of these. The noble gas may have a flow rate between about 10 sccm and about 1000 sccm, such as between about 100 sccm and 300 sccm. The chamber pressure can be from about 1 mTorr to about 1000 mTorr. Also desirably, the chamber pressure may be between about 50 mTorr and about 500 mTorr. More desirably, the chamber pressure may be between about 50 mTorr and about 200 mTorr. The present inventor said that the use of a noble gas plasma facilitates the generation of a carbon-rich, ie “carbonized”, surface layer on the mask layer (ie, a surface layer lacking O and H, for example). Are thinking. The “carbonized” surface layer may extend deep into the mask layer by a few nanometers (nm) (eg, 1-10 nm) depending on the ion energy of the ions that impact the mask layer. For example, ions having an energy in the range of about 25 to about 50 eV should penetrate to a depth of about 1 nm to about 2 nm. We expect that the mask layer thus treated will help reduce LER in the mask layer in subsequent etching processes.

一例では、希ガスプラズマによるマスク層の処理は、内部でエッチングプロセスが行われるプラズマ処理チャンバ中で行われる。プロセス条件は、約100scc〜約300sccmの範囲である希ガスの流速、約25mTorrから約50mTorrの範囲であるチャンバ圧力、(基板上に存在する)下部電極へ全く又はほとんど印加されないRFバイアス出力、約100W〜約500Wである上部電極(又は誘導コイル)へのRF出力、及び約10秒である処理時間、を有して良い。他の例では、ハロゲン含有プラズマによるマスク層の処理は、エッチングプロセスが行われるプラズマ処理チャンバ外の(つまりそのチャンバから離れた)プラズマ源-たとえばマイクロ波出力プラズマ源-を用いて行われる。プロセス条件は、約100scc〜約500sccmの範囲である酸素含有気体の流速、約100mTorr以上であるチャンバ圧力、(基板上に存在する)下部電極へ全く又はほとんど印加されないRFバイアス出力、約500W〜約1000Wである上部電極(又は誘導コイル)へのRF出力、及び約10秒である処理時間、を有して良い。   In one example, the processing of the mask layer with the noble gas plasma is performed in a plasma processing chamber in which an etching process is performed. Process conditions include noble gas flow rates that range from about 100 scc to about 300 sccm, chamber pressures that range from about 25 mTorr to about 50 mTorr, RF bias output that is not or hardly applied to the bottom electrode (present on the substrate), about RF power to the upper electrode (or induction coil) that is 100W to about 500W, and a processing time that is about 10 seconds. In another example, treatment of the mask layer with a halogen-containing plasma is performed using a plasma source outside the plasma processing chamber in which the etching process is performed (ie, away from the chamber), such as a microwave power plasma source. Process conditions include oxygen-containing gas flow rates that range from about 100 scc to about 500 sccm, chamber pressure that is greater than about 100 mTorr, RF bias power that is not applied to the bottom electrode (present on the substrate) or little, about 500 W to about RF power to the top electrode (or induction coil) that is 1000 W, and processing time that is about 10 seconds.

他の実施例によると、保護層が、マスク層中に生成されたパターンを下地の薄膜へ転写するエッチングプロセスを実行する前に、そのマスク層上に形成される。マスク層上に形成される保護層は、エッチングプロセス中に(部分的に)消滅可能な材料層を有して良い。それによって保護層は、エッチングプロセスの初期の段階中にマスク層を保護することができる。あるいはその代わりにマスク層上に形成される保護層は、エッチングプロセス中、特にエッチングプロセスの初期段階でのエッチング耐性を増大させて良い。   According to another embodiment, a protective layer is formed on the mask layer prior to performing an etching process that transfers the pattern produced in the mask layer to the underlying thin film. The protective layer formed on the mask layer may comprise a material layer that can (partially) disappear during the etching process. Thereby, the protective layer can protect the mask layer during the early stages of the etching process. Alternatively, a protective layer formed on the mask layer may increase the etching resistance during the etching process, particularly at an early stage of the etching process.

マスク層上での保護層の形成は、エッチングプロセスに利用されるプラズマ処理システム-たとえば図1に図示されたプラズマ処理システム-内部で行われて良い。あるいは曝露は、内部でエッチングプロセスが行われるプラズマ処理システム以外の基板処理システム内で行われても良い。プラズマは、エッチングプロセス中でのプラズマの生成を助けるプラズマ生成システムを用いることによって、その場で生成されて良い。あるいはプラズマは、内部でエッチングプロセスが行われるプラズマ処理システム、又は別個の基板処理システムと結合する離れた場所に位置するプラズマ生成システムを用いることによって、係る曝露が行われるプラズマ処理チャンバ外で生成されても良い。   Formation of the protective layer on the mask layer may be performed within a plasma processing system utilized in the etching process, such as the plasma processing system illustrated in FIG. Alternatively, the exposure may be performed in a substrate processing system other than the plasma processing system in which the etching process is performed. The plasma may be generated in situ by using a plasma generation system that assists in generating the plasma during the etching process. Alternatively, the plasma is generated outside the plasma processing chamber in which such exposure takes place by using a plasma processing system in which the etching process takes place, or a remotely located plasma generation system that couples with a separate substrate processing system. May be.

マスク層上に保護層を形成するとき、堆積気体プラズマが利用される。その堆積気体プラズマへマスク層を曝露する結果が、基板表面上での正味の材料の堆積となる。マスク層上での保護層の形成は、堆積気体プラズマへのマスク層の曝露を含む。堆積気体プラズマとはたとえば、炭化水素含有プラズマ(つまりCxHy含有プラズマ。xとyは1以上の整数を表す。)、若しくはフルオロカーボン含有プラズマ(つまりCxFz含有プラズマ。xとzは1以上の整数を表す。)、若しくはハイドロフルオロカーボン含有プラズマ(つまりCxHyFz含有プラズマ。x、yとzは1以上の整数を表す。)、又はこれら2種類以上の混合プラズマである。マスク層は、結合を破壊するような励起-たとえば強力な電子又は光子-が存在しない状態で、気体プラズマを堆積することによって処理される。CxHy含有プラズマは、C2H4、CH4、C2H6、C3H4、C3H6、C3H8、C4H6、C4H8、C5H8、C5H10、C6H6、C6H10、若しくはC6H12、又はこれら2種類以上の混合物を用いることによって生成されて良い。CxFz含有プラズマは、C2F6、CF4、C3F8、C4F8、C5F8、若しくはC4F6、又はこれら2種類以上の混合物を用いることによって生成されて良い。CxHyFz含有プラズマは、CH3F、C2HF5、CH2F3、若しくはCHF3、又はこれら2種類以上の混合物を用いることによって生成されて良い。 Deposition gas plasma is utilized when forming a protective layer on the mask layer. The result of exposing the mask layer to the deposition gas plasma is a net deposition of material on the substrate surface. Formation of the protective layer on the mask layer includes exposure of the mask layer to a deposition gas plasma. The deposition gas plasma is, for example, a hydrocarbon-containing plasma (that is, a C x H y- containing plasma. X and y represent an integer of 1 or more), or a fluorocarbon-containing plasma (that is, a C x F z- containing plasma, where x and z are 1 represents an integer of 1 or more), or a hydrofluorocarbon-containing plasma (that is, C x H y F z- containing plasma. X , y and z represent an integer of 1 or more), or a mixed plasma of two or more of these. . The mask layer is processed by depositing a gas plasma in the absence of excitation—such as strong electrons or photons—that would break the bonds. C x Hy containing plasma is C 2 H 4 , CH 4 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 H 8 , C 5 H 8 , C 5 H 10 , C 6 H 6 , C 6 H 10 , or C 6 H 12 , or a mixture of two or more of these. C x F z-containing plasma is generated by using a C 2 F 6, CF 4, C 3 F 8, C 4 F 8, C 5 F 8, or C 4 F 6, or mixtures of two or more types thereof Good. C x H y F z containing plasma, CH 3 F, C 2 HF 5, CH 2 F 3, or CHF 3, or may be generated by using a combination of two or more thereof.

プロセス条件は、上述した1種類以上の堆積気体を用いることによって、マスク層上に、ハイドロカーボンの、若しくはフルオロカーボンの、又はこれらの混合物の保護層を形成するように選ばれる。プロセス条件は、マスク層中に形成されるパターンが閉じこめられない、すなわち封鎖されないように選ばれなければならない。保護層は平坦な領域を覆って良い。それに加えて保護層は、パターン上にある程度の突出部を有して良く、さらにはマスク層中のパターンの側壁を被覆する部分をある程度有しても良い。たとえばプロセス条件は、ほとんど又は全くスパッタリングをしない(つまり基板表面でのイオンエネルギーが低い)イオン堆積プラズマを生成するように選ばれなければならない。堆積気体は、約10sccm〜約1000sccmの流速を有して良い。望ましくは、堆積気体は、約100sccm〜300sccm-たとえば200sccm-の流速を有して良い。チャンバ圧力は約1mTorr〜約1000mTorrであって良い。また望ましくは、チャンバ圧力は約50mTorr〜約500mTorrであって良い。またより望ましくは、チャンバ圧力は約100mTorr〜約500mTorrであって良い。それに加えて堆積気体プラズマは、たとえば希ガスのような希釈気体をさらに有して良い。たとえば堆積気体の流速は気体混合物の約1%から約20%の範囲であって良い一方で、残りの成分は希釈気体の流速を有する。それに加えてたとえば堆積気体の流速は気体混合物の約5%から約10%の範囲であって良い一方で、残りの成分は希釈気体の流速を有する。さらに堆積気体はまた、H2、O2、CO、CO2、NO、NO2、N2、CN、若しくは不活性ガス、又はこれら2種類以上の混合気体をも有して良い。 The process conditions are selected to form a protective layer of hydrocarbon, fluorocarbon, or a mixture thereof on the mask layer by using one or more of the deposition gases described above. The process conditions must be chosen so that the pattern formed in the mask layer is not confined, i.e. not blocked. The protective layer may cover a flat area. In addition, the protective layer may have a certain amount of protrusions on the pattern, and may further have a portion covering the side wall of the pattern in the mask layer. For example, the process conditions must be chosen to produce an ion deposited plasma that has little or no sputtering (ie, low ion energy at the substrate surface). The deposition gas may have a flow rate between about 10 sccm and about 1000 sccm. Desirably, the deposition gas may have a flow rate between about 100 sccm and 300 sccm, such as 200 sccm. The chamber pressure can be from about 1 mTorr to about 1000 mTorr. Also desirably, the chamber pressure may be between about 50 mTorr and about 500 mTorr. More desirably, the chamber pressure may be between about 100 mTorr and about 500 mTorr. In addition, the deposition gas plasma may further comprise a diluent gas, such as a noble gas. For example, the deposition gas flow rate may range from about 1% to about 20% of the gas mixture, while the remaining components have a dilution gas flow rate. In addition, for example, the deposition gas flow rate can range from about 5% to about 10% of the gas mixture, while the remaining components have a dilution gas flow rate. Further, the deposition gas may also include H 2 , O 2 , CO, CO 2 , NO, NO 2 , N 2 , CN, or an inert gas, or a mixture of two or more of these.

一例では、CF(つまりCxFz)ポリマーを堆積するときには、堆積気体-たとえばC4F8又はC4F6のようなものでCF4を有していても良いし有していなくても良い-が用いられて良い。プロセス条件は、約100scc〜約500sccmの範囲である希釈気体の流速、希釈気体流速の約1%から約20%の範囲である堆積気体の流速、約50mTorr〜約200mTorrの範囲であるチャンバ圧力、(基板上に存在する)下部電極へ全く又はほとんど印加されないRFバイアス出力、約500W〜約1000Wである上部電極(又は誘導コイル)へのRF出力、及び約数nm〜約200nmの厚さを有する膜を生成するのに十分な処理時間、を有して良い。 In one example, when depositing a CF (ie C x F z ) polymer, the deposition gas—such as C 4 F 8 or C 4 F 6 , may or may not have CF 4. Also good-may be used. Process conditions include a dilution gas flow rate that ranges from about 100 scc to about 500 sccm, a deposition gas flow rate that ranges from about 1% to about 20% of the dilution gas flow rate, a chamber pressure that ranges from about 50 mTorr to about 200 mTorr, RF bias output with little or no applied to the bottom electrode (present on the substrate), RF power to the top electrode (or induction coil) that is about 500 W to about 1000 W, and a thickness of about a few nm to about 200 nm There may be sufficient processing time to produce the film.

他の例では、CH(つまりCxHy)ポリマーを堆積するときには、プロセス条件は、約100scc〜約500sccmの範囲である希釈気体の流速、希釈気体流速の約1%から約20%の範囲である堆積気体の流速、約50mTorr〜約200mTorrの範囲であるチャンバ圧力、(基板上に存在する)下部電極へ全く又はほとんど印加されないRFバイアス出力、約500W〜約1500Wである上部電極(又は誘導コイル)へのRF出力、及び約数nm〜約200nmの厚さを有する膜を生成するのに十分な処理時間、を有して良い。 In another example, when depositing a CH (i.e. C x H y) polymer, process conditions, the range the flow rate of the dilution gas in the range of about 100scc~ about 500 sccm, from about 1% dilution gas flow rate of about 20% Deposition gas flow rate, chamber pressure in the range of about 50 mTorr to about 200 mTorr, RF bias output with little or no application to the bottom electrode (present on the substrate), top electrode (or induction) of about 500 W to about 1500 W RF power to the coil) and a processing time sufficient to produce a film having a thickness of about a few nm to about 200 nm.

必要とされる保護層の厚さは、CF膜よりもCH膜の方が厚くなると考えられる。その理由は、CF膜がエッチングプロセス中では比較的強いエッチング耐性を供することができる、と本発明者らは考えているからである。保護層の最小厚さは、エッチングプロセスにおける荷電種の進行深さに従って選ばれなければならない。たとえば、1keVの電子ビームに対しては約50nmの厚さを有する膜が必要となり、1.5keVの電子ビームに対しては約100nmの厚さを有する膜が必要となると考えられる。   The required thickness of the protective layer is considered to be greater for the CH film than for the CF film. This is because the present inventors consider that the CF film can provide a relatively strong etching resistance during the etching process. The minimum thickness of the protective layer must be chosen according to the depth of the charged species in the etching process. For example, a film having a thickness of about 50 nm is required for an electron beam of 1 keV, and a film having a thickness of about 100 nm is required for an electron beam of 1.5 keV.

さらに他の例では、マスク層上での保護層の形成は、たとえばメタノールやエタノールといったアルコールにマスク層を浸漬させる工程を含んで良い。   In yet another example, forming the protective layer on the mask layer may include a step of immersing the mask layer in an alcohol such as methanol or ethanol.

炭化水素ベースの化学物質又はハイドロフルオロカーボンベースの化学物質を用いることによってマスク層上に保護層を形成することで、マスク層表面での水素含有量が増大し、その結果エッチングプロセス初期段階中での強力な電子が弱められる、と本発明者らは予想している。これらのエッチングプロセス初期段階中での強力な電子の損傷効果を緩和することによって、犠牲層は、以降のエッチングプロセスにおけるマスク層中でのLERの減少を助けることができる。それに加えて、はハイドロフルオロカーボンベースの化学物質又はフルオロカーボンベースの化学物質を用いることによってマスク層上に保護層を形成することは、エッチングプロセス中でのマスク層にさらなるエッチング耐性を供するポリマー膜の生成を助ける。改質されたマスク層に対するエッチング選択性を改善することは、以降のエッチングプロセスにおけるマスク層中でのLERの減少の一助となる。   By forming a protective layer on the mask layer by using a hydrocarbon-based chemical or a hydrofluorocarbon-based chemical, the hydrogen content on the mask layer surface is increased, resulting in an early stage of the etching process. We expect that strong electrons will be weakened. By mitigating the strong electron damage effects during these early stages of the etching process, the sacrificial layer can help reduce LER in the mask layer in subsequent etching processes. In addition, forming a protective layer on the mask layer by using a hydrofluorocarbon-based chemical or a fluorocarbon-based chemical creates a polymer film that provides additional etch resistance to the mask layer during the etching process. Help. Improving the etch selectivity for the modified mask layer helps to reduce the LER in the mask layer in subsequent etching processes.

さらに他の実施例によると、マスク層は、エッチングプロセスを行う前に、ハロゲン原子種(つまりF、Cl、Br等)が存在しない状態で、電子ビームによって処理される。ハロゲン原子種が存在しない状態で、マスク層を電子ビームに曝露することによって、そのマスク層の表面は「硬化」すなわち硬くなり、その結果そのマスク層は、エッチングプロセス中にLERの生成に対して影響を受けにくくなる、と本発明者らは予想している。   According to yet another embodiment, the mask layer is treated with an electron beam in the absence of halogen atom species (ie, F, Cl, Br, etc.) prior to performing the etching process. By exposing the mask layer to an electron beam in the absence of halogen atom species, the surface of the mask layer is “cured” or hardened so that the mask layer is resistant to LER generation during the etching process. The inventors expect that they will be less affected.

電子ビームへのマスク層の曝露は、内部でエッチングプロセスが行われるプラズマ処理システム-たとえば図1に図示されたプラズマ処理システム-内で行われて良い。あるいは曝露は、内部でエッチングプロセスが行われるプラズマ処理システム以外のプラズマ処理システム内で行われても良い。たとえば電子ビーム源は、(エッチングプロセス用である)プラズマ処理システム、又は他の基板処理システムと結合して良い。またたとえば電子ビーム源は、マスク層を処理するための電子ビームを発生させるように備えられて良い。   Exposure of the mask layer to the electron beam may occur in a plasma processing system in which the etching process is performed, such as the plasma processing system illustrated in FIG. Alternatively, the exposure may be performed in a plasma processing system other than the plasma processing system in which the etching process is performed. For example, the electron beam source may be combined with a plasma processing system (for an etching process) or other substrate processing system. Also, for example, an electron beam source may be provided to generate an electron beam for processing the mask layer.

あるいはその代わりにたとえば、電子ビームは、直流(DC)出力を(図1及び2〜7に図示されたような)プラズマ処理システム内部の電極へ結合し、かつプラズマを生成することによって、そのプラズマ処理システム内部で発生させて良い。図1を参照すると、エッチング前プラズマは、交流(AC)出力-たとえば高周波(RF)出力-を第1電極120及び/又は第2電極172へ結合することによって生成されて良い。またエッチング前電子ビームは、DC出力を第2電極172へ結合することによって生成されて良い。   Alternatively, for example, the electron beam can couple its direct current (DC) output to an electrode within the plasma processing system (as illustrated in FIGS. 1 and 2-7) and generate the plasma by generating a plasma. It may be generated inside the processing system. Referring to FIG. 1, a pre-etch plasma may be generated by coupling an alternating current (AC) output—eg, a radio frequency (RF) output—to the first electrode 120 and / or the second electrode 172. The pre-etch electron beam may also be generated by coupling the DC output to the second electrode 172.

エッチング前電子ビームを用いることによって、マスク層の表面層はエッチングプロセス前に処理することができる。処理深さは約1nm〜約100nmの範囲であって良い。望ましくは、処理深さは約5nm〜約50nmの範囲-たとえば10nm-であって良い。これらの進行深さは、約500eV〜約1.5keVの範囲である電子ビームエネルギーを用いることによって実現可能である。エッチング前電子ビームのエネルギーは最大約1.5keVであって良い。望ましくは、エッチング前電子ビームのエネルギーは約200eV〜約1.5keVの範囲-たとえば500eV-であって良い。エッチング前電子ビーム露光は、約1014/1平方センチメートルあたり(cm-2)〜約1016cm-2の範囲の電子照射量を生成するように選ばれて良い。 By using a pre-etch electron beam, the surface layer of the mask layer can be treated before the etching process. The processing depth can range from about 1 nm to about 100 nm. Desirably, the processing depth may be in the range of about 5 nm to about 50 nm, such as 10 nm. These travel depths can be achieved by using electron beam energy that ranges from about 500 eV to about 1.5 keV. The energy of the pre-etch electron beam can be up to about 1.5 keV. Desirably, the energy of the pre-etch electron beam may be in the range of about 200 eV to about 1.5 keV, such as 500 eV. Pre-etching electron beam exposure can be selected to generate an electron irradiation amount in the range of about 10 14 / per square centimeter (cm -2) ~ about 10 16 cm -2.

一例では、エッチング前電子ビームは図1のプラズマ処理システム内で生成される。プロセス条件は、約100scc〜約300sccmの範囲である希ガスの流速、約20mTorrから約100mTorrの範囲であるチャンバ圧力、(基板上に存在する)下部電極へ全く又はほとんど印加されないRFバイアス出力、約500W〜約1000Wである上部電極(又は誘導コイル)へのRF出力、約-500V〜約-1000Vの範囲である上部電極へのDC電圧、及び約10秒である処理時間、を有して良い。   In one example, the pre-etch electron beam is generated in the plasma processing system of FIG. Process conditions include noble gas flow rates ranging from about 100 sccc to about 300 sccm, chamber pressure ranging from about 20 mTorr to about 100 mTorr, RF bias power applied to the bottom electrode (existing on the substrate) with little or no application, about RF power to the top electrode (or induction coil) that is 500W to about 1000W, DC voltage to the top electrode that is in the range of about -500V to about -1000V, and processing time that is about 10 seconds. .

エッチング前プラズマは、たとえば希ガス(つまりHe、Ne、Ar、Xe、Kr)のような不活性ガスを用いることによって生成されて良い。それに加えてエッチング前プラズマはCHF3をさらに有して良い。プラズマ存在下で、CHF3の分解は、多くのCF2(ポリマー生成ラジカル)と(イオン結合性)HFを生成しようとする。ポリマー形成ラジカルは、上述したように犠牲層を供することによって、マスク層の処理にとって有利となりうる。しかし、上述のLER問題を緩和しながらマスク層を処理するため、((複数の種類の)不活性プラズマ生成気体へ)追加される気体は、プラズマの存在下でハロゲン原子種が存在しないように選ばれなければならない。 The pre-etch plasma may be generated by using an inert gas such as a rare gas (ie, He, Ne, Ar, Xe, Kr). In addition, the pre-etch plasma may further include CHF 3 . In the presence of plasma, the decomposition of CHF 3 tends to produce a lot of CF 2 (polymer-forming radicals) and (ion-binding) HF. The polymer-forming radicals can be advantageous for mask layer processing by providing a sacrificial layer as described above. However, in order to process the mask layer while mitigating the above-mentioned LER problem, the added gas (to the inert plasma generation gas (s)) should be free of halogen atom species in the presence of plasma. Must be chosen.

マスク層は、エッチング前電子ビーム及びエッチング前プラズマによって、所定期間-たとえば約10秒-処理されて良い。さらにエッチング前電子ビームは約1〜30秒間実行される。望ましくは、エッチング前電子ビームは約2〜20秒間-たとえば約10秒間-実行される。この処理後、エッチング用プラズマはエッチング用気体を用いることによって生成されて良く、エッチング用電子ビームが生成されて良く、かつエッチングプロセスは、処理されるマスク層を有する基板を、エッチング用プラズマとエッチング用電子ビームへ曝露することによって進められて良い。エッチング前電子ビームのエネルギーは、エッチング用電子ビームのエネルギーにほぼ等しい値に選ばれて良い。あるいはその代わりに、エッチング前電子ビームのエネルギーは、エッチング用電子ビームのエネルギー未満の値に選ばれて良い。たとえばエッチング前電子ビームのエネルギーは約500eVであって良い。他方エッチング用電子ビームのエネルギーは約1500eVであって良い。電子ビームのエネルギー(又は図1の第2電極172へ印加される電圧)は、エッチング前処理中にステップ状に上昇して良い。あるいは電子ビームのエネルギーは、エッチング前処理中に一定の割合で上昇しても良い。それに加えて電子ビームのエネルギー(又は図1の第2電極172へ印加される電圧)はパルス状であって良い。たとえば第2電極172へ印加される電圧は約0V〜約-2000Vの間のパルスであって良い。あるいは望ましくは、その電圧は約-100V〜約-1500Vの間のパルスであって良い。あるいはより望ましくは、その電圧は約-500V〜約-1500Vの間のパルスであって良い。   The mask layer may be treated with a pre-etch electron beam and a pre-etch plasma for a predetermined period of time, for example, about 10 seconds. Further, the pre-etch electron beam is performed for about 1-30 seconds. Desirably, the pre-etch electron beam is performed for about 2 to 20 seconds, such as about 10 seconds. After this treatment, an etching plasma may be generated by using an etching gas, an etching electron beam may be generated, and the etching process may etch the substrate having the mask layer to be processed with the etching plasma. It can be proceeded by exposure to an electron beam. The energy of the electron beam before etching may be selected to be approximately equal to the energy of the etching electron beam. Alternatively, the energy of the pre-etching electron beam may be chosen to be less than the energy of the etching electron beam. For example, the energy of the pre-etch electron beam can be about 500 eV. On the other hand, the energy of the etching electron beam may be about 1500 eV. The energy of the electron beam (or the voltage applied to the second electrode 172 in FIG. 1) may increase stepwise during the pre-etching process. Alternatively, the energy of the electron beam may increase at a constant rate during the pre-etching process. In addition, the energy of the electron beam (or the voltage applied to the second electrode 172 in FIG. 1) may be pulsed. For example, the voltage applied to the second electrode 172 may be a pulse between about 0V and about −2000V. Alternatively, desirably, the voltage may be a pulse between about -100V and about -1500V. Or more desirably, the voltage may be a pulse between about -500V and about -1500V.

マスク層のエッチング前電子ビーム処理はまた、酸素含有プラズマ、又はハロゲン含有プラズマ、又は希ガスプラズマを用いたマスク層の処理に先立って行われても良い。それに加えてマスク層のエッチング前電子ビーム処理もまた、マスク層上の保護層の形成に先立って行われて良い。たとえばエッチング前電子ビームは、保護層の形成中にポリマーを成長するため、マスク層の表面を処理して良い。   The pre-etching electron beam treatment of the mask layer may also be performed prior to the mask layer treatment using oxygen-containing plasma, halogen-containing plasma, or noble gas plasma. In addition, pre-etching electron beam treatment of the mask layer may also be performed prior to the formation of the protective layer on the mask layer. For example, a pre-etch electron beam may treat the surface of the mask layer to grow the polymer during the formation of the protective layer.

これらの実施例は、後述するように如何なる種類のプラズマ処理システムに実装されても良い。   These embodiments may be implemented in any type of plasma processing system as will be described later.

ここで図2を参照すると、弾道電子ビームによって改善されたプラズマを用いて下地層をエッチングする前に、マスク層を処理するように備えられたプラズマ処理システムが、本発明に従って与えられている。プラズマ処理システム1は、プラズマの生成を助けるように備えられたプラズマ処理チャンバ8、該プラズマ処理チャンバ8と結合して基板3を支持するように備えられている基板ホルダ2、及び前記プラズマ処理チャンバ8と結合して前記プラズマと接するように備えられている電極9を有する。それに加えてプラズマ処理システム1は、前記プラズマ処理チャンバ8と結合して、前記プラズマを生成するために前記基板ホルダ2及び/又は前記電極9に少なくとも一のAC信号を結合するように備えられているAC出力システム4、並びに、前記プラズマ処理チャンバ8と結合し、かつ前記プラズマを介して弾道電子ビームを生成するためにDC電圧を前記電極9と結合するように備えられているDC出力システム5をさらに有する。   Referring now to FIG. 2, a plasma processing system is provided in accordance with the present invention that is equipped to process a mask layer prior to etching the underlying layer using a plasma improved by a ballistic electron beam. A plasma processing system 1 includes a plasma processing chamber 8 provided to assist in the generation of plasma, a substrate holder 2 provided to support the substrate 3 in combination with the plasma processing chamber 8, and the plasma processing chamber The electrode 9 is provided so as to be connected to the plasma and to be in contact with the plasma. In addition, the plasma processing system 1 is provided to couple with the plasma processing chamber 8 and to couple at least one AC signal to the substrate holder 2 and / or the electrode 9 to generate the plasma. An AC output system 4 as well as a DC output system 5 coupled to the plasma processing chamber 8 and provided to couple a DC voltage with the electrode 9 to generate a ballistic electron beam through the plasma It has further.

さらにプラズマ処理システム1は、前記プラズマ処理チャンバ8と結合して、かつ上記実施例に記載された任意の気体を導入するように備えられているプロセスガス分配システム6を有する。さらにプラズマ処理システム1は、前記プラズマ処理チャンバ8と結合して、かつ前記処理チャンバから気体を排気するように備えられている真空排気システム(図示されていない)を有する。   The plasma processing system 1 further comprises a process gas distribution system 6 coupled to the plasma processing chamber 8 and provided to introduce any gas described in the above embodiments. Further, the plasma processing system 1 has an evacuation system (not shown) coupled to the plasma processing chamber 8 and provided to exhaust gas from the processing chamber.

任意で、プラズマ処理システム1は制御装置7をさらに有する。前記制御装置7は、プラズマ処理チャンバ8、基板ホルダ2、AC出力システム4、DC出力システム5、及びAC出力変調システム6と結合し、かつプラズマ処理チャンバ8内で基板3を処理するプロセスを実行するために、上記構成部品の各々とデータのやり取りを行うように備えられている。プラズマ処理システム1は、基板3上のマスク層の処理、及び/又は基板3のエッチングプロセスを助けることができる。   Optionally, the plasma processing system 1 further comprises a control device 7. The controller 7 is coupled to the plasma processing chamber 8, the substrate holder 2, the AC output system 4, the DC output system 5, and the AC output modulation system 6, and executes a process for processing the substrate 3 in the plasma processing chamber 8. In order to do so, it is provided to exchange data with each of the above components. The plasma processing system 1 can assist in the processing of the mask layer on the substrate 3 and / or the etching process of the substrate 3.

図3は、本発明の他の実施例によるプラズマ処理システムの概略図を示している。プラズマ処理システム1aは、プラズマ処理チャンバ10、被処理基板25が固定される基板ホルダ20、及び真空排気システム30を有する。基板25は、半導体基板、ウエハ、又は液晶ディスプレイであって良い。プラズマ処理チャンバ10は、基板25の表面に隣接する処理領域15内でのプラズマを助けるように備えられて良い。電離可能気体又は複数の気体の混合物が、気体注入システム(図示されていない)を介して導入され、処理圧力が調節される。たとえば制御機構(図示されていない)は、真空排気システム30を絞るのに用いられて良い。プラズマは、所定の材料処理に固有の材料の生成、及び/又は基板25の露出表面からの材料の除去の補助に利用されて良い。プラズマ処理システム1aは、如何なるサイズの基板-たとえば200mm基板、300mm基板、又はそれ以上の基板-をも処理するように備えられて良い。   FIG. 3 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention. The plasma processing system 1a includes a plasma processing chamber 10, a substrate holder 20 to which a substrate to be processed 25 is fixed, and a vacuum exhaust system 30. The substrate 25 may be a semiconductor substrate, a wafer, or a liquid crystal display. A plasma processing chamber 10 may be provided to assist the plasma in the processing region 15 adjacent to the surface of the substrate 25. An ionizable gas or mixture of gases is introduced via a gas injection system (not shown) and the process pressure is adjusted. For example, a control mechanism (not shown) may be used to throttle the evacuation system 30. The plasma may be utilized to generate material specific to a given material process and / or assist in removing material from the exposed surface of the substrate 25. The plasma processing system 1a may be equipped to process any size substrate, such as a 200 mm substrate, a 300 mm substrate, or a larger substrate.

基板25は静電固定システムによって基板ホルダ20に固定されて良い。さらに基板ホルダ20は、再循環流体流を含む加熱システム又は冷却システムを有して良い。その再循環流体流は、冷却時には、基板ホルダ20から熱を受け取り、かつ熱を熱交換システム(図示されていない)へ輸送する。またその再循環流体流は、加熱時には、熱交換システムから流体流へ熱を輸送する。しかも基板25と基板ホルダ20との間の気体ギャップ熱伝導を改善するため、気体は、背面気体システムを介して基板25の背面へ供給されて良い。係るシステムは、昇温又は降温での基板の温度制御が必要なときに利用されて良い。たとえば背面気体システムは、2領域気体分配システムを有して良い。このシステムでは、背面気体(たとえばヘリウム)圧力は、基板25の中心と端部との間で独立に変化して良い。他の実施例では、加熱/冷却素子-たとえば抵抗加熱素子又は熱電ヒータ/冷却器-は、プラズマ処理チャンバ10のチャンバ壁やプラズマ処理システム1a内部の他の部品だけではなく、基板ホルダ20内にも含まれて良い。   The substrate 25 may be fixed to the substrate holder 20 by an electrostatic fixing system. Further, the substrate holder 20 may have a heating or cooling system that includes a recirculating fluid stream. The recirculating fluid stream receives heat from the substrate holder 20 during cooling and transports the heat to a heat exchange system (not shown). The recirculating fluid stream also transports heat from the heat exchange system to the fluid stream when heated. Moreover, gas may be supplied to the backside of the substrate 25 via a backside gas system to improve gas gap heat conduction between the substrate 25 and the substrate holder 20. Such a system may be used when temperature control of the substrate with temperature increase or decrease is required. For example, the backside gas system may have a two-zone gas distribution system. In this system, the backside gas (eg, helium) pressure may vary independently between the center and end of the substrate 25. In other embodiments, heating / cooling elements, such as resistance heating elements or thermoelectric heaters / coolers, may be placed in the substrate holder 20 as well as chamber walls of the plasma processing chamber 10 and other components within the plasma processing system 1a. May also be included.

図3に図示された実施例では、基板ホルダ20は電極を有して良く、その電極を介してRF出力は処理空間15内の処理プラズマと結合する。たとえば基板ホルダ20は、RF発生装置40から任意のインピーダンス整合ネットワーク42を経由して基板ホルダ20に至るRF出力伝送線を介して、RF電圧によるバイアスがかけられて良い。RFバイアスは、電子を加熱してプラズマを生成及び保持する役割を果たすか、かつ/又はシース内部でのイオンエネルギー分配関数に影響を及ぼす役割を果たして良い。この構成では、そのシステムは反応性イオンエッチング(RIE)反応装置として動作して良い。RIE反応装置では、チャンバ及び上部気体注入電極は接地面として機能する。RFバイアスの典型的な周波数は0.1MHzから100MHzの範囲であって良い。プラズマ処理用のRFシステムは当業者にとって既知である。   In the embodiment illustrated in FIG. 3, the substrate holder 20 may have an electrode through which the RF power is coupled with the processing plasma in the processing space 15. For example, the substrate holder 20 may be biased with an RF voltage via an RF output transmission line from the RF generator 40 via the optional impedance matching network 42 to the substrate holder 20. The RF bias may serve to heat the electrons to generate and hold the plasma and / or influence the ion energy distribution function within the sheath. In this configuration, the system may operate as a reactive ion etching (RIE) reactor. In the RIE reactor, the chamber and upper gas injection electrode function as a ground plane. A typical frequency for the RF bias may range from 0.1 MHz to 100 MHz. RF systems for plasma processing are known to those skilled in the art.

さらに基板ホルダ20と結合するRF出力の振幅は、基板25に対する電子ビーム束の空間分布の変化に影響を及ぼすために変調されて良い。付加的詳細は特許文献3に記載されている。   Further, the amplitude of the RF output coupled to the substrate holder 20 may be modulated to affect the change in the spatial distribution of the electron beam bundle relative to the substrate 25. Additional details are described in US Pat.

しかもインピーダンス整合ネットワーク42は、反射出力を減少させることによって、プラズマ処理チャンバ10内のプラズマへのRF出力の輸送を改善する役割を果たす。整合ネットワークの接続形態(たとえばL型、π型、T型等)及び自動制御方法は、当業者にとって周知である。   Moreover, the impedance matching network 42 serves to improve the transport of RF power to the plasma within the plasma processing chamber 10 by reducing the reflected power. Match network topology (eg, L-type, π-type, T-type, etc.) and automatic control methods are well known to those skilled in the art.

さらに図3を参照すると、プラズマ処理システム1aは、基板25に対向する上部電極52と結合する直流(DC)出力供給体50をさらに有する。上部電極52は電極板を有して良い。その電極板はシリコンを含む電極板を有して良い。しかも電極板はドーピングされたシリコン電極板を有して良い。DC出力供給体は可変DC出力供給体を有して良い。それに加えてDC出力供給体は双極性DC出力供給体を有して良い。DC出力供給体50は、該DC出力供給体50の極性、電流、電圧、若しくはオン/オフ状態の監視、及び/又は調節、及び/又は制御を行うように備えられたシステムをさらに有して良い。一旦プラズマが生成されると、DC出力供給体50は弾道電子ビームの生成を助ける。DC出力供給体50からRF出力を分離するのに電気フィルタが利用されて良い。   Still referring to FIG. 3, the plasma processing system 1a further includes a direct current (DC) output supply 50 coupled to the upper electrode 52 facing the substrate 25. The upper electrode 52 may include an electrode plate. The electrode plate may comprise an electrode plate comprising silicon. Moreover, the electrode plate may comprise a doped silicon electrode plate. The DC output supply may comprise a variable DC output supply. In addition, the DC output supply may comprise a bipolar DC output supply. The DC output supply 50 further comprises a system equipped to monitor and / or regulate and / or control the polarity, current, voltage, or on / off status of the DC output supply 50. good. Once the plasma is generated, the DC power supply 50 helps generate a ballistic electron beam. An electrical filter may be used to separate the RF output from the DC output supply 50.

たとえばDC出力供給体50によって電極52に印加されるDC電圧は、約-2000ボルト(V)から約1000Vの範囲であって良い。望ましくはDC電圧の絶対値は約100V以上の値を有する。より望ましくはDC電圧の絶対値は約500V以上の値を有する。それに加えてDC電圧は負の極性を有することが望ましい。さらにDC電圧は、上部電極52表面上で発生する自己バイアスよりも大きな絶対値を有する負の電圧であることが望ましい。基板ホルダ20に対向する上部電極52の表面にはシリコン含有材料が含まれて良い。   For example, the DC voltage applied to the electrode 52 by the DC output supply 50 may range from about −2000 volts (V) to about 1000V. Preferably, the absolute value of the DC voltage has a value of about 100V or more. More preferably, the absolute value of the DC voltage has a value of about 500V or more. In addition, it is desirable that the DC voltage has a negative polarity. Furthermore, the DC voltage is preferably a negative voltage having an absolute value larger than the self-bias generated on the surface of the upper electrode 52. The surface of the upper electrode 52 facing the substrate holder 20 may contain a silicon-containing material.

真空排気システム30はたとえば、最大で5000l/sec(以上)の排気速度での排気が可能なターボ分子真空ポンプ(TMP)及びチャンバ圧力をしぼるゲートバルブを有して良い。ドライプラズマエッチングに用いられる従来のプラズマ処理装置では、1000〜3000l/secのTMPが一般に用いられている。TMPは、典型的には50mTorr未満の低圧処理にとって有用である。高圧(約100mTorrよりも高い圧力)での処理については、メカニカルブースターポンプ及びドライ粗引きポンプが用いられて良い。さらにチャンバ圧力の監視装置(図示されていない)が、プラズマ処理チャンバ10と結合して良い。圧力を測定する装置はたとえば、MKSインスツルメンツによって市販されている628B型のバラトロン絶対キャパシタンスマノメータであって良い。   The vacuum pumping system 30 may include, for example, a turbo molecular vacuum pump (TMP) capable of pumping at a pumping speed of up to 5000 l / sec (or higher) and a gate valve for reducing the chamber pressure. In conventional plasma processing apparatuses used for dry plasma etching, TMP of 1000 to 3000 l / sec is generally used. TMP is useful for low pressure processing, typically less than 50 mTorr. For processing at high pressures (pressures higher than about 100 mTorr), mechanical booster pumps and dry roughing pumps may be used. Further, a chamber pressure monitoring device (not shown) may be coupled to the plasma processing chamber 10. The apparatus for measuring pressure may be, for example, a 628B type Baratron absolute capacitance manometer marketed by MKS Instruments.

さらに図3を参照すると、プラズマ処理システム1aは制御装置90をさらに有する。制御装置90は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有する。デジタルI/Oポートは、プロセスシステム100からの出力を監視するのみならず、処理システム1aの入力をやり取りし、かつ活性化させるのに十分な制御電圧を発生させる能力を有する。しかも制御装置90は、RF発生装置40、インピーダンス整合ネットワーク42、DC出力供給体50、気体注入システム(図示されていない)、真空排気システム30、背面気体供給システム(図示されていない)、基板/基板ホルダ温度測定システム(図示されていない)、及び/又は静電固定システム(図示されていない)と結合し、これらの構成部品と情報をやり取りして良い。メモリ内に記憶されたプログラムは、薄膜のエッチング方法を実行するためのプロセスレシピに従ってプラズマ処理システム1aの上述した構成部品への入力を活性化させるのに利用されて良い。制御装置90の一例はデルコーポレーションから販売されているDELL PRECISION WORKSTATION610(商標)である。   Still referring to FIG. 3, the plasma processing system 1a further includes a controller 90. The control device 90 has a microprocessor, a memory, and a digital I / O port. The digital I / O port has the ability not only to monitor the output from the process system 100 but also to generate a control voltage sufficient to exchange and activate the input of the processing system 1a. Moreover, the controller 90 includes an RF generator 40, an impedance matching network 42, a DC output supply 50, a gas injection system (not shown), a vacuum exhaust system 30, a backside gas supply system (not shown), a substrate / It may be coupled to a substrate holder temperature measurement system (not shown) and / or an electrostatic fixation system (not shown) to exchange information with these components. The program stored in the memory may be used to activate inputs to the above-described components of the plasma processing system 1a according to a process recipe for executing the thin film etching method. An example of the control device 90 is DELL PRECISION WORKSTATION 610 (trademark) sold by Dell Corporation.

制御装置90は、プラズマ処理システム1aに対して局所的に設置されても良いし、又はインターネット又はイントラネットを介して処理システム1に対して離れた場所に設置されても良い。よって制御装置90は、直接接続、イントラネット、インターネット及びワイヤレス接続のうちの少なくとも1を用いることによってプラズマ処理システム1aとのデータのやり取りをして良い。制御装置90は、たとえば顧客側(つまりデバイスメーカー等)のイントラネットと結合して良いし、又はたとえば売り手側(つまり装置製造者等)のイントラネットと結合しても良い。さらに別なコンピュータ(つまり制御装置、サーバー等)が、たとえば制御装置とアクセスすることで、直接接続、イントラネット及びインターネットのうちの少なくとも1つを介してデータのやり取りをして良い。   The control device 90 may be installed locally with respect to the plasma processing system 1a, or may be installed at a location remote from the processing system 1 via the Internet or an intranet. Therefore, the control device 90 may exchange data with the plasma processing system 1a by using at least one of a direct connection, an intranet, the Internet, and a wireless connection. The control device 90 may be coupled to, for example, an intranet on the customer side (ie, a device manufacturer), or may be coupled to an intranet on the seller side (ie, a device manufacturer). Further, another computer (that is, a control device, a server, etc.) may exchange data via at least one of a direct connection, an intranet, and the Internet by accessing the control device, for example.

図4に図示された実施例では、プラズマ処理システム1bは、図2又は3の実施例と似ていると考えることができる。さらにプラズマ処理システム1bは、図3に記載されたこれらの構成部品に加えて、プラズマ密度の増大、及び/又はプラズマ処理の均一性の改善を可能にするため、静的又は機械的若しくは電気的に回転する磁場システム60を有して良い。しかも制御装置90は、回転速度及び磁場強度を制御するため、磁場システム60と結合して良い。回転磁場システムの設計及び実装は当業者には周知である。   In the embodiment illustrated in FIG. 4, the plasma processing system 1b can be considered similar to the embodiment of FIG. Furthermore, in addition to these components described in FIG. 3, the plasma processing system 1b is static or mechanical or electrical in order to increase plasma density and / or improve plasma processing uniformity. A rotating magnetic field system 60 may be included. Moreover, the controller 90 may be coupled to the magnetic field system 60 to control the rotational speed and magnetic field strength. The design and implementation of rotating magnetic field systems is well known to those skilled in the art.

図5に図示された実施例では、プラズマ処理システム1cは、図2又は3の実施例と似ていると考えることができる。さらにプラズマ処理システム1cはさらにRF発生装置70を有して良い。RF発生装置70は、任意のインピーダンス整合ネットワーク72を介してRF出力を上部電極52と結合させるように備えられている。上部電極52へのRF出力の印加に係る典型的な周波数は、約0.1MHzから約200MHzの範囲であって良い。それに加えて基板ホルダ20(すなわち下部電極)へのRF出力の印加に係る典型的な周波数は、約0.1MHzから約100MHzの範囲であって良い。たとえば上部電極52と結合するRF周波数は、基板ホルダ20と結合するRF周波数よりも高くて良い。さらに、RF発生装置70から上部電極52へのRF出力は振幅変調であって良い。あるいはRF発生装置40から基板ホルダ20へのRF出力は振幅変調であっても良い。あるいは、RF発生装置70から上部電極52へのRF出力とRF発生装置40から基板ホルダ20へのRF出力の両方が振幅変調であっても良い。高いRF周波数でのRF出力は振幅変調であることが望ましい。しかも制御装置90は、上部電極52へのRF出力の印加を制御するため、RF発生装置70及びインピーダンス整合ネットワーク72と結合する。上部電極の設計及び実装は当業者には周知である。   In the embodiment illustrated in FIG. 5, the plasma processing system 1c can be considered similar to the embodiment of FIG. Further, the plasma processing system 1c may further include an RF generator 70. An RF generator 70 is provided to couple the RF output with the upper electrode 52 via an optional impedance matching network 72. A typical frequency for applying RF power to the upper electrode 52 may range from about 0.1 MHz to about 200 MHz. In addition, a typical frequency for applying RF power to the substrate holder 20 (ie, the lower electrode) can range from about 0.1 MHz to about 100 MHz. For example, the RF frequency coupled to the upper electrode 52 may be higher than the RF frequency coupled to the substrate holder 20. Further, the RF output from the RF generator 70 to the upper electrode 52 may be amplitude modulation. Alternatively, the RF output from the RF generator 40 to the substrate holder 20 may be amplitude modulation. Alternatively, both the RF output from the RF generator 70 to the upper electrode 52 and the RF output from the RF generator 40 to the substrate holder 20 may be amplitude modulated. The RF output at high RF frequencies is preferably amplitude modulated. Moreover, the controller 90 is coupled to the RF generator 70 and the impedance matching network 72 in order to control the application of the RF output to the upper electrode 52. The design and implementation of the top electrode is well known to those skilled in the art.

さらに図5を参照すると、DC出力供給体50は上部電極52と直接結合して良い。あるいはDC出力供給体50は、インピーダンス整合ネットワーク72の出力端から上部電極52へ延びるRF伝送線と結合しても良い。DC出力供給体50からRF出力を分離するのに電気フィルタが利用されて良い。   Still referring to FIG. 5, the DC output supply 50 may be directly coupled to the upper electrode 52. Alternatively, the DC output supply 50 may be coupled to an RF transmission line that extends from the output end of the impedance matching network 72 to the upper electrode 52. An electrical filter may be used to separate the RF output from the DC output supply 50.

図6に図示された実施例では、プラズマ処理システム1dは、たとえば図2、3、及び4の実施例と似ていると考えることができる。またプラズマ処理システム1dは誘導コイル80をさらに有して良い。任意のインピーダンス整合ネットワーク84を介したRF発生装置82によって、RF出力は誘導コイル80と結合する。RF出力は、誘導コイル80から誘電体窓(図示されていない)を介してプラズマ処理領域15に誘導結合する。誘導コイル80へのRF出力の印加に係る典型的な周波数は、約10MHzから約100MHzの範囲であって良い。同様にチャック電極への出力の印加に係る典型的な周波数は、約0.1MHzから約100MHzの範囲であって良い。それに加えて、スロット付きファラデーシールド(図示されていない)が、誘導コイル80とプラズマとの間の容量結合を減少させるのに用いられて良い。しかも誘導コイル80への出力の印加を制御するため、制御装置90は、RF発生装置82及びインピーダンス整合ネットワーク84と結合する。代替実施例では、誘導コイル80は、変成器結合プラズマ(TCP)反応装置のように、上方からプラズマ処理領域15とのやり取りをする“螺旋状”又は“ホットケーキ型”コイルであって良い。誘導結合プラズマ(ICP)源、又は変成器結合(TCP)プラズマ源の設計及び実装は当業者には周知である。   In the embodiment illustrated in FIG. 6, the plasma processing system 1d may be considered similar to the embodiments of FIGS. 2, 3, and 4, for example. The plasma processing system 1d may further include an induction coil 80. The RF output is coupled to the induction coil 80 by an RF generator 82 via an optional impedance matching network 84. The RF power is inductively coupled from the induction coil 80 to the plasma processing region 15 through a dielectric window (not shown). A typical frequency for applying RF power to the induction coil 80 may range from about 10 MHz to about 100 MHz. Similarly, a typical frequency for applying power to the chuck electrode may range from about 0.1 MHz to about 100 MHz. In addition, a slotted Faraday shield (not shown) may be used to reduce capacitive coupling between the induction coil 80 and the plasma. In addition, the controller 90 is coupled to the RF generator 82 and the impedance matching network 84 to control the application of the output to the induction coil 80. In an alternative embodiment, induction coil 80 may be a “spiral” or “hot cake” coil that communicates with plasma processing region 15 from above, such as a transformer coupled plasma (TCP) reactor. The design and implementation of inductively coupled plasma (ICP) sources or transformer coupled (TCP) plasma sources are well known to those skilled in the art.

あるいはその代わりに、プラズマは、電子サイクロトロン共鳴(ECR)を用いて生成されて良い。さらに他の実施例では、プラズマは、ヘリコン波を発生することで生成される。さらに他の実施例では、プラズマは、表面波を伝播させることで生成される。上述した各プラズマ源は当業者には既知である。   Alternatively, the plasma may be generated using electron cyclotron resonance (ECR). In yet another embodiment, the plasma is generated by generating a helicon wave. In yet another embodiment, the plasma is generated by propagating surface waves. Each plasma source described above is known to those skilled in the art.

図7に図示された実施例では、プラズマ処理システム1eは、たとえば図3、4、及び5の実施例と似ていると考えることができる。またプラズマ処理システム1eは第2RF発生装置44をさらに有して良い。第2RF発生装置44は、他の任意のインピーダンス整合ネットワーク46を介して基板ホルダ20へRF出力を結合するように備えられている。第1RF発生装置40及び/又は第2RF発生装置44にとって、基板ホルダ20へのRF出力の印加に係る典型的な周波数は、約0.1MHzから約200MHzの範囲であって良い。第2RF発生装置44にとってのRF周波数は、第1RF発生装置40にとってのRF周波数よりも高くて良い。さらに、第1RF発生装置40から基板ホルダ20へのRF出力は振幅変調であって良い。あるいは第2RF発生装置44から基板ホルダ20へのRF出力は振幅変調であっても良い。あるいは、第1RF発生装置40から基板ホルダ20へのRF出力も第2RF発生装置44から基板ホルダ20へのRF出力の両方とも振幅変調であっても良い。高いRF周波数でのRF出力は振幅変調であることが望ましい。しかも制御装置90は、基板ホルダ20へのRF出力の印加を制御するため、第2RF発生装置44及びインピーダンス整合ネットワーク46と結合する。基板ホルダについてのRFシステムの設計及び実装は当業者には周知である。   In the embodiment illustrated in FIG. 7, the plasma processing system 1e can be considered similar to the embodiments of FIGS. 3, 4, and 5, for example. The plasma processing system 1e may further include a second RF generator 44. A second RF generator 44 is provided to couple the RF output to the substrate holder 20 via any other impedance matching network 46. For the first RF generator 40 and / or the second RF generator 44, a typical frequency for applying RF power to the substrate holder 20 may range from about 0.1 MHz to about 200 MHz. The RF frequency for the second RF generator 44 may be higher than the RF frequency for the first RF generator 40. Furthermore, the RF output from the first RF generator 40 to the substrate holder 20 may be amplitude modulated. Alternatively, the RF output from the second RF generator 44 to the substrate holder 20 may be amplitude modulation. Alternatively, both the RF output from the first RF generator 40 to the substrate holder 20 and the RF output from the second RF generator 44 to the substrate holder 20 may be amplitude modulated. The RF output at high RF frequencies is preferably amplitude modulated. Moreover, the controller 90 is coupled to the second RF generator 44 and the impedance matching network 46 in order to control the application of the RF output to the substrate holder 20. The design and implementation of an RF system for a substrate holder is well known to those skilled in the art.

以降の議論では、弾道電子ビームを備えるプラズマ処理システムを利用した薄膜のエッチング方法が示されている。たとえば当該プラズマ処理システムは様々な構成部品-たとえば図1〜7に記載された部品及びこれらの組合せ-を有して良い。   In the following discussion, a thin film etching method using a plasma processing system with a ballistic electron beam is shown. For example, the plasma processing system may have various components, such as the components described in FIGS. 1-7 and combinations thereof.

図8は、本発明の実施例による薄膜のエッチング方法に係るフローチャートを表している。手順500は、基板上のマスク層を覆い、かつ内部にパターンを有するマスク層を処理する手順510で開始される。   FIG. 8 shows a flowchart according to a thin film etching method according to an embodiment of the present invention. The procedure 500 begins with a procedure 510 that covers a mask layer on a substrate and that has a pattern in it.

マスク層は上記実施例のいずれを用いて処理されても良い。たとえばマスク層の処理は、酸素含有プラズマ、若しくはハロゲンガス含有プラズマ、若しくは希ガス含有プラズマ、又はこれらの2種類以上の混合プラズマへそのマスク層の曝露を含んで良い。あるいはその代わりにマスク層の処理は、そのマスク層上への保護層の形成を含んで良い。あるいはその代わりにマスク層の処理は、ハロゲン原子種が存在しない状態での、マスク層の電子ビームへの曝露を含んで良い。あるいはその代わりにマスク層の処理は、上述したこれらの処理の2つ以上の組合せを有して良い。   The mask layer may be processed using any of the above embodiments. For example, treatment of the mask layer may include exposure of the mask layer to an oxygen-containing plasma, a halogen gas-containing plasma, or a rare gas-containing plasma, or a mixture of two or more of these. Alternatively, the treatment of the mask layer may include the formation of a protective layer on the mask layer. Alternatively, the treatment of the mask layer may include exposure of the mask layer to an electron beam in the absence of halogen atom species. Alternatively, the mask layer treatment may comprise a combination of two or more of these treatments described above.

手順520では、被処理マスク層を有する基板が、マスク層中に形成されたパターンを下地の薄膜へ転写するため、強力(弾道)電子ビームによって支援されるドライエッチングプラズマに曝露される。それと同時にたとえばLERのようなパターン異常を減少させる。プラズマ処理システムでは、(処理)プラズマが、出力を処理気体へ結合(してその処理気体を電離及び分解)することによって、その処理気体から生成される。DC出力を当該プラズマ処理システム内部の電極へ結合し、かつプラズマを生成することによって、強力(弾道)電子ビームが生成される。その強力(弾道)電子ビームのエネルギーレベルは、電極へ印加されるDC電圧の大きさに依存する。   In step 520, a substrate having a mask layer to be processed is exposed to a dry etching plasma assisted by a strong (ballistic) electron beam to transfer the pattern formed in the mask layer to the underlying thin film. At the same time, pattern abnormalities such as LER are reduced. In a plasma processing system, a (process) plasma is generated from the process gas by coupling output to the process gas (and ionizing and decomposing the process gas). A strong (ballistic) electron beam is generated by coupling the DC power to the electrodes within the plasma processing system and generating a plasma. The energy level of the strong (ballistic) electron beam depends on the magnitude of the DC voltage applied to the electrode.

DC出力は当該プラズマ処理システムと結合する。たとえばDC出力供給体によって当該プラズマ処理システムに印加されるDC電圧は、約-2000ボルト(V)から約1000Vの範囲であって良い。望ましくはDC電圧の絶対値は約100V以上の値を有する。より望ましくはDC電圧の絶対値は約500V以上の値を有する。それに加えてDC電圧は負の極性を有することが望ましい。さらにDC電圧は、当該プラズマ処理システムの電極表面上で発生する自己バイアス電圧よりも大きな絶対値を有する負の電圧であることが望ましい。   DC power is coupled to the plasma processing system. For example, the DC voltage applied to the plasma processing system by the DC power supply may range from about −2000 volts (V) to about 1000V. Preferably, the absolute value of the DC voltage has a value of about 100V or more. More preferably, the absolute value of the DC voltage has a value of about 500V or more. In addition, it is desirable that the DC voltage has a negative polarity. Furthermore, the DC voltage is preferably a negative voltage having an absolute value larger than the self-bias voltage generated on the electrode surface of the plasma processing system.

たとえ本発明のある特定の実施例のみが詳細に説明されたとしても、当業者は、本発明の新規な教示及び利点からほとんど逸脱することなく、多くの修正型が可能であることをすぐに理解する。従って多くの係る修正型は、本発明の技術的範囲内に含まれるものと解される。   Even if only certain specific embodiments of the present invention have been described in detail, those skilled in the art will readily appreciate that many modifications are possible with little departure from the novel teachings and advantages of the present invention. to understand. Accordingly, it is understood that many such modified types are included within the technical scope of the present invention.

Claims (67)

基板上に形成され、かつ上にパターニングされたマスク層を有する薄膜のエッチング方法であって、
当該方法は:
酸素含有プラズマ、若しくはハロゲンガス含有プラズマ、若しくは希ガス含有プラズマ、又はこれらの2種類以上の混合プラズマへ前記マスク層を曝露することによって前記マスク層を処理する工程であって、前記のマスク層を処理する工程は、前記マスク層が直流(DC)出力により加速される電子ビームに曝露されない状態で実行される工程;及び
前記マスク層の処理後、前記薄膜に前記マスク層のパターンを転写するために前記薄膜をエッチングする工程;
を有し、
前記エッチング工程は:
処理気体からプラズマ処理システム内にプラズマを生成する工程;
前記プラズマ処理システム内の電極に前記直流(DC)出力を結合して、前記エッチング工程中に前記プラズマを支援する電子ビームを前記プラズマ処理システム内部に生成する工程;及び
前記基板を前記プラズマと前記電子ビームに曝露する工程;
を有する、
方法。
A method for etching a thin film having a mask layer formed on a substrate and patterned thereon,
The method is:
Treating the mask layer by exposing the mask layer to an oxygen-containing plasma, a halogen gas-containing plasma, a rare gas-containing plasma, or a mixed plasma of two or more thereof, the mask layer comprising: The step of processing is performed in a state where the mask layer is not exposed to an electron beam accelerated by direct current (DC) output; and after the mask layer is processed, the pattern of the mask layer is transferred to the thin film. Etching the thin film;
Have
The etching process includes:
Generating plasma from a process gas in a plasma processing system;
Coupling the direct current (DC) output to an electrode in the plasma processing system to generate an electron beam within the plasma processing system to assist the plasma during the etching process; and Exposing to an electron beam;
Having
Method.
前記のマスク層を処理する工程が、O2、CO、CO2、NO、N2O、若しくはNO2、又はこれら2種類以上の混合気体を用いて生成されるプラズマへ前記マスク層を曝露する工程を有する、請求項1に記載の方法。 The step of treating the mask layer exposes the mask layer to a plasma generated using O 2 , CO, CO 2 , NO, N 2 O, or NO 2 , or a mixture of two or more of these. 2. The method according to claim 1, comprising a step. 前記のマスク層を処理する工程が、N2、H2、CN、若しくは不活性ガス、又はこれら2種類以上の混合気体へ前記マスク層を曝露する工程をさらに有する、請求項2に記載の方法。 Step, N 2, H 2, CN, or an inert gas, or further comprising the step of exposing the mask layer to the two or more types of mixed gas, the method according to claim 2 for processing the masking layer of the . 前記のマスク層を処理する工程が、ハロゲン含有気体へ前記マスク層を曝露する工程をさらに有する、請求項2に記載の方法。   The method of claim 2, wherein treating the mask layer further comprises exposing the mask layer to a halogen-containing gas. 前記のマスク層を処理する工程が、Cl2、Br2、F2、HBr、HCl、HF、C2H4Br2、ClF3、NF3、SiCl4、若しくはSF6、又はこれら2種類以上の気体の混合物を用いて生成されるプラズマへ前記マスク層を曝露する工程をさらに有する、請求項1に記載の方法。 Processing the mask layer of the can, Cl 2, Br 2, F 2, HBr, HCl, HF, C 2 H 4 Br 2, ClF 3, NF 3, SiCl 4, or SF 6, or two kinds or more The method of claim 1, further comprising exposing the mask layer to a plasma generated using a mixture of gases. 前記のマスク層を処理する工程が、N2、H2、CN、若しくは不活性ガス、又はこれら2種類以上の混合気体へ前記マスク層を曝露する工程をさらに有する、請求項5に記載の方法。 Step, N 2, H 2, CN, or an inert gas, or further comprising the step of exposing the mask layer to the two or more types of mixed gas, the method according to claim 5 for processing the masking layer of the . 前記のマスク層を処理する工程が、酸素含有気体へ前記マスク層を曝露する工程をさらに有する、請求項5に記載の方法。   6. The method of claim 5, wherein treating the mask layer further comprises exposing the mask layer to an oxygen-containing gas. 前記のマスク層を処理する工程が、前記電極、若しくは該電極以外の他の電極、若しくは基板ホルダ、又は上記2つ以上へAC出力を結合することによって前記プラズマ処理システム内部に生成されるプラズマへ前記マスク層を曝露する工程を有する、請求項1に記載の方法。   The step of processing the mask layer comprises the steps of: generating plasma within the plasma processing system by coupling an AC output to the electrode, another electrode other than the electrode, or a substrate holder, or the two or more. The method of claim 1, comprising exposing the mask layer. 前記のマスク層を処理する工程が、500W以下の出力レベルを用いて生成される低出力プラズマへ前記マスク層を曝露する工程を有する、請求項8に記載の方法。   9. The method of claim 8, wherein treating the mask layer comprises exposing the mask layer to a low power plasma generated using a power level of 500W or less. 前記のマスク層を処理する工程が、前記プラズマ処理システムと結合するリモートプラズマ源内に生成されるプラズマへ前記マスク層を曝露する工程を有する、請求項1に記載の方法。   The method of claim 1, wherein processing the mask layer comprises exposing the mask layer to a plasma generated in a remote plasma source coupled to the plasma processing system. 前記のDC出力を結合する工程が、-2000ボルト(V)から1000Vの範囲であるDC電圧を結合する工程を有する、請求項1に記載の方法。   The method of claim 1, wherein coupling the DC output comprises coupling a DC voltage that is in the range of −2000 volts (V) to 1000V. 前記のDC出力を結合する工程が、負の極性を有する絶対値が500V以上のDC電圧を結合する工程を有する、請求項1に記載の方法。   2. The method of claim 1, wherein coupling the DC output comprises coupling a DC voltage having a negative polarity and an absolute value greater than or equal to 500V. 前記のDC出力を結合する工程が、基板ホルダ上に供されていて前記基板に対向する上部電極へDC出力を結合する工程を有する、請求項1に記載の方法。   The method of claim 1, wherein coupling the DC output comprises coupling the DC output to an upper electrode that is provided on a substrate holder and faces the substrate. 前記のプラズマを生成する工程が、前記電極、若しくは該電極以外の他の電極、若しくは基板ホルダ、又は上記2つ以上へ高周波(RF)出力を結合する工程を有する、請求項13に記載の方法。 14. The method of claim 13 , wherein generating the plasma comprises coupling a radio frequency (RF) output to the electrode, or another electrode other than the electrode, or a substrate holder, or the two or more. . 前記のRF出力を結合する工程が:
第1RF周波数で第1RF出力を前記上部電極と結合する工程;及び
前記第1RF周波数未満である第2RF周波数で第2RF出力を前記基板ホルダと結合する工程;
を有する、請求項14に記載の方法。
The step of combining the RF outputs includes:
Coupling a first RF output with the upper electrode at a first RF frequency; and coupling a second RF output with the substrate holder at a second RF frequency that is less than the first RF frequency;
15. The method of claim 14, comprising:
前記電子ビームの電子ビーム束の空間分布を調節するために、前記RF出力の振幅を変調する工程をさらに有する、請求項14に記載の方法。   15. The method of claim 14, further comprising modulating the amplitude of the RF output to adjust the spatial distribution of the electron beam bundle of the electron beam. 前記エッチング前に、前記酸素含有プラズマ又は前記ハロゲンガス含有プラズマへ前記マスク層を曝露する工程が、前記エッチング中に前記マスク層内に生成されるラインエッジラフネスを減少させる、請求項1に記載の方法。   2. The step of exposing the mask layer to the oxygen-containing plasma or the halogen gas-containing plasma prior to the etching reduces line edge roughness generated in the mask layer during the etching. Method. 前記のマスク層を処理する工程は、前記のパターニングされたマスク層が、前記エッチング中に前記マスク層内に生成されるラインエッジラフネスに対して耐性を有するようになるための所定の期間行われる、請求項1に記載の方法。   The step of processing the mask layer is performed for a predetermined period of time for the patterned mask layer to be resistant to line edge roughness generated in the mask layer during the etching. The method of claim 1. 前記のマスク層を処理する工程が、He、Ne、Ar、Xe、Kr、又はこれら2種類以上の混合気体を用いて生成されるプラズマへ前記マスク層を曝露する工程を有する、請求項1に記載の方法。   The method of claim 1, wherein the step of treating the mask layer comprises exposing the mask layer to plasma generated using He, Ne, Ar, Xe, Kr, or a mixture of two or more of these. The method described. 前記マスク層を変化させるため、酸素含有プラズマ、若しくはハロゲンガス含有プラズマ、若しくは希ガス含有プラズマ、又はこれらの2種類以上の混合プラズマへ前記マスク層を曝露することによって前記マスク層を処理する前に、ハロゲン原子種が存在しない状態で、エッチング前電子ビームによって前記マスク層を前処理する工程をさらに有する、請求項1に記載の方法。   In order to change the mask layer, before the mask layer is processed by exposing the mask layer to oxygen-containing plasma, halogen gas-containing plasma, rare gas-containing plasma, or a mixture of two or more of these. The method according to claim 1, further comprising the step of pretreating the mask layer with a pre-etching electron beam in the absence of halogen atom species. 酸素含有プラズマ、若しくはハロゲンガス含有プラズマ、若しくは希ガス含有プラズマ、又はこれらの2種類以上の混合プラズマへ前記マスク層を曝露することによって前記マスク層を処理する工程が:
第1RF周波数で第1RF出力を上部電極と結合する工程;及び
前記第1RF周波数未満である第2RF周波数で第2RF出力を前記基板ホルダと結合する工程;
を有し、かつ
前記第2RF出力が100W以下である、
請求項1に記載の方法。
The step of treating the mask layer by exposing the mask layer to an oxygen-containing plasma, a halogen gas-containing plasma, a rare gas-containing plasma, or a mixed plasma of two or more of these:
Coupling a first RF output with a top electrode at a first RF frequency; and coupling a second RF output with the substrate holder at a second RF frequency that is less than the first RF frequency;
And the second RF output is 100 W or less,
The method of claim 1.
前記第2RF出力がゼロである、請求項21に記載の方法。   The method of claim 21, wherein the second RF power is zero. 基板上に形成され、かつ上にパターニングされたマスク層を有する薄膜のエッチング方法であって:
プラズマ及び弾道電子ビームを生成するように備えられているプラズマ処理システム内の基板ホルダ上に基板を供する工程;
酸素含有プラズマ、若しくはハロゲンガス含有プラズマ、若しくは希ガス含有プラズマ、又はこれらの2種類以上の混合プラズマへ前記マスク層を曝露することによって、弾道電子ビームを生成することなく前記マスク層を処理する工程であって、前記のマスク層を処理する工程は、前記マスク層が直流(DC)出力により加速される電子ビームに曝露されない状態で実行される工程;並びに
前記のマスク層を処理する工程に続いて、前記薄膜をエッチングし、かつ前記パターニングされたマスク層のパターンを前記薄膜へ転写するために前記プラズマ処理システム内にプラズマ及び弾道電子ビームを生成する工程;
を有する方法。
A method for etching a thin film formed on a substrate and having a mask layer patterned thereon, comprising:
Providing a substrate on a substrate holder in a plasma processing system equipped to generate a plasma and a ballistic electron beam;
Treating the mask layer without generating a ballistic electron beam by exposing the mask layer to an oxygen-containing plasma, a halogen gas-containing plasma, a rare gas-containing plasma, or a mixed plasma of two or more of these. Wherein the step of processing the mask layer is performed without the mask layer being exposed to an electron beam accelerated by direct current (DC) output; and the step of processing the mask layer. Etching the thin film and generating a plasma and a ballistic electron beam in the plasma processing system to transfer the patterned mask layer pattern to the thin film;
Having a method.
基板をエッチングするように備えられているプラズマ処理システムであって:
処理チャンバ;
該処理チャンバへ気体を供給するように備えられた気体供給システム;
前記処理チャンバと結合して前記基板を処理するように備えられている基板ホルダ;及び
前記処理チャンバ内部に供された電極;
前記処理チャンバ内部にプラズマを生成するため、前記処理チャンバと結合し、かつ前記基板及び/又は前記電極へ少なくとも1つのAC信号を結合するように備えられているAC出力システム;
前記プラズマを介して電子ビームを生成するため、前記処理チャンバと結合し、かつ前記電極へDC電圧を結合するように備えられているDC出力システム;
前記気体供給システム、前記AC出力システム、及び前記DC出力システムを制御する制御装置であって、前記気体供給システム、前記AC出力システム、及び前記DC出力システムに:
酸素含有プラズマ、若しくはハロゲンガス含有プラズマ、若しくは希ガス含有プラズマ、又はこれらの2種類以上の混合プラズマへマスク層を曝露することによって、弾道電子ビームを生成することなく前記マスク層を処理する工程であって、前記のマスク層を処理する工程は、前記マスク層が直流(DC)出力により加速される電子ビームに曝露されない状態で実行される工程;及び、
前記のマスク層を処理する工程に続いて、薄膜をエッチングし、かつ前記マスク層のパターンを前記薄膜へ転写するために前記プラズマ処理システム内にプラズマ及び弾道電子ビームを生成する工程;
を実行させるように備えられている、制御装置;
を有するプラズマ処理システム。
A plasma processing system equipped to etch a substrate comprising:
Processing chamber;
A gas supply system arranged to supply gas to the processing chamber;
A substrate holder coupled to the processing chamber to process the substrate; and an electrode provided within the processing chamber;
An AC output system coupled to the processing chamber and configured to couple at least one AC signal to the substrate and / or the electrode to generate a plasma within the processing chamber;
A DC output system coupled to the processing chamber and configured to couple a DC voltage to the electrode for generating an electron beam through the plasma;
A control device for controlling the gas supply system, the AC output system, and the DC output system, wherein the gas supply system, the AC output system, and the DC output system are:
A step of treating the mask layer without generating a ballistic electron beam by exposing the mask layer to an oxygen-containing plasma, a halogen gas-containing plasma, a rare gas-containing plasma, or a mixed plasma of two or more of these. And the step of processing the mask layer is performed without the mask layer being exposed to an electron beam accelerated by direct current (DC) power; and
Following the step of processing the mask layer, etching a thin film and generating a plasma and a ballistic electron beam in the plasma processing system to transfer the mask layer pattern to the thin film;
A control device provided to cause
A plasma processing system.
基板上に形成され、かつ上にパターニングされたマスク層を有する薄膜のエッチング方法であって、
当該方法は:
前記マスク層を保護するために前記マスク層上に保護層を形成する工程であって、前記の保護層を形成する工程は、前記マスク層が直流(DC)出力により加速される電子ビームに曝露されない状態で実行される工程;及び
前記保護層の形成後、前記薄膜に前記マスク層のパターンを転写するために前記薄膜をエッチングする工程;
を有し、
前記エッチング工程は:
処理気体からプラズマ処理システム内にプラズマを生成する工程;
前記プラズマ処理システム内の電極に前記直流(DC)出力を結合して、前記エッチング工程中に前記プラズマを支援する電子ビームを前記プラズマ処理システム内部に生成する工程;及び
前記基板を前記プラズマと前記電子ビームに曝露する工程;
を有する、
方法。
A method for etching a thin film having a mask layer formed on a substrate and patterned thereon,
The method is:
Forming a protective layer on the mask layer to protect the mask layer, wherein the step of forming the protective layer comprises exposing the mask layer to an electron beam accelerated by direct current (DC) output. A step that is performed in an untreated state; and a step of etching the thin film to transfer a pattern of the mask layer to the thin film after the formation of the protective layer;
Have
The etching process includes:
Generating plasma from a process gas in a plasma processing system;
Coupling the direct current (DC) output to an electrode in the plasma processing system to generate an electron beam within the plasma processing system to assist the plasma during the etching process; and Exposing to an electron beam;
Having
Method.
前記の保護層を形成する工程が、前記基板を堆積気体プラズマへ曝露する工程を有する、請求項25に記載の方法。   26. The method of claim 25, wherein forming the protective layer comprises exposing the substrate to a deposition gas plasma. 前記の保護層を形成する工程が、炭化水素含有プラズマ、フルオロカーボン含有プラズマ、若しくはハイドロフルオロカーボン含有プラズマ、又は上記2種類以上の混合プラズマへ前記マスク層を曝露する工程を有する、請求項26に記載の方法。   27. The method of claim 26, wherein forming the protective layer comprises exposing the mask layer to a hydrocarbon-containing plasma, a fluorocarbon-containing plasma, or a hydrofluorocarbon-containing plasma, or the two or more mixed plasmas. Method. 前記の保護層を形成する工程が、C2H4、CH4、C2H6、C3H4、C3H6、C3H8、C4H6、C4H8、C5H8、C5H10、C6H6、C6H10、若しくはC6H12、又はこれら2種類以上の混合物を用いることによって生成されたプラズマへ前記マスク層を曝露する工程を有する、請求項26に記載の方法。 The step of forming the protective layer includes C 2 H 4 , CH 4 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 H 8 , C 5. having H 8, C 5 H 10, C 6 H 6, C 6 H 10, or C 6 H 12, or exposing the mask layer to plasma generated by using a combination of two or more thereof, 27. The method of claim 26. 前記の保護層を形成する工程が、H2、O2、CO、CO2、NO、NO2、N2、CN、若しくは不活性ガス、又はこれら2種類以上の混合気体へ前記マスク層を曝露する工程をさらに有する、請求項28に記載の方法。 The step of forming the protective layer exposes the mask layer to H 2 , O 2 , CO, CO 2 , NO, NO 2 , N 2 , CN, an inert gas, or a mixture of two or more of these. 30. The method of claim 28, further comprising the step of: 前記の保護層を形成する工程が、アルコールにマスク層を浸漬させる工程をさらに有する、請求項26に記載の方法。   27. The method of claim 26, wherein forming the protective layer further comprises immersing the mask layer in alcohol. 前記の保護層を形成する工程が、エタノール及び/又はメタノールにマスク層を浸漬させる工程をさらに有する、請求項26に記載の方法。   27. The method of claim 26, wherein forming the protective layer further comprises immersing the mask layer in ethanol and / or methanol. 前記の保護層を形成する工程が、前記電極、若しくは該電極以外の他の電極、若しくは基板ホルダ、又は上記2つ以上へAC出力を結合することによって、前記プラズマ処理システム内部に生成されるプラズマへ前記マスク層を曝露する工程をさらに有する、請求項26に記載の方法。   The step of forming the protective layer includes plasma generated inside the plasma processing system by coupling an AC output to the electrode, another electrode other than the electrode, a substrate holder, or the two or more. 27. The method of claim 26, further comprising exposing the mask layer to a. 前記マスク層をプラズマへ曝露する工程が、500W以下の出力レベルを用いて生成される低出力プラズマへ前記マスク層を曝露する工程をさらに有する、請求項32に記載の方法。   35. The method of claim 32, wherein exposing the mask layer to the plasma further comprises exposing the mask layer to a low power plasma generated using a power level of 500W or less. 前記の基板をプラズマへ曝露する工程が、前記プラズマ処理システムと結合するリモートプラズマ源内に生成されるプラズマへ前記マスク層を曝露する工程を有する、請求項26に記載の方法。   27. The method of claim 26, wherein exposing the substrate to a plasma comprises exposing the mask layer to a plasma generated in a remote plasma source that is coupled to the plasma processing system. 前記のDC出力を結合する工程が、-2000ボルト(V)から1000Vの範囲であるDC電圧を結合する工程を有する、請求項25に記載の方法。   26. The method of claim 25, wherein coupling the DC output comprises coupling a DC voltage that is in the range of -2000 volts (V) to 1000V. 前記のDC出力を結合する工程が、負の極性を有する絶対値が500V以上のDC電圧を結合する工程を有する、請求項1に記載の方法。   2. The method of claim 1, wherein coupling the DC output comprises coupling a DC voltage having a negative polarity and an absolute value greater than or equal to 500V. 前記のDC出力を結合する工程が、基板ホルダ上に供されていて前記基板に対向する上部電極へDC出力を結合する工程を有する、請求項25に記載の方法。   26. The method of claim 25, wherein coupling the DC output comprises coupling the DC output to an upper electrode that is provided on a substrate holder and faces the substrate. 前記のプラズマを生成する工程が、前記電極、若しくは該電極以外の他の電極、若しくは基板ホルダ、又は上記2つ以上へ高周波(RF)出力を結合する工程を有する、請求項37に記載の方法。   38. The method of claim 37, wherein generating the plasma comprises coupling a radio frequency (RF) output to the electrode, or another electrode other than the electrode, or a substrate holder, or the two or more. . 前記のRF出力を結合する工程が:
第1RF周波数で第1RF出力を前記上部電極と結合する工程;及び
前記第1RF周波数未満である第2RF周波数で第2RF出力を前記基板ホルダと結合する工程;
を有する、請求項38に記載の方法。
The step of combining the RF outputs includes:
Coupling a first RF output with the upper electrode at a first RF frequency; and coupling a second RF output with the substrate holder at a second RF frequency that is less than the first RF frequency;
40. The method of claim 38, comprising:
前記電子ビームの電子ビーム束の空間分布を調節するために、前記RF出力の振幅を変調する工程をさらに有する、請求項38に記載の方法。   39. The method of claim 38, further comprising modulating the amplitude of the RF output to adjust the spatial distribution of the electron beam bundle of the electron beam. 前記の保護層を形成する工程は、前記のパターニングされたマスク層が、前記エッチング中に前記マスク層内に生成されるラインエッジラフネスに対して耐性を有するようになるための所定の期間行われる、請求項25に記載の方法。   The step of forming the protective layer is performed for a predetermined period of time for the patterned mask layer to be resistant to line edge roughness generated in the mask layer during the etching. 26. The method of claim 25. 酸素含有プラズマ、若しくはハロゲンガス含有プラズマ、若しくは希ガス含有プラズマ、又はこれらの2種類以上の混合プラズマへ前記マスク層を曝露することによって前記保護層を形成する工程が:
第1RF周波数で第1RF出力を上部電極と結合する工程;及び
前記第1RF周波数未満である第2RF周波数で第2RF出力を前記基板ホルダと結合する工程;
を有し、かつ
前記第2RF出力が100W以下である、
請求項25に記載の方法。
The step of forming the protective layer by exposing the mask layer to oxygen-containing plasma, halogen gas-containing plasma, rare gas-containing plasma, or a mixed plasma of two or more of these:
Coupling a first RF output with a top electrode at a first RF frequency; and coupling a second RF output with the substrate holder at a second RF frequency that is less than the first RF frequency;
And the second RF output is 100 W or less,
26. The method of claim 25.
前記第2RF出力がゼロである、請求項42に記載の方法。   43. The method of claim 42, wherein the second RF power is zero. 基板上に形成され、かつ上にパターニングされたマスク層を有する薄膜のエッチング方法であって、
当該方法は:
前記パターニングされたマスク層上に、弾道電子ビーム支援プラズマエッチングプロセス中に前記マスク層を保護するように備えられた所定の厚さを有する保護層を形成する工程であって、前記の保護層を形成する工程は、前記マスク層が直流(DC)出力により加速される電子ビームに曝露されない状態で実行される工程;及び
前記の保護層を形成する工程に続いて、前記薄膜をエッチングし、かつ前記パターニングされたマスク層のパターンを前記薄膜へ転写するために、前記基板上で前記弾道電子ビーム支援プラズマエッチングを実行する工程;
を有し、
前記所定の厚さが1nm〜200nmの範囲である、
方法。
A method for etching a thin film having a mask layer formed on a substrate and patterned thereon,
The method is:
Forming on the patterned mask layer a protective layer having a predetermined thickness provided to protect the mask layer during a ballistic electron beam assisted plasma etching process, the protective layer comprising: Forming the mask layer is performed without being exposed to an electron beam accelerated by direct current (DC) output; and, following the step of forming the protective layer, etching the thin film; and Performing the ballistic electron beam assisted plasma etching on the substrate to transfer the patterned mask layer pattern to the thin film;
Have
The predetermined thickness is in the range of 1 nm to 200 nm;
Method.
前記所定の厚さが50nm〜100nmの範囲である、請求項44に記載の方法。   45. The method of claim 44, wherein the predetermined thickness is in the range of 50 nm to 100 nm. 基板をエッチングするように備えられているプラズマ処理システムであって、
当該プラズマ処理システムは:
処理チャンバ;
該処理チャンバへ気体を供給するように備えられた気体供給システム;
前記処理チャンバと結合して前記基板を処理するように備えられている基板ホルダ;
前記処理チャンバ内部に供された電極;
を有し、
AC出力システムは、前記処理チャンバ内部にプラズマを生成するため、前記処理チャンバと結合し、かつ前記基板及び/又は前記電極へ少なくとも1つのAC信号を結合するように備えられ、
DC出力システムは、前記プラズマを介して電子ビームを生成するため、前記処理チャンバと結合し、かつ前記電極へDC電圧を結合するように備えられ、
前記気体供給システム、前記AC出力システム、及び前記DC出力システムを制御する制御装置であって、前記気体供給システム、前記AC出力システム、及び前記DC出力システムに:
前記マスク層を保護するために該マスク層上に保護層を形成する工程;及び、
前記の保護層を形成する工程に続いて、前記薄膜をエッチングし、かつ前記パターニングされたマスク層のパターンを前記薄膜へ転写するために前記プラズマ処理システム内にプラズマ及び弾道電子ビームを生成する工程;
を実行させる、
プラズマ処理システム。
A plasma processing system equipped to etch a substrate, comprising:
The plasma processing system is:
Processing chamber;
A gas supply system arranged to supply gas to the processing chamber;
A substrate holder configured to couple with the processing chamber to process the substrate;
Electrodes provided inside the processing chamber;
Have
An AC output system is provided to couple with the processing chamber and to generate at least one AC signal to the substrate and / or the electrode to generate plasma within the processing chamber;
A DC output system is provided to couple to the processing chamber and to couple a DC voltage to the electrode to generate an electron beam through the plasma;
A control device for controlling the gas supply system, the AC output system, and the DC output system, wherein the gas supply system, the AC output system, and the DC output system are:
Forming a protective layer on the mask layer to protect the mask layer; and
Subsequent to forming the protective layer, etching the thin film and generating a plasma and ballistic electron beam in the plasma processing system to transfer the patterned mask layer pattern to the thin film. ;
To execute,
Plasma processing system.
基板上に形成され、かつ上にパターニングされたマスク層を有する薄膜のエッチング方法であって、
当該方法は:
前記マスク層パターンを形成する工程;
前記マスク層を変化させるため、ハロゲン原子種が存在しない状態で、前記プラズマ中で負の極性を有する直流(DC)出力をプラズマ処理システム内の電極に結合させることにより生成されるエッチング前電子ビームによって前記パターニングされたマスク層を処理する工程;及び
前記パターニングされたマスク層の処理後、前記薄膜に前記マスク層のパターンを転写するために前記プラズマ処理システム内で前記薄膜をエッチングする工程;
を有し、
前記エッチング工程は:
エッチング気体からエッチング用プラズマを生成する工程;
前記プラズマ処理システム内の電極に直流(DC)出力を結合して、前記エッチング工程中に前記エッチング用プラズマを支援する第2弾道電子ビームを前記プラズマ処理システム内部に生成する工程;及び
前記基板を前記エッチング用プラズマと前記第2弾道電子ビームに曝露する工程;
を有する、
方法。
A method for etching a thin film having a mask layer formed on a substrate and patterned thereon,
The method is:
Forming a pattern on the mask layer;
A pre-etched electron beam generated by coupling a direct current (DC) output having a negative polarity in the plasma to an electrode in a plasma processing system in the absence of halogen atom species to change the mask layer Processing the patterned mask layer by: and after processing the patterned mask layer, etching the thin film in the plasma processing system to transfer the pattern of the mask layer to the thin film;
Have
The etching process includes:
Generating an etching plasma from an etching gas;
Coupling a direct current (DC) output to an electrode in the plasma processing system to generate a second ballistic electron beam within the plasma processing system that supports the etching plasma during the etching process; and Exposing to the etching plasma and the second ballistic electron beam;
Having
Method.
前記のエッチング前電子ビームによってマスク層を処理する工程が:
前記プラズマ処理システム内に前記基板を設ける工程;及び
前記プラズマ処理システムに結合する電子ビーム源を用いて前記マスク層を処理する工程;
を有する、請求項47に記載の方法。
The step of treating the mask layer with the pre-etched electron beam comprises:
Providing the substrate in the plasma processing system; and processing the mask layer using an electron beam source coupled to the plasma processing system;
48. The method of claim 47, comprising:
前記のエッチング前電子ビームによってマスク層を処理する工程が:
前記プラズマ処理システム以外の基板処理システム内に前記基板を設ける工程;及び
前記プラズマ処理システムに結合する電子ビーム源を用いて前記マスク層を処理する工程;
を有する、請求項47に記載の方法。
The step of treating the mask layer with the pre-etched electron beam comprises:
Providing the substrate in a substrate processing system other than the plasma processing system; and processing the mask layer using an electron beam source coupled to the plasma processing system;
48. The method of claim 47, comprising:
前記のエッチング前電子ビームによってマスク層を処理する工程が:
前記プラズマ処理システム内部の基板ホルダ上に前記基板を設ける工程;
エッチング前気体から前記プラズマ処理システム内にエッチング前プラズマを生成する工程;
前記プラズマ処理システム内部の電極にDC出力を結合して前記エッチング前電子ビームを生成する工程;及び
前記エッチング前プラズマと前記エッチング前電子ビームに前記基板を曝露する工程;
を有する、
請求項47に記載の方法。
The step of treating the mask layer with the pre-etched electron beam comprises:
Providing the substrate on a substrate holder within the plasma processing system;
Generating a pre-etch plasma in the plasma processing system from a pre-etch gas;
Coupling a DC output to an electrode within the plasma processing system to generate the pre-etch electron beam; and exposing the substrate to the pre-etch plasma and the pre-etch electron beam;
Having
48. The method of claim 47.
前記のエッチング前プラズマを生成する工程が、1種類以上の希ガスから前記エッチング前プラズマを生成する工程を有する、請求項50に記載の方法。   51. The method of claim 50, wherein generating the pre-etch plasma comprises generating the pre-etch plasma from one or more noble gases. 前記のエッチング前プラズマを生成する工程が、1種類以上の希ガス及びCHF3の混合物から前記エッチング前プラズマを生成する工程を有する、請求項50に記載の方法。 51. The method of claim 50, wherein generating the pre-etch plasma comprises generating the pre-etch plasma from a mixture of one or more rare gases and CHF 3 . 前記のエッチング前電子ビームを生成する工程が、前記基板ホルダ上の前記基板に対向する上部電極へDC出力を結合する工程を有する、請求項50に記載の方法。   51. The method of claim 50, wherein generating the pre-etch electron beam comprises coupling a DC output to an upper electrode facing the substrate on the substrate holder. 前記のエッチング前電子ビームを生成する工程が、負の極性を有する絶対値が500V以上のDC電圧を結合する工程を有する、請求項50に記載の方法。   51. The method of claim 50, wherein generating the pre-etch electron beam comprises combining a DC voltage having a negative polarity and an absolute value of 500V or greater. 前記のエッチング前電子ビームを生成する工程が、前記電極、若しくは該電極以外の他の電極、若しくは基板ホルダ、又は上記2つ以上へ、全出力が500W以下である高周波(RF)出力を結合する工程を有する、請求項50に記載の方法。   The step of generating the pre-etching electron beam couples a high frequency (RF) output having a total output of 500 W or less to the electrode, another electrode other than the electrode, or a substrate holder, or the two or more. 51. The method of claim 50, comprising a step. 前記のエッチング前電子ビームを生成する工程が、-2000ボルト(V)から1000Vの範囲であるDC電圧を結合する工程を有する、請求項50に記載の方法。   51. The method of claim 50, wherein generating the pre-etch electron beam comprises coupling a DC voltage that is in the range of -2000 volts (V) to 1000V. 前記第2弾道電子ビームを生成する工程が、負の極性を有する絶対値が500V以上のDC電圧を結合する工程を有する、請求項47に記載の方法。 48. The method of claim 47, wherein generating the second ballistic electron beam comprises combining a DC voltage having a negative polarity and an absolute value of 500V or greater. 前記第2弾道電子ビームを生成する工程が、基板ホルダ上に供されていて前記基板に対向する上部電極へDC出力を結合する工程を有する、請求項47に記載の方法。 48. The method of claim 47, wherein generating the second ballistic electron beam comprises coupling a DC output to an upper electrode provided on a substrate holder and facing the substrate. 前記第2弾道電子ビームを生成する工程が、前記電極、若しくは該電極以外の他の電極、若しくは基板ホルダ、又は上記2つ以上へ高周波(RF)出力を結合する工程を有する、請求項58に記載の方法。 The step of generating the second ballistic electron beam comprises coupling a radio frequency (RF) output to the electrode, another electrode other than the electrode, or a substrate holder, or the two or more. The method described. 前記のRF出力を結合する工程が:
第1RF周波数で第1RF出力を前記上部電極と結合する工程;及び
前記第1RF周波数未満である第2RF周波数で第2RF出力を前記基板ホルダと結合する工程;
を有する、請求項59に記載の方法。
The step of combining the RF outputs includes:
Coupling a first RF output with the upper electrode at a first RF frequency; and coupling a second RF output with the substrate holder at a second RF frequency that is less than the first RF frequency;
60. The method of claim 59, comprising:
前記電子ビームの電子ビーム束の空間分布を調節するために、前記RF出力の振幅を変調する工程をさらに有する、請求項59に記載の方法。   60. The method of claim 59, further comprising modulating the amplitude of the RF output to adjust the spatial distribution of the electron beam bundle of the electron beam. 前記のエッチング工程前にエッチング前電子ビームによってマスク層を処理する工程が、前記エッチング中に前記マスク層内に生成されるラインエッジラフネスを減少させる、請求項47に記載の方法。   48. The method of claim 47, wherein treating the mask layer with a pre-etch electron beam prior to the etching step reduces line edge roughness created in the mask layer during the etching. 前記のマスク層を処理する工程は、前記のパターニングされたマスク層が、前記エッチング中に前記マスク層内に生成されるラインエッジラフネスに対して耐性を有するようになるための所定の期間行われる、請求項47に記載の方法。   The step of processing the mask layer is performed for a predetermined period of time for the patterned mask layer to be resistant to line edge roughness generated in the mask layer during the etching. 48. The method of claim 47. 前記のエッチング前電子ビームの電子ビームエネルギーが、前記第2弾道電子ビームの電子ビームエネルギー未満である、請求項47に記載の方法。 48. The method of claim 47, wherein an electron beam energy of the pre-etch electron beam is less than an electron beam energy of the second ballistic electron beam. 前記のエッチング前電子ビームの電子ビームエネルギーが、前記のエッチング前電子ビームによる前記マスク層の処理中に、ステップ状又は一定の割合で上昇する、請求項47に記載の方法。   48. The method of claim 47, wherein the electron beam energy of the pre-etch electron beam increases stepwise or at a constant rate during processing of the mask layer with the pre-etch electron beam. 弾道電子ビームとプラズマを有するプラズマ処理システムを用いて基板上の薄膜をエッチングする方法であって:
前記薄膜上にパターンを有するマスク層を形成する工程;
前記プラズマ中で負の極性を有する直流(DC)出力を電極に結合させることによって、ハロゲン原子種が存在しない状態で第1弾道電子ビームを生成する工程;
前記のマスク層を有する基板を前記第1弾道電子ビームへ曝露することによって前記マスク層を処理する工程;
前記プラズマ処理システム内でエッチング用気体からエッチング用プラズマを生成する工程;
前記プラズマ中で負の極性を有する直流(DC)出力を電極に結合させることによって、前記プラズマ処理システム内に第2弾道電子ビームを生成する工程;及び
前記パターンを前記薄膜へ転写するため、前記エッチング用プラズマと前記第2弾道電子ビームに前記基板を曝露する工程;
を有する方法。
A method of etching a thin film on a substrate using a plasma processing system having a ballistic electron beam and a plasma comprising:
Forming a mask layer having a pattern on the thin film;
Generating a first ballistic electron beam in the absence of a halogen atom species by coupling a direct current (DC) output having negative polarity in the plasma to an electrode;
Treating the mask layer by exposing a substrate having the mask layer to the first ballistic electron beam;
Generating an etching plasma from an etching gas in the plasma processing system;
Generating a second ballistic electron beam in the plasma processing system by coupling a direct current (DC) output having a negative polarity in the plasma to an electrode; and for transferring the pattern to the thin film, Exposing the substrate to an etching plasma and the second ballistic electron beam;
Having a method.
基板上のマスク層を有する薄膜をエッチングするように備えられているプラズマ処理システムであって、
当該プラズマ処理システムは:
処理チャンバ;
該処理チャンバへ気体を供給するように備えられた気体供給システム;
前記処理チャンバと結合して前記基板を処理するように備えられている基板ホルダ;
前記処理チャンバ内部に供された電極;
を有し、
AC出力システムは、前記処理チャンバ内部にプラズマを生成するため、前記処理チャンバと結合し、かつ前記基板及び/又は前記電極へ少なくとも1つのAC信号を結合するように備えられ、
DC出力システムは、前記プラズマを介して電子ビームを生成するため、前記処理チャンバと結合し、かつ前記電極へDC電圧を結合するように備えられ、
前記気体供給システム、前記AC出力システム、及び前記DC出力システムを制御する制御装置であって、前記気体供給システム、前記AC出力システム、及び前記DC出力システムに:
前記マスク層パターンを形成する工程;
前記のパターンが形成されたマスク層を変化させるために、ハロゲン原子種が存在しない状態で、前記プラズマ中で負の極性を有する直流(DC)出力を電極に結合させることにより生成されるエッチング前電子ビームによって前記のパターンが形成されたマスク層を処理する工程;及び、
前記のマスク層を処理する工程に続いて、前記薄膜をエッチングし、かつ前記のパターンが形成されたマスク層のパターンを前記薄膜へ転写するために前記プラズマ処理システム内にプラズマ及び弾道電子ビームを生成する工程;
を実行させる、
プラズマ処理システム。
A plasma processing system equipped to etch a thin film having a mask layer on a substrate, comprising:
The plasma processing system is:
Processing chamber;
A gas supply system arranged to supply gas to the processing chamber;
A substrate holder configured to couple with the processing chamber to process the substrate;
Electrodes provided inside the processing chamber;
Have
An AC output system is provided to couple with the processing chamber and to generate at least one AC signal to the substrate and / or the electrode to generate plasma within the processing chamber;
A DC output system is provided to couple to the processing chamber and to couple a DC voltage to the electrode to generate an electron beam through the plasma;
A control device for controlling the gas supply system, the AC output system, and the DC output system, wherein the gas supply system, the AC output system, and the DC output system are:
Forming a pattern on the mask layer;
In order to change the mask layer on which the pattern is formed, before etching is generated by coupling a direct current (DC) output having a negative polarity in the plasma to the electrode in the absence of halogen atom species. Treating the mask layer on which the pattern is formed with an electron beam; and
Following the step of processing the mask layer, plasma and a ballistic electron beam are applied into the plasma processing system to etch the thin film and transfer the pattern of the mask layer formed with the pattern to the thin film. Generating step;
To execute,
Plasma processing system.
JP2009523873A 2006-08-07 2007-06-05 Mask layer processing method before performing etching process Expired - Fee Related JP5271267B2 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US11/499,678 2006-08-07
US11/499,680 2006-08-07
US11/499,679 US7572386B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process
US11/499,680 US7642193B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process
US11/499,679 2006-08-07
US11/499,678 US7449414B2 (en) 2006-08-07 2006-08-07 Method of treating a mask layer prior to performing an etching process
PCT/US2007/070375 WO2008021609A1 (en) 2006-08-07 2007-06-05 Method of treating a mask layer prior to performing an etching process

Publications (2)

Publication Number Publication Date
JP2010500758A JP2010500758A (en) 2010-01-07
JP5271267B2 true JP5271267B2 (en) 2013-08-21

Family

ID=39082334

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009523873A Expired - Fee Related JP5271267B2 (en) 2006-08-07 2007-06-05 Mask layer processing method before performing etching process

Country Status (4)

Country Link
JP (1) JP5271267B2 (en)
KR (1) KR101346897B1 (en)
TW (2) TWI443743B (en)
WO (1) WO2008021609A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104701159A (en) * 2013-12-10 2015-06-10 东京毅力科创株式会社 Etching method

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5578782B2 (en) * 2008-03-31 2014-08-27 東京エレクトロン株式会社 Plasma processing method and computer-readable storage medium
JP5171683B2 (en) * 2009-02-18 2013-03-27 東京エレクトロン株式会社 Plasma processing method
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5662079B2 (en) * 2010-02-24 2015-01-28 東京エレクトロン株式会社 Etching method
CN102543687B (en) * 2011-11-30 2015-08-05 中微半导体设备(上海)有限公司 The lithographic method of the lithographic method of mask layer, etching device and interlayer dielectric layer
US9368368B2 (en) * 2014-07-21 2016-06-14 Tokyo Electron Limited Method for increasing oxide etch selectivity
JP6587580B2 (en) 2016-06-10 2019-10-09 東京エレクトロン株式会社 Etching method
US10020183B1 (en) * 2017-06-29 2018-07-10 Lam Research Corporation Edge roughness reduction
JP2023170791A (en) * 2022-05-20 2023-12-01 東京エレクトロン株式会社 Modification method and modification device

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5641234A (en) * 1979-09-10 1981-04-17 Asahi Chem Ind Co Ltd Novel molding dope composition
US5597438A (en) * 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
JP4538209B2 (en) 2003-08-28 2010-09-08 株式会社日立ハイテクノロジーズ Manufacturing method of semiconductor device
JP4672456B2 (en) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 Plasma processing equipment
JP4672455B2 (en) * 2004-06-21 2011-04-20 東京エレクトロン株式会社 Plasma etching apparatus, plasma etching method, and computer-readable storage medium
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP4827081B2 (en) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 Plasma etching method and computer-readable storage medium
JP5011782B2 (en) * 2006-03-28 2012-08-29 東京エレクトロン株式会社 Semiconductor device manufacturing method, plasma processing apparatus, and storage medium.
US8083961B2 (en) * 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104701159A (en) * 2013-12-10 2015-06-10 东京毅力科创株式会社 Etching method
CN104701159B (en) * 2013-12-10 2018-05-08 东京毅力科创株式会社 Engraving method

Also Published As

Publication number Publication date
KR101346897B1 (en) 2014-01-02
KR20090037495A (en) 2009-04-15
WO2008021609A1 (en) 2008-02-21
TWI445074B (en) 2014-07-11
TWI443743B (en) 2014-07-01
TW201419411A (en) 2014-05-16
JP2010500758A (en) 2010-01-07
TW200828432A (en) 2008-07-01

Similar Documents

Publication Publication Date Title
JP5271267B2 (en) Mask layer processing method before performing etching process
US7449414B2 (en) Method of treating a mask layer prior to performing an etching process
JP5205378B2 (en) Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
KR102510737B1 (en) Atomic layer etching method
JP4657473B2 (en) Plasma processing equipment
JP5674375B2 (en) Plasma processing method and plasma processing apparatus
US7572386B2 (en) Method of treating a mask layer prior to performing an etching process
US20060201911A1 (en) Methods of etching photoresist on substrates
US7642193B2 (en) Method of treating a mask layer prior to performing an etching process
TWI525692B (en) Plasma etching method, control program and computer memory media
KR102280572B1 (en) Plasma processing method
JP7414535B2 (en) Method and apparatus for processing substrates
JP2008172184A (en) Plasma etching method, plasma etching device, control program and computer storage medium
JP6840041B2 (en) Etching method
JP4865373B2 (en) Dry etching method
JP3172340B2 (en) Plasma processing equipment
JP4128365B2 (en) Etching method and etching apparatus
JP2020177958A (en) Substrate processing method and substrate processing device
JP2001035836A (en) Method and device for dry etching
JP2003332317A (en) Method and apparatus for exfoliating resist using plasma

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100602

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121017

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121023

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130404

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130430

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130510

R150 Certificate of patent or registration of utility model

Ref document number: 5271267

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees