JP4886169B2 - Mask, design method thereof, exposure method, and device manufacturing method - Google Patents

Mask, design method thereof, exposure method, and device manufacturing method Download PDF

Info

Publication number
JP4886169B2
JP4886169B2 JP2004031547A JP2004031547A JP4886169B2 JP 4886169 B2 JP4886169 B2 JP 4886169B2 JP 2004031547 A JP2004031547 A JP 2004031547A JP 2004031547 A JP2004031547 A JP 2004031547A JP 4886169 B2 JP4886169 B2 JP 4886169B2
Authority
JP
Japan
Prior art keywords
pattern
mask
auxiliary
patterns
contact hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2004031547A
Other languages
Japanese (ja)
Other versions
JP2004272228A5 (en
JP2004272228A (en
Inventor
賢治 山添
謙治 斉藤
章義 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP2004031547A priority Critical patent/JP4886169B2/en
Publication of JP2004272228A publication Critical patent/JP2004272228A/en
Publication of JP2004272228A5 publication Critical patent/JP2004272228A5/ja
Application granted granted Critical
Publication of JP4886169B2 publication Critical patent/JP4886169B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は、一般には、露光に関し、特に、IC、LSIなどの半導体チップ、液晶パネルなどの表示素子、磁気ヘッドなどの検出素子、CCDなどの撮像素子といった各種デバイス、マイクロメカニクスで用いるマスク及びその製造方法、露光装置及び方法、デバイス製造方法、並びに、被露光体から製造されるデバイスに関する。ここで、マイクロメカニクスは半導体集積回路製造技術を微細構造体の製作に応用し、高度な機能を持ったミクロン単位の機械システムやそれを作る技術をいう。   The present invention generally relates to exposure, and in particular, a semiconductor chip such as an IC or LSI, a display element such as a liquid crystal panel, a detection element such as a magnetic head, an imaging element such as a CCD, a mask used in micromechanics, and its mask The present invention relates to a manufacturing method, an exposure apparatus and method, a device manufacturing method, and a device manufactured from an object to be exposed. Here, micromechanics refers to a micron-scale mechanical system with advanced functions and a technology for making it by applying semiconductor integrated circuit manufacturing technology to the fabrication of fine structures.

フォトリソグラフィ技術を用いてデバイスを製造する際に、マスク(レチクル)に描画されたパターンを投影光学系によってウエハに投影してパターンを転写する投影露光装置が従来から使用されている。投影光学系はパターンからの回折光をウエハ上に干渉及び結像させ、通常の露光ではパターンからの0次及び±1次の回折光(即ち、三光束)を干渉させる。   2. Description of the Related Art When a device is manufactured using a photolithography technique, a projection exposure apparatus that projects a pattern drawn on a mask (reticle) onto a wafer by a projection optical system and transfers the pattern has been conventionally used. The projection optical system causes the diffracted light from the pattern to interfere and form an image on the wafer, and in normal exposure, the 0th and ± 1st order diffracted lights (that is, three light beams) from the pattern are interfered.

マスクパターンは、近接した周期的なラインアンドスペース(L&S)パターン、近接及び周期的な(即ち、ホール径と同レベルの間隔で並べた)コンタクトホール列、近接せずに孤立した孤立コンタクトホールその他の孤立パターン等を含むが、高解像度でパターンを転写するためには、パターンの種類に応じて最適な露光条件(照明条件や露光量など)を選択する必要がある。   Mask patterns include adjacent periodic line and space (L & S) patterns, adjacent and periodic contact hole rows (that is, arranged at the same level as the hole diameter), isolated contact holes isolated without being adjacent to each other, etc. In order to transfer a pattern with high resolution, it is necessary to select an optimal exposure condition (such as illumination condition and exposure amount) according to the type of pattern.

投影露光装置の解像度Rは、光源の波長λと投影光学系の開口数(NA)を用いて以下のレーリーの式で与えられる。   The resolution R of the projection exposure apparatus is given by the following Rayleigh equation using the wavelength λ of the light source and the numerical aperture (NA) of the projection optical system.

ここで、kは現像プロセスなどによって定まる定数であり、通常露光の場合にはkは約0.5〜0.7である。 Here, k 1 is a constant determined by such developing process, in the case of normal exposure is k 1 is about 0.5 to 0.7.

近年のデバイスの高集積化に対応して、転写されるパターンの微細化、即ち、高解像度化が益々要求されている。高解像力を得るには、上式から開口数NAを大きくすること、及び、波長λを小さくすることが有効であるが、これらの改善は現段階では限界に達しており、通常露光の場合にウエハに0.15μm以下のパターンを形成することは困難である。そこで、パターンを経た回折光の中のうち二光束を干渉及び結像させる位相シフトマスク技術が従来から提案されている(例えば、特許文献1参照。)。位相シフトマスクは、マスクの隣接する光透過部分の位相を180°反転することによって0次回折光を相殺し、2つの±1次回折光を干渉させて結像するものである。かかる技術によれば、上式のkを実質的に0.25にすることができるので、解像度Rを改善してウエハに0.15μm以下のパターンを形成することができる。
米国特許出願公開第2002/177048号明細書
In response to the recent high integration of devices, there is an increasing demand for miniaturization of transferred patterns, that is, higher resolution. In order to obtain high resolution, it is effective to increase the numerical aperture NA from the above equation and to reduce the wavelength λ. However, these improvements have reached the limit at this stage, and in the case of normal exposure. It is difficult to form a pattern of 0.15 μm or less on the wafer. Therefore, a phase shift mask technique that interferes and forms an image of two light beams out of the diffracted light that has passed through the pattern has been proposed (for example, see Patent Document 1). In the phase shift mask, the phase of adjacent light transmitting portions of the mask is inverted by 180 ° to cancel the 0th-order diffracted light, and the two ± 1st-order diffracted lights are interfered to form an image. According to this technique, it is possible to a k 1 of the above equation substantially 0.25, it is possible to form the following pattern 0.15μm on the wafer to improve the resolution R.
US Patent Application Publication No. 2002/177048

しかし、解像限界に近いコンタクトホールの場合は隣り合う位相を180度変えると回折光が瞳面上では45度の方向で、光軸から大きな角度で回折される為、投影系の瞳から外へ飛び出してしまい、投影レンズの瞳を通ることができず、解像されない。解像できるのは、L&Sの限界線幅の√2倍の微細パターンまでである。   However, in the case of a contact hole close to the resolution limit, if the adjacent phase is changed by 180 degrees, the diffracted light is diffracted at a large angle from the optical axis in the direction of 45 degrees on the pupil plane. Jumps out and cannot pass through the pupil of the projection lens and is not resolved. The resolution can be up to a fine pattern of √2 times the limit line width of L & S.

近年の半導体産業は、より高付加価値な、多種多様なパターンが混在するシステムチップに生産が移行しつつあり、マスクにも複数種類のコンタクトパターンを混在させる必要が生じ、L&Sパターンの解像度と同等の解像度のコンタクトホールも必要となってきた。しかし、従来の位相シフトマスク技術だけではコンタクトホール列と孤立コンタクトが混在したコンタクトホールパターンを同時に解像度良く露光できなかった。これに対して、2枚のマスクを用いて異なる種類のパターンを別々に露光する二重露光(又は多重露光)を使用することが考えられるが、従来の二重露光は、2枚以上のマスクを必要とするのでコストアップを招き、2回の露光のためにスループットが低下し、マスク交換2回の露光の高い重ね合わせ精度を必要とするため実用上解決すべき問題が多い。   In the recent semiconductor industry, production is shifting to higher-value-added system chips that include a wide variety of patterns, and it is necessary to mix multiple types of contact patterns in the mask, which is equivalent to the resolution of the L & S pattern. The contact hole of the resolution is also needed. However, only the conventional phase shift mask technology cannot simultaneously expose a contact hole pattern in which contact hole arrays and isolated contacts are mixed with high resolution. On the other hand, it is conceivable to use double exposure (or multiple exposure) in which different types of patterns are separately exposed using two masks, but conventional double exposure uses two or more masks. Therefore, the cost is increased, the throughput is lowered due to the exposure twice, and the high overlay accuracy of the exposure twice in the mask exchange is required. Therefore, there are many problems to be solved in practice.

そこで、微細な(例えば、0.15μm以下の)ホール径を持ち、一又は複数のホールを有するパターンを、マスクを交換せずに、高解像度で露光可能なマスク及びその製造方法、露光方法及び装置を提供することを本発明の例示的目的とする。   Therefore, a mask having a fine hole diameter (for example, 0.15 μm or less) and capable of exposing a pattern having one or a plurality of holes at high resolution without exchanging the mask, a manufacturing method thereof, an exposure method, and It is an exemplary object of the present invention to provide an apparatus.

本発明の一側面としてのマスクは、複数のコンタクトホールパターンと、前記複数のコンタクトホールパターンよりも寸法が小さい複数の補助パターンとを有するマスクであって、前記マスクはバイナリーマスク又はハーフトーンマスクであって、前記複数のコンタクトホールパターン及び前記補助パターンの位相は同じであり、前記複数の補助パターンは、第1の補助パターンと複数の第2の補助パターンを含み、前記複数のコンタクトホールパターンの中心と前記第1の補助パターンの中心は直線上に等間隔に配置され、前記複数の第2の補助パターンの中心は、前記複数のコンタクトホールパターンのうち2つの隣接したパターンの中心から等距離にあり、前記複数の第2の補助パターンは、前記直線と前記第2の補助パターンの中心との距離が前記複数のコンタクトホールの周期に等しく、前記複数のコンタクトホールパターンの周期と同じ周期で前記直線の両側の前記直線と平行な直線上に配置されていることを特徴とする。 A mask according to an aspect of the present invention is a mask having a plurality of contact hole patterns and a plurality of auxiliary patterns having dimensions smaller than the plurality of contact hole patterns, and the mask is a binary mask or a halftone mask. The phases of the plurality of contact hole patterns and the auxiliary patterns are the same, and the plurality of auxiliary patterns include a first auxiliary pattern and a plurality of second auxiliary patterns, center and the center of the first auxiliary pattern is arranged at equal intervals on a straight line, the center of the plurality of second auxiliary patterns is equal from the center of two adjacent patterns of the plurality of contact holes pattern situated, the plurality of second auxiliary patterns, the center of the straight line and the second auxiliary pattern Equal distance of the cycle of the plurality of contact holes, characterized in that it is arranged in said plurality of contact holes the bilateral periodic with the same period of the linear and the pattern line and on a straight line parallel.

本発明の更なる目的又はその他の特徴は、以下添付図面を参照して説明される好ましい実施例によって明らかにされるであろう。   Further objects and other features of the present invention will become apparent from the preferred embodiments described below with reference to the accompanying drawings.

本発明によれば、微細なホール径を持ち、一又は複数のコンタクトホールを有するパターンを、マスクを交換せずに、高解像度で露光可能なマスク及びその製造方法、並びに、露光方法及び装置を提供することができる。   According to the present invention, there is provided a mask capable of exposing a pattern having a fine hole diameter and having one or a plurality of contact holes at a high resolution without exchanging the mask, a manufacturing method thereof, and an exposure method and apparatus. Can be provided.

以下、添付図面を参照して本発明の実施の形態を説明する。以下、露光装置として仮定されているものは、特に断らない限り、光源がKrFエキシマレーザー(露光波長λ=248nm)でその投影光学系の開口数が0.73であり、縮小比4:1の縮小露光装置とする。投影露光装置は、縮小投影露光が一般的である。縮小投影露光の場合、作成したいパターンサイズとマスクパターンは露光装置に依存した倍率だけ異なる。露光装置の倍率はそれぞれの機種において様々であるので、以下においては、マスク上のパターンサイズをウエハ上の寸法に換算する。例えば、投影露光装置の倍率が0.25倍であるとき、120nmのパターンを作成したいとき、実際にはマスク上に480nmのパターンを作成しなくてはならないし、投影露光装置の倍率が0.20倍の場合はマスク上に600nmのパターンを作成しなくてはならない。しかし、以下では、これらの状況に対する区別をなくすためマスクパターンの大きさをウエハ上の寸法に換算し、120nmのパターンと呼ぶ。また、各パターンは一又は複数のコンタクトホールから構成されているが、本出願においては、「パターン」という用語はパターンの一部又は一のコンタクトホールを意味する場合もある。   Embodiments of the present invention will be described below with reference to the accompanying drawings. Hereinafter, unless otherwise specified, the exposure apparatus is assumed to have a light source of KrF excimer laser (exposure wavelength λ = 248 nm), a numerical aperture of its projection optical system of 0.73, and a reduction ratio of 4: 1. A reduction exposure apparatus is used. The projection exposure apparatus is generally reduced projection exposure. In the case of reduced projection exposure, the pattern size to be created and the mask pattern differ by a magnification that depends on the exposure apparatus. Since the magnification of the exposure apparatus varies with each model, in the following, the pattern size on the mask is converted into the dimension on the wafer. For example, when the projection exposure apparatus has a magnification of 0.25, when it is desired to create a 120 nm pattern, a pattern of 480 nm must actually be created on the mask. In the case of 20 times, a 600 nm pattern must be created on the mask. However, in the following, in order to eliminate the distinction between these situations, the size of the mask pattern is converted into a dimension on the wafer and referred to as a 120 nm pattern. Each pattern is composed of one or a plurality of contact holes. In the present application, the term “pattern” may mean a part of a pattern or one contact hole.

本発明者らは、バイナリーマスクの所望パターン周辺に、その所望パターンより寸法が小さく、解像しないくらいの大きさの補助パターンを配置し、かつ特殊な斜入射照明を行うことによって微細なコンタクトホールパターンをウエハ等の被露光体に露光することに既に成功している。一例をあげると、図3(c)のような所望パターンにおいて、ホール径は100nm、最小ホール間隔も100nmであったとする。   The present inventors have arranged a small contact hole around the desired pattern of the binary mask by arranging an auxiliary pattern that is smaller than the desired pattern and large enough not to be resolved, and performing special oblique incidence illumination. The pattern has already been successfully exposed on an object to be exposed such as a wafer. As an example, in the desired pattern as shown in FIG. 3C, it is assumed that the hole diameter is 100 nm and the minimum hole interval is 100 nm.

上述の投影露光装置を使用した場合、通常では、図3(a)に模式的に示したように、所望パターンに応じて透光部31と遮光部33を配置したマスクを用いて、円形状の照度分布の有効光源を有する照明でそのマスクを照明することにより、ウエハを露光するが、この方法ではパターンは解像しない。対して、図3(b)に模式的に示したように、所望パターンに対する透光部31の周囲に微小透光部32を遮光部33に配置したマスクと、図4(a)に示したように、十字型の遮光部42Aを持つ有効光源分布を有する照明系により、ウエハを露光したところパターンが図8に示すように解像した。   When the above-described projection exposure apparatus is used, normally, as schematically shown in FIG. 3A, a circular shape is used by using a mask in which a light transmitting portion 31 and a light shielding portion 33 are arranged according to a desired pattern. Although the wafer is exposed by illuminating the mask with illumination having an effective light source with an illuminance distribution, the pattern is not resolved by this method. On the other hand, as schematically shown in FIG. 3B, a mask in which the minute light-transmitting portion 32 is arranged around the light-transmitting portion 31 with respect to the desired pattern in the light-shielding portion 33, and FIG. As described above, when the wafer was exposed by an illumination system having an effective light source distribution having a cross-shaped light shielding portion 42A, the pattern was resolved as shown in FIG.

図3(b)に示すマスクは、所望パターン31と、その所望パターン31の縦・横方向に周期的に配置され、その所望パターン31よりも寸法が小さく解像しないくらいの大きさの補助パターン32とを有するバイナリーマスクである。図4(a)において、白抜き部41が光照射部を表し、黒で塗りつぶした部分42は遮光部を表す。ウエハはシリコン基盤でレジストとしてTOK−DP746HCを用いて膜厚を350nmとした。   The mask shown in FIG. 3B is a desired pattern 31 and auxiliary patterns that are periodically arranged in the vertical and horizontal directions of the desired pattern 31 and have dimensions smaller than the desired pattern 31 and that do not resolve. 32 is a binary mask. In FIG. 4A, a white portion 41 represents a light irradiation portion, and a portion 42 filled with black represents a light shielding portion. The wafer was a silicon base, and the film thickness was 350 nm using TOK-DP746HC as a resist.

かかる露光方法は、所望のコンタクトホールパターンと、当該パターンのホール径よりも小さなホール径を有するダミーコンタクトホールパターンとが配列されたマスクを形成し、所望コンタクトホールパターン部のみを解像させるものである(本出願ではかかる露光方法を露光方法Iと呼ぶ場合もある)。また、本発明者らは、露光方法Iに使用される有効光源形状として、図4(b)、(c)、(d)に示したような照明を用いても同様の結果を得られることを確認した。   Such an exposure method is to form a mask in which a desired contact hole pattern and a dummy contact hole pattern having a hole diameter smaller than the hole diameter of the pattern are arranged, and to resolve only the desired contact hole pattern portion. Yes (this application may be referred to as exposure method I in this application). Further, the present inventors can obtain the same result even when the illumination as shown in FIGS. 4B, 4C, and 4D is used as the effective light source shape used in the exposure method I. It was confirmed.

露光方法Iの基本的思想は、周期的に補助パターンを配置することである。例えば、図5(a)に示したようなコンタクトホールパターンを露光したいときは、図5(b)のように所望パターンの縦・横に補助パターンを挿入することが考えられる。上記露光方法は通常の露光方法に比べて焦点深度も大きくなるし、パターン形成に必要な露光量も少なくて済み、そのためにいわゆるスループットも大きい。   The basic idea of the exposure method I is to arrange auxiliary patterns periodically. For example, when it is desired to expose a contact hole pattern as shown in FIG. 5A, it is conceivable to insert auxiliary patterns vertically and horizontally in a desired pattern as shown in FIG. 5B. The exposure method has a greater depth of focus than a normal exposure method, and requires a smaller amount of exposure for pattern formation, and therefore has a high so-called throughput.

本発明者らは、更なる解像力の向上、より大きい焦点深度、かつ、スループットの向上のために、上述の露光方法を更に研究した結果、図5(c)のように補助パターンを配置したマスクを用いることが好ましいことを発見した。図5(c)に示すマスクは以下のような幾つかの特徴を有する。まず、所望のコンタクトホールパターンの中心上に交点をもつ直交仮想格子を仮定した場合、直交仮想格子交点とは異なる位置に補助パターンの中心が存在する。次に、直交仮想格子上において所望のコンタクトホールから見て斜めに配置された補助パターンのうち最も近いコンタクトホールは、後述するように、0度から45度の間(0度より大きく、45度より小さい)にある。更に、所望のコンタクトホールパターンの周期に忠実な仮想格子において一行又は一列おきに、当該パターンよりも寸法が小さな補助パターンを仮想格子の交点からずらして配置している。   The inventors of the present invention have further studied the above-described exposure method in order to further improve the resolving power, increase the depth of focus, and improve the throughput. As a result, the mask in which the auxiliary pattern is arranged as shown in FIG. Has been found to be preferred. The mask shown in FIG. 5C has several features as follows. First, assuming an orthogonal virtual lattice having an intersection on the center of a desired contact hole pattern, the center of the auxiliary pattern exists at a position different from the orthogonal virtual lattice intersection. Next, the closest contact hole among the auxiliary patterns arranged obliquely as viewed from the desired contact hole on the orthogonal virtual lattice is between 0 ° and 45 ° (greater than 0 °, 45 ° as will be described later). Smaller). Further, auxiliary patterns having dimensions smaller than the pattern are arranged shifted from the intersection of the virtual lattices in every other row or every other column in the virtual lattice that is faithful to the cycle of the desired contact hole pattern.

所望パターンの上下左右に補助パターンを挿入するのはごく自然のことにいえる。補助パターンそのものは解像しないものの、補助パターンは所望パターンに影響を及ぼすからこそ解像力が向上するのである。そう考えると、補助パターンを所望パターンの一番近傍、すなわち縦・横に配置するのが一番自然である。しかし、本発明者らは補助パターンを縦・横方向に配置するのではなく、互い違いに配置するほうが焦点深度をより大きくできることを突き止めた。すなわち、図5(a)のようなパターンに対しては、図5(b)のように補助パターンを挿入するのではなく、図5(c)のように補助パターンを配置したほうが焦点深度が大きいことを発見した。   It is quite natural to insert auxiliary patterns above, below, left and right of a desired pattern. Although the auxiliary pattern itself is not resolved, the resolution is improved because the auxiliary pattern affects the desired pattern. In view of this, it is most natural to arrange the auxiliary pattern closest to the desired pattern, that is, vertically and horizontally. However, the present inventors have found that it is possible to increase the depth of focus by arranging the auxiliary patterns alternately rather than in the vertical and horizontal directions. That is, for the pattern as shown in FIG. 5 (a), the depth of focus is greater when the auxiliary pattern is arranged as shown in FIG. 5 (c) than when the auxiliary pattern is inserted as shown in FIG. 5 (b). I found it big.

以下、この原理について説明する。初めに、「通常の配置」と「互い違いな配置」という言葉について定義する。「通常の配置」とは、図6(a)に模式的に示すように、所望パターン61の周期に忠実に仮想格子63を考えて、各格子点に補助パターン62を配置することである。これに対して、「互い違いな配置」とは、図6(b)に模式的に示すように、所望パターン61の周期に忠実な仮想格子63において一行おきに、右もしくは左にずれた位置に補助パターンを配置することである。同様に、所望パターンの周期に忠実な仮想格子において一列おきに、上もしくは下にずれた位置に補助パターンを配置することも互い違いな配置である。   Hereinafter, this principle will be described. First, the terms “normal arrangement” and “alternate arrangement” are defined. “Normal arrangement” means that, as schematically shown in FIG. 6A, the auxiliary pattern 62 is arranged at each grid point in consideration of the virtual grid 63 faithfully to the cycle of the desired pattern 61. On the other hand, the “alternate arrangement” means that every other line in the virtual lattice 63 faithful to the period of the desired pattern 61 is shifted to the right or left as shown schematically in FIG. An auxiliary pattern is arranged. Similarly, it is an alternate arrangement to arrange auxiliary patterns at positions shifted up or down every other column in a virtual lattice that is faithful to the cycle of the desired pattern.

投影露光装置を使用して図9(a)に示すマスク90Aと図9(b)に示すマスク90Bのパターンをウエハに露光する場合について考える。マスク90Aにおいては、120nmのホールがスペース120nmで5行5列に並んでいる。マスク90Bにおいては、マスク90Aの2行目と4行目のホール列が横に120nmずれている。   Consider a case in which the pattern of the mask 90A shown in FIG. 9A and the mask 90B shown in FIG. In the mask 90A, holes of 120 nm are arranged in 5 rows and 5 columns with a space of 120 nm. In the mask 90B, the second and fourth hole columns of the mask 90A are laterally shifted by 120 nm.

マスク90A及び90Bをコヒーレントに照明した場合の投影光学系の瞳面上での光の強度分布を見てみると、それぞれ図10(a)及び図10(b)のようになる。図10(a)及び図10(b)に示した座標の値は、λ/NAで規格化(k換算)してある。なお、図10(a)、(b)において半径1の円は瞳を表してあり、縦方向、横方向からのしかるべき斜入射照明によって、回折光をずらし瞳内に回折光を取り込む様子も同時に表している。 The light intensity distribution on the pupil plane of the projection optical system when the masks 90A and 90B are illuminated coherently are as shown in FIGS. 10 (a) and 10 (b), respectively. Coordinate values shown in FIG. 10 (a) and FIG. 10 (b) is normalized (k 1 equivalent) in lambda / NA. In FIGS. 10A and 10B, a circle having a radius of 1 represents the pupil, and the state in which the diffracted light is shifted and taken into the pupil by appropriate oblique illumination from the vertical and horizontal directions is also shown. It represents at the same time.

マスクパターンの違いにより回折光の現れ方も変わるので、瞳への回折光の入り方も変わる。マスク90Aを用いたときは、斜入射照明した場合、図10(c)又は図10(d)のようにしか回折光102が瞳101の中に入らない。これに対して、マスク90Bを用いたときは、斜入射照明した場合、図10(e)又は図10(f)のように3つの回折光102が一直線上には並ばずに瞳101に入ることが理解される。このような瞳内での回折光の分布の違いが結像性能に決定的な違いをもたらすことになる。   Since the appearance of the diffracted light also changes depending on the mask pattern, the way the diffracted light enters the pupil also changes. When the mask 90A is used, when oblique incidence illumination is performed, the diffracted light 102 enters the pupil 101 only as shown in FIG. 10 (c) or FIG. 10 (d). On the other hand, when the mask 90B is used, in the case of oblique incidence illumination, the three diffracted lights 102 enter the pupil 101 without being aligned on a straight line as shown in FIG. 10 (e) or FIG. 10 (f). It is understood. Such a difference in the distribution of diffracted light within the pupil causes a decisive difference in imaging performance.

まず、縦方向の解像の違いについて説明する。マスク90Aでは、縦方向の解像を決定する干渉は主に2種類ある。即ち、強度1.00の回折光101aと強度0.41の回折光102aの干渉と、強度1.00の回折光101aと強度0.41の回折光103aの干渉である。これに対して、マスク90Bでは、縦方向の解像を決定する干渉は主に4種類ある。即ち、強度1.00の回折光101bと強度0.41の回折光102bの干渉、強度1.00の回折光101bと強度0.41の回折光103bの干渉、強度0.33の回折光104bと強度0.33の回折光105bの干渉、及び、強度0.33の回折光106bと強度0.33の回折光107bの干渉である。以上より、マスク90Aと90Bの縦方向の解像を調べると、マスク90Bのほうが、解像に寄与する光量が多いことが理解される。   First, the difference in resolution in the vertical direction will be described. In the mask 90A, there are mainly two types of interference that determine the resolution in the vertical direction. That is, the interference between the diffracted light 101a having the intensity 1.00 and the diffracted light 102a having the intensity 0.41 and the interference between the diffracted light 101a having the intensity 1.00 and the diffracted light 103a having the intensity 0.41. On the other hand, in the mask 90B, there are mainly four types of interference that determine the resolution in the vertical direction. That is, the interference between the diffracted light 101b having an intensity of 1.00 and the diffracted light 102b having an intensity of 0.41, the interference between the diffracted light 101b having an intensity of 1.00 and the diffracted light 103b having an intensity of 0.41, and the diffracted light 104b having an intensity of 0.33. Interference between the diffracted light 105b having an intensity of 0.33, and interference between the diffracted light 106b having an intensity of 0.33 and the diffracted light 107b having an intensity of 0.33. As described above, when the vertical resolution of the masks 90A and 90B is examined, it is understood that the mask 90B has a larger amount of light contributing to the resolution.

次に、横方向の解像の違いについて説明する。マスク90Aでは、横方向の解像を決定する干渉は主に2種類ある。即ち、強度1.00の回折光101aと強度0.41の回折光104aの干渉と、強度1.00の回折光101aと強度0.41の回折光105aの干渉である。これに対して、マスク90Bでは、縦方向の解像を決定する干渉は主に4種類ある。即ち、強度1.00の回折光101bと強度0.33の回折光104bの干渉、強度1.00の回折光101bと強度0.33の回折光105bの干渉、強度1.00の回折光101bと強度0.33の回折光106bの干渉、及び、強度1.00の回折光101bと強度0.33の回折光107bの干渉である。以上より、マスク90Aと90Bの横方向の解像を調べてみても、マスク90Bのほうが解像に寄与する光量が多いことがわかる。   Next, the difference in resolution in the horizontal direction will be described. In the mask 90A, there are mainly two types of interference that determine the resolution in the horizontal direction. That is, the interference between the diffracted light 101a having the intensity 1.00 and the diffracted light 104a having the intensity 0.41 and the interference between the diffracted light 101a having the intensity 1.00 and the diffracted light 105a having the intensity 0.41. On the other hand, in the mask 90B, there are mainly four types of interference that determine the resolution in the vertical direction. That is, the interference between the diffracted light 101b having the intensity 1.00 and the diffracted light 104b having the intensity 0.33, the interference between the diffracted light 101b having the intensity 1.00 and the diffracted light 105b having the intensity 0.33, and the diffracted light 101b having the intensity 1.00. Interference between the diffracted light 106b having an intensity of 0.33, and interference between the diffracted light 101b having an intensity of 1.00 and the diffracted light 107b having an intensity of 0.33. From the above, even if the lateral resolution of the masks 90A and 90B is examined, it can be seen that the mask 90B contributes more light to the resolution.

次に、マスクの違いによるパターン形成について説明する。まず、マスク90Aによるパターン形成の様子を図10(g)に示す。回折光101aと回折光102aから生じた干渉、もしくは回折光101aと回折光103aから生じた干渉が光強度分布103gを形成し、縦方向のパターン形成に寄与する。回折光101aと回折光104aから生じた干渉、もしくは回折光101aと回折光105aから生じた干渉は光強度分布102gを形成するので横方向のパターン形成に寄与する。光強度分布102gと光強度分布103gは正弦波状の強度分布を持っているので、それらが互いに重なりあうとパターン101gは概略的に菱形になってしまうことが理解される。   Next, pattern formation based on mask differences will be described. First, the pattern formation by the mask 90A is shown in FIG. The interference generated from the diffracted light 101a and the diffracted light 102a, or the interference generated from the diffracted light 101a and the diffracted light 103a forms the light intensity distribution 103g and contributes to the pattern formation in the vertical direction. The interference generated from the diffracted light 101a and the diffracted light 104a, or the interference generated from the diffracted light 101a and the diffracted light 105a forms the light intensity distribution 102g and contributes to the formation of the pattern in the horizontal direction. Since the light intensity distribution 102g and the light intensity distribution 103g have sinusoidal intensity distributions, it is understood that the pattern 101g is roughly diamond-shaped when they overlap each other.

次に、マスク90Bによるパターン形成の様子を図10(h)に示す。回折光101bと回折光102bから生じた干渉、もしくは回折光101bと回折光103bから生じた干渉、もしくは回折光104bと回折光105bから生じた干渉、もしくは回折光106bと回折光107bから生じた干渉が光強度分布102hを形成し、縦方向のパターン形成に寄与する。回折光101bと回折光105bから生じた干渉、もしくは回折光101bと回折光106bから生じた干渉は光強度分布103hを、回折光101bと回折光104bから生じた干渉、もしくは回折光101bと回折光107bから生じた干渉は、光強度分布104hを形成するので、それぞれ斜め方向の解像に寄与する。光強度分布102h、光強度分布103h、そして、光強度分布104hは正弦波状強度分布を持っているため、それらが互いに重なり合うとパターン101hは概略的に六角形になる。   Next, the pattern formation by the mask 90B is shown in FIG. Interference generated from diffracted light 101b and diffracted light 102b, interference generated from diffracted light 101b and diffracted light 103b, interference generated from diffracted light 104b and diffracted light 105b, or interference generated from diffracted light 106b and diffracted light 107b Forms a light intensity distribution 102h and contributes to vertical pattern formation. The interference generated from the diffracted light 101b and the diffracted light 105b, or the interference generated from the diffracted light 101b and the diffracted light 106b shows the light intensity distribution 103h, the interference generated from the diffracted light 101b and the diffracted light 104b, or the diffracted light 101b and the diffracted light. The interference generated from 107b forms a light intensity distribution 104h, and thus contributes to the resolution in the oblique direction. Since the light intensity distribution 102h, the light intensity distribution 103h, and the light intensity distribution 104h have sinusoidal intensity distributions, the patterns 101h are roughly hexagonal when they overlap each other.

本来、コンタクトホールはマスクパターンに忠実に四角形になることが望ましい。しかし、露光の性質上角が丸まって円形ホールができてしまう。このように実際のホール形状が四角形からずれてしまう現象はある程度やむを得ない。しかるに、図10(c)に示すように、菱形のホールが形成されてしまうと、もともと期待していた四角形又は円形のホールよりもホールの面積がかなり小さくなってしまう。一方、図10(d)に示すように、六角形のホールだと菱形より面積が大きくなり、本来求めていたホールの面積に近づくためにより好ましいことが理解される。   Originally, it is desirable that the contact hole has a square shape faithful to the mask pattern. However, due to the nature of exposure, the corners are rounded to form a circular hole. Thus, the phenomenon that the actual hole shape deviates from the square is unavoidable to some extent. However, as shown in FIG. 10C, when a diamond-shaped hole is formed, the area of the hole is considerably smaller than a square or circular hole originally expected. On the other hand, as shown in FIG. 10 (d), it is understood that the hexagonal hole has a larger area than the rhombus, and is more preferable for approaching the originally required hole area.

上記の結果より、所望パターンの縦・横方向にだけ補助パターンを挿入するのではなくて互い違いに補助パターンを挿入したほうがよいことがわかる。   From the above results, it can be seen that it is better to insert the auxiliary patterns alternately instead of inserting the auxiliary patterns only in the vertical and horizontal directions of the desired pattern.

このように補助パターンを配置したとき、マスクがバイナリーマスク、もしくはハーフトーンマスクのときは中心部が暗い照明を用いるのが効果的である。中心部が暗い照明とは、図4(e)に示す輪帯照明や図4(f)に示す四重極照明、更には、図4(a)乃至(d)に示すような照度分布を有する有効光源を形成する照明のことである。特に、図4(a)乃至(d)記載の照明を用いる場合、0度方向と90度方向に明るい部分がある。つまり、有効光源分布の形状をσ換算すると、0度方向と90度方向に関して約1/(4×α)の部分が明るくなっている。ただし、ここでのαは、仮想格子の半周期をk換算したもの(=半周期×NA/λ)であり、ここで言う「約」とはσ換算して、±0.05の範囲である。隣り合うホールの位相差が180度であるような位相シフトマスクに対しては、いわゆる小σ照明でよい。 When the auxiliary pattern is arranged in this way, it is effective to use illumination with a dark center when the mask is a binary mask or a halftone mask. Illumination with a dark central portion means annular illumination shown in FIG. 4 (e), quadrupole illumination shown in FIG. 4 (f), and illumination distribution as shown in FIGS. 4 (a) to (d). It is the illumination which forms the effective light source which has. In particular, when the illumination described in FIGS. 4A to 4D is used, there are bright portions in the 0 degree direction and the 90 degree direction. That is, when the shape of the effective light source distribution is converted to σ, a portion of about 1 / (4 × α) is bright in the 0 degree direction and the 90 degree direction. Here, α is a value obtained by converting the half period of the virtual lattice into k 1 (= half period × NA / λ), and “about” mentioned here is a range of ± 0.05 in terms of σ. It is. For a phase shift mask in which the phase difference between adjacent holes is 180 degrees, so-called small σ illumination may be used.

本実施形態において仮想格子が求まれば互い違いの配置で補助パターンを挿入することが可能となる。以下、仮想格子の決定方法を図23を参照して説明する。ここで、図23は、仮想格子の決定方法を説明するためのフローチャートである。   If a virtual lattice is obtained in the present embodiment, auxiliary patterns can be inserted in a staggered arrangement. Hereinafter, a method for determining a virtual lattice will be described with reference to FIG. Here, FIG. 23 is a flowchart for explaining a method of determining a virtual lattice.

投影露光装置の解像力は、0.25と√2という数字を境に変化することが多い。そのため、図23においてはg1を0.25以上0.25×√2以下、g2を0.25×√2以上0.5以下、g3を1.0以上√2以下、g4を0.5×√2以上1.0以下、g5を0.25以上0.25×√2以下とすればよい。なお、図8の実験結果は、g1、g2、g3、g4、g5の値をそれぞれ0.29、0.40、1.20、0.80、0.25としたときのものである。孤立パターンに仮想格子を設定するには、孤立パターンを適当な仮想格子上に載せて、互い違いの配置をすればよい。基本的に仮想格子の半周期は孤立パターンと同じにするとよいが、少し離れたところにある周期的なパターンが存在するときはその周期に合わせてもよい。   In many cases, the resolution of the projection exposure apparatus changes with the numbers 0.25 and √2. Therefore, in FIG. 23, g1 is 0.25 or more and 0.25 × √2 or less, g2 is 0.25 × √2 or more and 0.5 or less, g3 is 1.0 or more and √2 or less, and g4 is 0.5 ×. √2 to 1.0 and g5 may be 0.25 to 0.25 × √2 or less. In addition, the experimental result of FIG. 8 is a thing when the value of g1, g2, g3, g4, and g5 is 0.29, 0.40, 1.20, 0.80, and 0.25, respectively. In order to set a virtual grid for an isolated pattern, the isolated pattern may be placed on an appropriate virtual grid and arranged in a staggered manner. Basically, the half period of the virtual lattice should be the same as that of the isolated pattern, but if there is a periodic pattern at a little distance, it may be adjusted to that period.

まず、所望のパターンのホール径Dをk換算したもの(つまり、D×NA/λ)が第1の閾値g1未満であるかどうかを判断する(ステップ1202)。ステップ1202が、そうであると判断すれば異常終了する(ステップ1204)。一方、ステップ1202がそうでないと判断すれば、所望のパターンのホール径が第1の閾値g1以上第2の閾値g2以下であるかどうかを判断する(ステップ1206)。ここで、周期パターンの周期をP1とする。 First, the hole diameter D of a desired pattern k 1 obtained by converting (i.e., D × NA / lambda) to determine whether it is less than the first threshold value g1 (step 1202). If step 1202 determines that this is the case, the process ends abnormally (step 1204). On the other hand, if step 1202 determines that this is not the case, it is determined whether the hole diameter of the desired pattern is not less than the first threshold value g1 and not more than the second threshold value g2 (step 1206). Here, the period of the periodic pattern is P1.

ステップ1206が、第1の閾値g1以上第2の閾値g2以下であると判断した場合は、P2=P1とおいた後、「PartI」に進み、更にP2をk換算した場合に第3の閾値g3以上であるかどうかを判断する(ステップ1210)。 Step 1206, if it is determined that the first threshold value g1 or more and a second threshold value g2 below, after standing and P2 = P1, the process proceeds to "PartI", the third threshold value when further the P2 k 1 converted It is determined whether or not g3 or more (step 1210).

ステップ1210が第3の閾値g3以上ではないと判断した場合に、仮想格子の周期をP2と決定する(ステップ1212)。ステップ1210が第3の閾値g3以上であると判断すれば、i=i+1とおいて(ステップ1214)、P1をi分割したものをP2として(ステップ1216)、ステップ1210に帰還する。最終的には、仮想格子の周期をP2と決定する(ステップ1212)。   When step 1210 determines that it is not greater than or equal to the third threshold g3, the period of the virtual lattice is determined as P2 (step 1212). If it is determined that step 1210 is greater than or equal to the third threshold value g3, i = i + 1 is set (step 1214), P1 is divided into i (P12) (step 1216), and the process returns to step 1210. Finally, the period of the virtual lattice is determined as P2 (step 1212).

ステップ1206が第1の閾値g1以上第2の閾値g2以下でないと判断した場合に、P2=P1とおいた後、「PartII」に進み、更にP2をk換算した場合に第4の閾値g4以上であるかどうかを判断する(ステップ1220)。ステップ1220が第4の閾値g4以上であると判断した場合には、P2からホール径Dをひいた値のk換算したものが第5の閾値g5以下であるかどうかを判断し(ステップ1222)、そうでなければ、孤立パターンとして扱うか「PartI」に行く(ステップ1226)。一方、ステップ1120が第4の閾値g4以上でないと判断した場合又はステップ1222が第5の閾値g5以下であると判断した場合には仮想格子の周期をP2に決定する(ステップ1224)。 If the step 1206 determines that not less than the first threshold value g1 equal to or higher than the second threshold g2, after standing and P2 = P1, the process proceeds to "PartII", further the fourth threshold value g4 or more when the P2 k 1 converted Is determined (step 1220). Step 1220 If it is determined that the fourth threshold value g4 or greater, it is determined whether or not those k 1 in terms of the value obtained by subtracting the hole diameter D of P2 is the fifth threshold g5 or less (Step 1222 Otherwise, treat as an isolated pattern or go to “Part I” (step 1226). On the other hand, when it is determined that step 1120 is not equal to or greater than the fourth threshold value g4 or when step 1222 is determined to be equal to or less than the fifth threshold value g5, the period of the virtual lattice is determined to be P2 (step 1224).

こうして求められた仮想格子において、一行おきに、右もしくは左にずれた位置に補助パターンを配置するか、同様に、所望パターンの周期に忠実な仮想格子において一列おきに、上もしくは下にずれた位置に補助パターンを配置すれば互い違いな配置を作成できる。このときのずらし量であるが、仮想格子の周期の6分の1以上半分以下であればよい。この点については、後に実施例で紹介する。補助パターンサイズも所望パターンの50%から90%以内にあればよい事が確かめられているが、通常は75%程度でよい。   In the virtual lattice thus obtained, auxiliary patterns are arranged at positions shifted to the right or left in every other row, or similarly, every other row in the virtual lattice that is faithful to the cycle of the desired pattern. If an auxiliary pattern is arranged at a position, an alternate arrangement can be created. The shift amount at this time may be not less than 1/6 and not more than half of the period of the virtual lattice. This point will be introduced later in Examples. Although it has been confirmed that the auxiliary pattern size should be within 50% to 90% of the desired pattern, it is usually about 75%.

このように、非常に効果的な補助パターン挿入方法が明らかになった。以下、補助パターン挿入方法、及びそれに適した照明条件の決定方法を説明する。ここで,図24は、マスクパターン及び照明条件を設定する方法を説明するためのフローチャートである。   Thus, a very effective auxiliary pattern insertion method has been clarified. Hereinafter, an auxiliary pattern insertion method and a lighting condition determination method suitable for the method will be described. Here, FIG. 24 is a flowchart for explaining a method of setting a mask pattern and illumination conditions.

図24を参照するに、まず、始めに露光後に形成したいパターンに応じて所望パターンがないところの透過率を0とし、所望パターンがあるところの透過率を1として対応する所望パターンのデータ(Dpd)を作成する(ステップ1402)。ここでは、ウエハに露光されるべき所望のパターンの寸法や配列を決定する。   Referring to FIG. 24, first, the transmittance where there is no desired pattern is set to 0 and the transmittance where there is a desired pattern is 1 according to the pattern to be formed after exposure, and the corresponding desired pattern data (Dpd). ) Is created (step 1402). Here, the dimension and arrangement of a desired pattern to be exposed on the wafer are determined.

次に、所望のパターンの設定後に使用されるマスクの種類(例えば、バイナリーマスク、ハーフトーンマスク、位相シフトマスクなど)が決定される(ステップ1404)。   Next, a mask type (for example, binary mask, halftone mask, phase shift mask, etc.) used after setting a desired pattern is determined (step 1404).

ここで、ハーフトーンマスクとは、図2(c)に断面図を模式的に示すように、バイナリーマスクの遮光部にあたる部分を、光強度を減衰させ、かつ、透光部との位相差を180度に保つような物質24に変えたマスクである。ハーフトーンマスクは、バイナリーマスクよりは作成が困難であるが、現在も露光装置に使用されている。また、位相シフトマスクとは、マスクに入射する光が互いに隣り合った透光部を透過する際に、互いにある所定の位相差を持つように設計されたマスクで、通常はこの位相差を180度になるように設計する。図2(a)は位相シフトマスクの断面構造を模式的に示した図で、23は隣り合う透光部との位相差を180度にするために導入された位相シフタである。位相シフトマスクには様々な種類が提案されており、位相シフトマスクの種類によっては、バイナリーマスクよりも約半分の線幅を実現することができる。これは互いに隣り合う光が位相差180度を保つことによって、互いに隣り合う光の中心部の振幅を打ち消し合うためである。   Here, as schematically shown in the sectional view of FIG. 2C, the halftone mask attenuates the light intensity of the portion corresponding to the light-shielding portion of the binary mask, and the phase difference from the light-transmitting portion. The mask is changed to a substance 24 that maintains 180 degrees. Halftone masks are more difficult to create than binary masks, but are still used in exposure apparatuses. A phase shift mask is a mask designed to have a predetermined phase difference when light incident on the mask passes through adjacent light transmitting parts. Design to the degree. FIG. 2A schematically shows a cross-sectional structure of the phase shift mask, and reference numeral 23 denotes a phase shifter introduced in order to set the phase difference between adjacent light transmitting portions to 180 degrees. Various types of phase shift masks have been proposed. Depending on the type of phase shift mask, a line width approximately half that of a binary mask can be realized. This is because the light adjacent to each other cancels out the amplitude of the central part of the light adjacent to each other by maintaining the phase difference of 180 degrees.

次いで、所望のパターンのデータに対して、挿入されるべき補助パターン(ダミーコンタクトホールパターン)と照明方法を決定する(ステップ1406)。   Next, an auxiliary pattern (dummy contact hole pattern) to be inserted and an illumination method are determined for the desired pattern data (step 1406).

補助パターンの寸法は、Dpdをもとに必要なダミーコンタクトホールパターンデータ(Dum)を引き出し、露光方法Iに適したマスクデータ(Fpd)を作成する第1のステップ、論理演算をもとにDumデータを作成し、Fpdを作成する第2のステップ、Fpdがマスクパターン設計ルールを満たしているかを判定する第3のステップを含む。通常は第1又は第2のステップあるいはその両方のステップ、第3のステップの順で必要に応じて繰り返される。   The size of the auxiliary pattern is the first step of extracting necessary dummy contact hole pattern data (Dum) based on Dpd and creating mask data (Fpd) suitable for the exposure method I, based on logical operation. A second step of creating data and creating Fpd, and a third step of determining whether Fpd satisfies the mask pattern design rules are included. Usually, the steps are repeated as necessary in the order of the first step or the second step or both steps and the third step.

なお、本発明は所望のパターンが周期パターンであっても孤立パターンであっても適用することができる。ここで、「周期パターン」とは、直交2方向のいずれかの方向において整列する、少なくとも2つのコンタクトホールを有するパターンである。「孤立パターン」とは、一のコンタクトホールのみからなり、直交2方向のいずれの方向においても整列する他のコンタクトホールが存在しないパターンである。   The present invention can be applied regardless of whether the desired pattern is a periodic pattern or an isolated pattern. Here, the “periodic pattern” is a pattern having at least two contact holes aligned in any one of two orthogonal directions. An “isolated pattern” is a pattern that includes only one contact hole and does not have other contact holes that are aligned in any of two orthogonal directions.

照明方法の決定においては、演算を行い、照明条件をチェックし、照明条件が所定の設計ルール内に作成されていれば終了し、照明条件が所定の設計ルール内に作成されていなければ演算ステップに帰還することを所定回数だけ繰り返す。所定回数以内に照明条件の作成が合格と判断されなければ異常として終了する。代替的な照明方法の決定は、データベース(テーブルデータ)を引き出し、照明条件をチェックし、照明条件が所定の設計ルール内に作成されていれば終了し、照明条件が所定の設計ルール内に作成されていなければ演算ステップに帰還することを所定回数だけ繰り返す。所定回数以内に照明条件の作成が合格と判断されなければ異常として終了する。   In determining the lighting method, calculation is performed, the lighting conditions are checked, and if the lighting conditions are created within the predetermined design rule, the process is terminated. If the lighting conditions are not created within the predetermined design rule, the calculation step is performed. Returning to is repeated a predetermined number of times. If the creation of the lighting condition is not determined to be acceptable within the predetermined number of times, the process ends as an abnormality. The alternative lighting method is determined by pulling out the database (table data), checking the lighting conditions, and if the lighting conditions are created within the predetermined design rules, the lighting conditions are created within the predetermined design rules. If not, returning to the calculation step is repeated a predetermined number of times. If the creation of the lighting condition is not determined to be acceptable within the predetermined number of times, the process ends as an abnormality.

ステップ1406は、後述するステップ1422から処理が帰還された場合に補助パターンの挿入方法及び/又は照明方法を補正する場合にも使用される。   Step 1406 is also used when correcting the auxiliary pattern insertion method and / or illumination method when the processing is fed back from step 1422 described below.

次に、所望のパターンがチェックされる(ステップ1408)。ここでは、補助パターンが所望のパターンに挿入されることによって作成されたマスクパターンのデータと照明条件のデータに基づいて、所望のパターンが精度よく形成されるのかどうかが判断される。即ち、ステップ1408は、補助パターンが解像されずに所望のパターンのみが精度良く解像されるかどうかを判断する。精度の度合いは一定の基準に従い決まっているが、使用者が決めても良い。所望のパターンのみが解像される補助パターンや照明条件の候補が複数あれば、コントラストの大きい方、線幅(CD:クリティカルディメンジョン)の誤差ばらつきが小さい方が選択されることが好ましい。   Next, the desired pattern is checked (step 1408). Here, based on the mask pattern data created by inserting the auxiliary pattern into the desired pattern and the illumination condition data, it is determined whether the desired pattern is accurately formed. That is, step 1408 determines whether only the desired pattern is resolved with high accuracy without resolving the auxiliary pattern. The degree of accuracy is determined according to a certain standard, but may be determined by the user. If there are a plurality of auxiliary patterns or illumination condition candidates for resolving only a desired pattern, it is preferable to select the one having the larger contrast and the smaller error variation in the line width (CD: critical dimension).

ステップ1408が、所望のパターンが解像されないと判断すれば、補正がなされる(ステップ1412)。補正では、所望のパターン、補助パターン、その他が補正される。補助パターン及びその他の補正では、後述するステップ1422によって帰還したステップ1406において主として行なわれるが、微調節であればステップ1412で行われてもよい。   If step 1408 determines that the desired pattern is not resolved, correction is made (step 1412). In the correction, a desired pattern, auxiliary pattern, etc. are corrected. The auxiliary pattern and other corrections are mainly performed in step 1406 fed back in step 1422 described later, but may be performed in step 1412 if fine adjustment is performed.

所望のパターンの補正は、光学近接補正や後述する実施例1において説明される。光学近接補正(以下、OPC:Optical Proximity Correctionと呼ぶ)は、所望のパターンを精度良く転写可能にするための技術である。   The correction of a desired pattern will be described in optical proximity correction and Example 1 described later. Optical proximity correction (hereinafter referred to as OPC: Optical Proximity Correction) is a technique for enabling transfer of a desired pattern with high accuracy.

例えば、転写されるべきパターンが所望の大きさより小さく作成されてしまうときのOPCを考える。図25(a)及び(b)において、点線は所望のホール径であるが、他のパターンとの露光量の関係で所望の大きさより小さいパターンができてしまうので図25(a)及び(b)における実線部のようにマスクパターンを変更すればよい。図25(a)記載のOPC技術は、パターンが疎であるときに有効であり、図25(b)記載のOPC技術は、パターンが密でマスクパターンを大きくすることが困難なときに有効であるがマスクデータが大きくなるという欠点もある。   For example, consider OPC when a pattern to be transferred is created smaller than a desired size. In FIGS. 25 (a) and 25 (b), the dotted line has a desired hole diameter, but a pattern smaller than the desired size can be formed due to the exposure amount with other patterns, so FIGS. 25 (a) and 25 (b). The mask pattern may be changed as indicated by the solid line portion in FIG. The OPC technique shown in FIG. 25A is effective when the pattern is sparse, and the OPC technique shown in FIG. 25B is effective when the pattern is dense and it is difficult to enlarge the mask pattern. However, there is a drawback that the mask data becomes large.

また、補助パターンの寸法や形状を変更することも所望のパターンの補正につながる。例えば、所望のパターンの寸法が所望値より小さい場合、所望のパターンの周辺に配置された補助パターンの寸法を大きくしたり配置する周期(ピッチ)を小さくしたりする。逆に、所望パターンの寸法が所定値より大きい場合、所望のパターンの周辺に配置された補助パターンの寸法を小さくしたり配置する周期(ピッチ)を大きくしたりするなどの方法もある。   In addition, changing the dimension and shape of the auxiliary pattern also leads to correction of a desired pattern. For example, when the dimension of the desired pattern is smaller than the desired value, the dimension of the auxiliary pattern arranged around the desired pattern is increased, or the arrangement period (pitch) is reduced. On the other hand, when the dimension of the desired pattern is larger than a predetermined value, there are methods such as reducing the dimension of the auxiliary pattern arranged around the desired pattern or increasing the arrangement period (pitch).

所望のパターンの周辺に配置された補助パターンのホール数を変更しても所望のパターンの補正につながる。例えば、所望のパターンの周辺に配置された補助パターンのホール数を減らせば所望パターンの光量を小さくさせることができ、補助パターンのホール数を増やせば所望のパターンの光量を大きくすることができる。   Even if the number of holes in the auxiliary pattern arranged around the desired pattern is changed, the desired pattern can be corrected. For example, the amount of light of the desired pattern can be reduced by reducing the number of holes in the auxiliary pattern arranged around the desired pattern, and the amount of light of the desired pattern can be increased by increasing the number of holes in the auxiliary pattern.

照明条件を変更しても所望のパターンの補正につながる。例えば、バイナリーマスクにおいては図4(b)乃至(d)に示す照度分布を有する有効光源を形成する照明を使用すると、焦点深度を図4(a)よりも更に深くすることができる。その他の補正は、最小ピッチ、マスクの種類、被露光体に塗布されるフォトレジストが感光する閾値、有効光源のコヒーレンスファクターσを変更してもよい。例えば、位相シフトマスクは焦点深度を延ばしたり、線幅誤差ばらつきを多少低減したりする効果があるのでバイナリーマスクで焦点深度が足りない場合には位相シフトマスクを変更することも効果的である。   Even if the illumination conditions are changed, the desired pattern can be corrected. For example, in the binary mask, when illumination that forms an effective light source having the illuminance distribution shown in FIGS. 4B to 4D is used, the depth of focus can be made deeper than that in FIG. For other corrections, the minimum pitch, the type of mask, the threshold at which the photoresist applied to the exposure object is exposed, and the coherence factor σ of the effective light source may be changed. For example, since the phase shift mask has the effect of extending the depth of focus or reducing the line width error variation somewhat, it is also effective to change the phase shift mask when the binary mask has insufficient depth of focus.

補正後に所望のパターンが再度チェックされる(ステップ1414)。ステップ1408のチェックと同様に、補助パターンが解像されずに所望のパターンのみが精度良く解像されるかどうかを判断する。依然として、ステップ1414のチェックに合格しなければ、ステップ1412に帰還する処理が所定回数(kmax)繰り返される(ステップ1416、1418)。所定回数kmaxを超えるとステップ1406に帰還する処理が所定回数jmaxだけ繰り返される(ステップ1420、1422)。   After correction, the desired pattern is checked again (step 1414). Similar to the check in step 1408, it is determined whether only the desired pattern is resolved with high accuracy without resolving the auxiliary pattern. If the check in step 1414 still does not pass, the process of returning to step 1412 is repeated a predetermined number of times (kmax) (steps 1416 and 1418). When the predetermined number of times kmax is exceeded, the process of returning to step 1406 is repeated for the predetermined number of times jmax (steps 1420 and 1422).

ステップ1408又は1414によるチェックに合格しなければ処理は異常として終了する(ステップ1424)。ステップ1408又は1414によるチェックに最終的に合格すれば、マスクパターンデータと照明条件が決定される(ステップ1410)。   If the check in step 1408 or 1414 does not pass, the process ends as abnormal (step 1424). If the check in step 1408 or 1414 is finally passed, mask pattern data and illumination conditions are determined (step 1410).

図24に示す方法の大部分はコンピュータが実行可能であるから、作成者は最終的にレジスト上に形成したいパターンを作成して入力するのみで、その後のマスクパターンデータ及び照明条件の生成は上記手順でコンピュータにより自動的に行なうことができるので、大規模な半導体集積回路の設計においても最適なマスクパターン及び照明条件を効率よく作成することができる。膨大なマスクデータを一括して処理しなくとも、マスクパターンデータを分割して処理でき、最後に合成するという方法もとれるため、コンピュータにとっても都合がよい。   Since most of the methods shown in FIG. 24 can be executed by a computer, the creator only creates and inputs a pattern to be finally formed on the resist, and the subsequent generation of mask pattern data and illumination conditions is as described above. Since the process can be automatically performed by a computer, an optimum mask pattern and illumination conditions can be efficiently created even in designing a large-scale semiconductor integrated circuit. Even if a large amount of mask data is not processed at once, the mask pattern data can be divided and processed, and the method of combining them at the end is also convenient, which is convenient for a computer.

これらの原理はさまざまなパターンに応用できる。それらの例は、以下の実施例において明らかにされるであろう。   These principles can be applied to various patterns. Examples thereof will be clarified in the following examples.

本実施形態による開口数NA=0.70、縮小比4:1のArFエキシマレーザー(露光波長193nm)縮小投影露光装置用における投影光学像について説明をする。ホール径の直径が100nm、ホール間隔が100nmで1列に配置してあるパターンをバイナリーマスクを使用して露光することを考える。   A projection optical image for an ArF excimer laser (exposure wavelength 193 nm) reduction projection exposure apparatus having a numerical aperture NA = 0.70 and a reduction ratio of 4: 1 according to the present embodiment will be described. Consider exposing a pattern having a hole diameter of 100 nm and a hole interval of 100 nm arranged in a line using a binary mask.

図11(a)に模式的に示したマスク110Aは、所望パターン中心と補助パターン中心の距離は縦・横方向ともに200nmで、補助パターン中心と補助パターン中心との距離も縦・横方向ともに200nmであるとする。図11(b)のマスク110Bは、マスク110Aにおいて1行目の横1列の補助パターンと3行目の横1列の補助パターンを、マスク110Aのものと比べて右に100nmずらし、一番右側の補助パターンを削除したもので、いわゆる互い違いに補助パターンを配置してある。   In the mask 110A schematically shown in FIG. 11A, the distance between the desired pattern center and the auxiliary pattern center is 200 nm in both the vertical and horizontal directions, and the distance between the auxiliary pattern center and the auxiliary pattern center is 200 nm in both the vertical and horizontal directions. Suppose that The mask 110B in FIG. 11B shifts the auxiliary pattern in the horizontal row of the first row and the auxiliary pattern in the horizontal row of the third row by 100 nm to the right of the mask 110A compared to the mask 110A. The auxiliary pattern on the right side is deleted, and the auxiliary patterns are arranged alternately.

バイナリーマスクであるので、マスク110A及び110Bに、図4(a)のような概略形状を持つ照明を用いたときの結果が、それぞれ図11(c)と図11(d)である。図11(c)と図11(d)の比較により、互い違いに補助パターンを挿入したほうが光量が露光面において強く、焦点深度が大きいことがわかる。加えて、縦・横方向に補助パターンを入れると所望のコンタクトホール113Aの外形が菱形のようになることが理解される。これに対して、互い違いに補助パターンを挿入すれば、所望のコンタクトホール113Bの外形は円に近くなっていることがわかる。これは、パターン形成に寄与する回折光の分布の違いによるものである。   Since it is a binary mask, the results when the illumination having a schematic shape as shown in FIG. 4A is used for the masks 110A and 110B are FIG. 11C and FIG. 11D, respectively. From a comparison between FIG. 11C and FIG. 11D, it can be seen that when the auxiliary pattern is inserted alternately, the amount of light is stronger on the exposure surface and the depth of focus is larger. In addition, it is understood that the external shape of the desired contact hole 113A becomes a diamond shape when auxiliary patterns are inserted in the vertical and horizontal directions. On the other hand, if auxiliary patterns are inserted alternately, it can be seen that the outer shape of the desired contact hole 113B is close to a circle. This is due to the difference in the distribution of diffracted light that contributes to pattern formation.

もし、さらに光量を大きくしたいのならば、図11(e)、図11(f)の如く、所望パターンの周りに2周分補助パターンを挿入すればよい。図11(e)に示したように所望パターンの縦・横方向に補助パターンを挿入するよりも、互い違いに補助パターンを挿入したほうが、更に光量も多く焦点深度が大きいので、図11(f)に示したように補助パターンを挿入すればよい。   If it is desired to further increase the amount of light, auxiliary patterns for two turns may be inserted around the desired pattern as shown in FIGS. 11 (e) and 11 (f). As shown in FIG. 11 (e), the auxiliary pattern is inserted alternately in the vertical and horizontal directions of the desired pattern because the amount of light is larger and the depth of focus is larger. An auxiliary pattern may be inserted as shown in FIG.

以上のように、互い違いに補助補助パターンを挿入することにより良い転写パターンをえることが可能となる。本実施例においては、マスクとしてバイナリーマスクを使用したが、以上の効果はマスクとしてハーフトーンマスクを使用しても同様に得ることができる。   As described above, a good transfer pattern can be obtained by alternately inserting auxiliary assist patterns. In this embodiment, a binary mask is used as a mask. However, the above effect can be similarly obtained even when a halftone mask is used as a mask.

本実施例は、開口数NA=0.73、縮小比4:1のKrFエキシマレーザー(露光波長248nm)縮小投影露光装置を使用して矩形状のコンタクトホールのパターンをバイナリーマスクで露光した。このように、互い違いに補助パターンを配置する方法は、正方形のコンタクトホールのパターンに限らず、矩形状のコンタクトホールのパターン(ラインパターン)にも適用できることを示す。   In this example, a rectangular contact hole pattern was exposed with a binary mask using a KrF excimer laser (exposure wavelength 248 nm) reduction projection exposure apparatus having a numerical aperture NA = 0.73 and a reduction ratio of 4: 1. Thus, it is shown that the method of arranging the auxiliary patterns alternately can be applied not only to the square contact hole pattern but also to the rectangular contact hole pattern (line pattern).

照明条件は図4(a)に示す照度分布を有する有効光源を形成する条件であり、ターゲットパターンは幅120nm、長さ120×13nmのラインパターンであるとする。図12(a)は縦・横規則的に補助パターン122を配置したマスク120Aで、図12(b)及び(c)は互い違いに補助パターンを配置したマスク120B、120Cである。図12(a)乃至(c)ともに横方向に間隔240nmの仮想線がひかれ前記線上に所望パターン121と補助パターン122が配置されているが、図12(b)及び(c)では補助パターン122が互い違いになっている。   The illumination condition is a condition for forming an effective light source having the illuminance distribution shown in FIG. 4A, and the target pattern is a line pattern having a width of 120 nm and a length of 120 × 13 nm. 12A shows a mask 120A in which auxiliary patterns 122 are arranged vertically and horizontally, and FIGS. 12B and 12C show masks 120B and 120C in which auxiliary patterns are alternately arranged. 12A to 12C, virtual lines with a spacing of 240 nm are drawn in the horizontal direction, and the desired pattern 121 and the auxiliary pattern 122 are arranged on the lines. In FIGS. 12B and 12C, the auxiliary pattern 122 is provided. Are staggered.

シミュレーションを行ったところ、マスク120Aを用いると、ベストフォーカスで幅120.0nm、長さが1439.8nmになった。これに対して、マスク120Bを用いると、ベストフォーカスで幅120.0nm、長さが1443.7nmになった。つまり、ベストフォーカス時では互い違いに補助パターンを配置したほうがパターン再現性が良いことが理解される。さらに、デフォーカス0.3μmのとき、マスク120Aでは幅75.9nm、長さが1330.8nmになった。これに対して、マスク120Bでは幅78.3nm、長さが1342.3nmになった。つまり、互い違いに補助パターンを配置したほうはデフォーカス時でも通常の補助パターン配置より優位性があることがわかる。   As a result of simulation, when the mask 120A was used, the width was 120.0 nm and the length was 1439.8 nm at the best focus. On the other hand, when the mask 120B was used, the width was 120.0 nm and the length was 1443.7 nm at the best focus. That is, it is understood that the pattern reproducibility is better when the auxiliary patterns are alternately arranged at the best focus. Further, when the defocus is 0.3 μm, the mask 120A has a width of 75.9 nm and a length of 1330.8 nm. In contrast, the mask 120B has a width of 78.3 nm and a length of 1342.3 nm. In other words, it can be seen that alternately arranging the auxiliary patterns has an advantage over the normal auxiliary pattern arrangement even at the time of defocusing.

さらに特筆すべきは、通常の配置では補助パターンを36個配置したのに対し、互い違いの配置では補助パターンを32個しか配置していない。かつ、補助パターンを含めたマスクパターン領域の大きさを比較すると、通常の配置ではマスクパターン領域123aは1080nm×2040nmであるのに対し、互い違いの配置ではマスクパターン領域123bは1080nm×1920nmと小さい。   Furthermore, it should be noted that in the normal arrangement, 36 auxiliary patterns are arranged, whereas in the alternate arrangement, only 32 auxiliary patterns are arranged. When comparing the size of the mask pattern area including the auxiliary pattern, the mask pattern area 123a is 1080 nm × 2040 nm in the normal arrangement, whereas the mask pattern area 123b is as small as 1080 nm × 1920 nm in the staggered arrangement.

パターン再現性、パターン領域、補助パターンの個数、それぞれの比較により互い違いの補助パターン配置はラインパターンにも効果的であることがわかる。なお、マスク120Bと120Cは同様の効果をもっている。   By comparing the pattern reproducibility, the pattern area, and the number of auxiliary patterns, it can be seen that alternate auxiliary pattern arrangements are also effective for line patterns. The masks 120B and 120C have the same effect.

同様の効果は短いラインパターンのときにも当てはまる。例えば、短いラインパターンを図4(a)のような有効光源分布を形成するような照明でマスクを照明する場合は、図13(a)もしくは(b)のように補助パターンを挿入することも考えられるが、このような場合にも互い違いの補助パターン配置を適用することができ、例えば、図13(c)もしくは(d)のように補助パターンを配置すればよい。図13(c)もしくは(d)における補助パターン間隔d1であるが、これは所望パターンサイズ及び補助パターンサイズによって決まるものである。大抵の場合は、波長を開口数で割った値の4分の1以上の値にするのがよい。マスクを設計どおりに作成できるのであれば、波長を開口数で割った値の8分の1くらいでも問題ないことを本発明者らは確認した。   The same effect applies to short line patterns. For example, when the mask is illuminated with illumination that forms an effective light source distribution as shown in FIG. 4A for a short line pattern, an auxiliary pattern may be inserted as shown in FIG. 13A or 13B. Although it is conceivable, alternate auxiliary pattern arrangement can also be applied in such a case. For example, auxiliary patterns may be arranged as shown in FIG. 13 (c) or (d). The auxiliary pattern interval d1 in FIG. 13C or 13D is determined by the desired pattern size and the auxiliary pattern size. In most cases, it is better to set the value to a quarter or more of the value obtained by dividing the wavelength by the numerical aperture. The present inventors have confirmed that there is no problem even if it is about 1/8 of the value obtained by dividing the wavelength by the numerical aperture if the mask can be produced as designed.

本実施例では、ラインパターンへの補助パターン挿入方法の一例をあげたにすぎず、本実施例のラインパターンよりさらに長いラインパターンやさらに短いラインパターン、そして、本実施例のようにバイナリーマスクだけでなく、ハーフトーンマスクにも本発明を適用することが可能である。   In the present embodiment, only an example of the auxiliary pattern insertion method into the line pattern is given, and a line pattern longer or shorter than the line pattern of the present embodiment, and only a binary mask as in the present embodiment. In addition, the present invention can be applied to a halftone mask.

パターン配置を互い違いにすることにより、回折光の現れ方が異なることが原因で結像性能が良くなることは、図9を使って説明したとおりである。本実施例では、バイナリーマスクを例にとりパターン配置をどれくらい変化させれば回折光の現れ方が変化するのか調べた。   As described with reference to FIG. 9, the imaging performance is improved by making the pattern arrangement staggered due to the difference in the appearance of the diffracted light. In this embodiment, a binary mask is taken as an example to examine how much the pattern arrangement changes to change the appearance of diffracted light.

今、開口数NA=0.73、縮小比4:1のKrFエキシマレーザー(露光波長λ=248nm)縮小投影露光装置を仮定する。図14(a)のようなマスクパターンは、その径が120nmのホールがスペース120nmで5行5列に並んでいるとする。対して、図14(b)では図14(a)の2行目と4行目のホール列の各ホールが1行目と3行目のホール列に比べて横にd2だけ相対的にずれているとする。   Assume that a KrF excimer laser (exposure wavelength λ = 248 nm) reduction projection exposure apparatus having a numerical aperture NA = 0.73 and a reduction ratio of 4: 1. In the mask pattern as shown in FIG. 14A, holes having a diameter of 120 nm are arranged in 5 rows and 5 columns with a space of 120 nm. On the other hand, in FIG. 14B, the holes in the second and fourth hole columns in FIG. 14A are relatively displaced laterally by d2 compared to the first and third hole columns. Suppose that

図15(a)は、d2が0nmのマスクを照明した際の投影系の瞳面上での照明光の強度分布、図15(b)はd2が40nmのマスクを照明した際の投影系の瞳面上での照明光の強度分布、図15(c)はd2が80nmのマスクを照明した際の投影系の瞳面上での照明光の強度分布、そして、図15(d)はd2が120nmのマスクを照明した際の投影系の瞳面上での照明光の強度分布である。なお、図15の瞳面座標の値は、λ/NAで規格化してあり、図中の黒丸が回折光を表し、その黒丸の大きさは回折光の強度を表している。   FIG. 15A shows the intensity distribution of illumination light on the pupil plane of the projection system when d2 illuminates the mask with 0 nm, and FIG. 15B shows the projection system when d2 illuminates the mask with 40 nm. Illumination light intensity distribution on the pupil plane, FIG. 15C shows the illumination light intensity distribution on the pupil plane of the projection system when d2 illuminates the mask of 80 nm, and FIG. 15D shows d2 Is the intensity distribution of the illumination light on the pupil plane of the projection system when a 120 nm mask is illuminated. Note that the values of the pupil plane coordinates in FIG. 15 are normalized by λ / NA, and the black circle in the figure represents the diffracted light, and the size of the black circle represents the intensity of the diffracted light.

上記4種類の回折光の分布と強度を調べると、d2が40nm以上のときは、d2が0nmのときと比べて回折光の分布の変化が顕著になり、d2が0nmのときより光量が多くなることを突き止めた。   When the distribution and intensity of the four types of diffracted light are examined, when d2 is 40 nm or more, the change in the distribution of diffracted light becomes more significant than when d2 is 0 nm, and the amount of light is larger than when d2 is 0 nm. I found out.

つまり、所望パターンより形成することが出来る仮想格子の周期に対して、ずらし量が周期p1の6分の1以上、6分の5以下になるようにある方向に位置をずらして互い違いに補助パターンを配置することによって、通常の補助パターン配置よりも結像性能を向上させることができる。ずらし量が周期p1の3分の1以上、3分の2以下になるようにすると更によく、また、ずらし量を周期p1の略2分の1として補助パターンの開口が格子の交点と交点との中点に存在することとするとさらによい。なお、その仮想格子の考えを元にさらなる補助パターン挿入方法が明らかになる。すなわち、仮想格子を軸にしたとき、補助パターン中心と所望パターン中心を結んだ線が軸からある範囲の角度以内ということである。その様子を図26に示す。図26において斜線部は所望パターンを表し、点線は仮想格子を表す。図26に示したように、補助パターン中心と所望パターン中心を結んだ線が仮想格子の軸となす角がθ1以上θ2以下であればよい、と考えることができる。ただし、θ1は9度でθ2は40度である。   That is, the auxiliary pattern is staggered by shifting the position in a certain direction so that the shift amount is not less than 1/6 and not more than 5/6 of the period p1 with respect to the period of the virtual lattice that can be formed from the desired pattern. By arranging, the imaging performance can be improved as compared with the normal auxiliary pattern arrangement. It is more preferable that the shift amount is not less than one third and not more than two thirds of the period p1, and the shift amount is approximately one half of the period p1 so that the opening of the auxiliary pattern has a grid intersection and an intersection point. It is even better if it exists at the midpoint. A further auxiliary pattern insertion method becomes clear based on the idea of the virtual lattice. That is, when the virtual lattice is taken as an axis, the line connecting the auxiliary pattern center and the desired pattern center is within an angle within a certain range from the axis. This is shown in FIG. In FIG. 26, the hatched portion represents a desired pattern, and the dotted line represents a virtual lattice. As shown in FIG. 26, it can be considered that the angle formed by the line connecting the center of the auxiliary pattern and the center of the desired pattern with the axis of the virtual lattice should be θ1 or more and θ2 or less. However, θ1 is 9 degrees and θ2 is 40 degrees.

このことは、ホールサイズや露光装置とは独立なものであり、補助パターンによって生じる光束は、(−1,1/2)回折光、(−1,−1/2)回折光、(1,1/2)回折光、(1,−1/2)回折光、(1/2,1)回折光、(1/2,−1)回折光、(−1/2,1)回折光、(−1/2,−1)回折光の少なくとも一つを含むことが好ましいことが理解される。   This is independent of the hole size and the exposure apparatus, and the light flux generated by the auxiliary pattern is (-1, 1/2) diffracted light, (-1, -1/2) diffracted light, (1, 1/2) diffracted light, (1, -1/2) diffracted light, (1 / 2,1) diffracted light, (1/2, -1) diffracted light, (-1 / 2,1) diffracted light, It is understood that it is preferable to include at least one of (−1 / 2, −1) diffracted light.

ここで、上記の回折光の名前の定義は以下のとおりである。   Here, the definition of the name of the diffracted light is as follows.

通常の配置、すなわち縦・横方向にしかパターンが無い場合、図27のようにパターンの周期に応じて碁盤の目状に回折光が現れる。瞳中心に現れる回折光を(0,0)次回折光とよび、x方向にn番目、y方向にm番目(ただし、nとmは整数)として回折光に(n,m)次回折光と名前をつける。   When there is a pattern only in the normal arrangement, that is, in the vertical and horizontal directions, diffracted light appears in a grid pattern according to the pattern period as shown in FIG. The diffracted light that appears at the center of the pupil is called (0,0) -order diffracted light, and is named as the (n, m) -order diffracted light as nth in the x direction and mth in the y direction (where n and m are integers) Turn on.

互い違いの配置では、図28のように、通常の配置とは異なった位置に回折光が現れる。特に、(n,m)次回折光と(n,m±1)次回折光の間に回折光が発生する。これらの回折光を本明細書では(n,m±1/2)次回折光と呼ぶ。同様に、(n,m)次回折光と(n±1,m)次回折光の間に発生する回折光は、(n±1/2,m)次回折光と呼んでいる。   In the alternate arrangement, diffracted light appears at a position different from the normal arrangement as shown in FIG. In particular, diffracted light is generated between the (n, m) order diffracted light and the (n, m ± 1) order diffracted light. These diffracted lights are referred to as (n, m ± 1/2) order diffracted lights in this specification. Similarly, the diffracted light generated between the (n, m) -order diffracted light and the (n ± 1, m) -order diffracted light is called (n ± 1/2, m) -order diffracted light.

以上、本実施例ではマスクとしてバイナリーマスクを使用したが、ハーフトーンマスクを使用してもよく、以上と同様の効果を奏する。   As described above, in this embodiment, a binary mask is used as a mask. However, a halftone mask may be used, and the same effect as described above can be obtained.

ホールが1列に並んでいるパターンを複数有するマスクパターンの場合を考える。例えば、図16(a)に示したように、ホール径s、ホール間隔sで横方向にホール161がならんだパターン162があり、さらに、ホール径s、ホール間隔sで縦方向にホール161が並んだパターン163があるとする。パターン162とパターン163とのx方向の間隔は3sで、パターン162が形成する仮想格子点とパターン163が形成する仮想格子点は、y方向に格子点間隔の半分だけずれているとする。バイナリーマスクまたはハーフトーンマスクを使用し、図4(a)のような照度分布を有する有効光源を形成する照明条件であるとする。   Consider the case of a mask pattern having a plurality of patterns in which holes are arranged in a line. For example, as shown in FIG. 16A, there is a pattern 162 in which holes 161 are aligned in the horizontal direction with a hole diameter s and a hole interval s. Further, holes 161 are vertically formed with a hole diameter s and a hole interval s. Suppose that there is a lined pattern 163. The interval between the pattern 162 and the pattern 163 in the x direction is 3 s, and the virtual lattice point formed by the pattern 162 and the virtual lattice point formed by the pattern 163 are shifted by half the lattice point interval in the y direction. Assume that the illumination conditions are such that an effective light source having an illuminance distribution as shown in FIG. 4A is formed using a binary mask or a halftone mask.

このような場合、通常の補助パターン配置では補助パターン挿入に矛盾が起きる(以下、補助パターンコンフリクトと呼ぶ)。よって、このようなパターン配置の場合、どちらか一方のパターンに互い違いに補助パターンを挿入すればよい。その例を図16(b)に示す。図16(b)では、パターン163に対して互い違いに補助パターンを挿入している
なお、互い違いに補助パターンを挿入したほうが光量が強いため、通常の補助パターン配置をしたパターンと光量が合わなくなる場合がある。その場合は、通常の補助パターン配置をした周辺の補助パターンの面積を、少し大きくすれば良い。その様子を図16(c)に示す。図16(c)では、補助パターンが2種類あり、補助パターン164は補助パターン165より面積が小さい。具体的には、開口数NA=0.70、縮小比4:1のArFエキシマレーザー(露光波長193nm)縮小投影露光装置用における投影光学像について、ホール径の直径が100nm、ホール間隔が100nmで1列に配置してあるパターンだとすれば、例えば、補助パターン165は、補助パターン164よりも5〜10nm程度大きく設定される。所望のパターンの5〜10%に相当する大きさで補助パターンの大きさを変えればよく、面積でいうと10%〜30%程度大きくすればよい。
In such a case, in the normal auxiliary pattern arrangement, contradiction occurs in the auxiliary pattern insertion (hereinafter referred to as auxiliary pattern conflict). Therefore, in such a pattern arrangement, auxiliary patterns may be inserted alternately into either one of the patterns. An example is shown in FIG. In FIG. 16B, auxiliary patterns are alternately inserted into the pattern 163. Since the light quantity is stronger when the auxiliary patterns are alternately inserted, the light quantity does not match the pattern with the normal auxiliary pattern arrangement. There is. In that case, the area of the peripheral auxiliary pattern having the normal auxiliary pattern arrangement may be slightly increased. This is shown in FIG. In FIG. 16C, there are two types of auxiliary patterns, and the area of the auxiliary pattern 164 is smaller than that of the auxiliary pattern 165. Specifically, for a projection optical image for an ArF excimer laser (exposure wavelength 193 nm) reduction projection exposure apparatus having a numerical aperture NA = 0.70 and a reduction ratio of 4: 1, the hole diameter is 100 nm and the hole interval is 100 nm. If the pattern is arranged in one row, for example, the auxiliary pattern 165 is set to be about 5 to 10 nm larger than the auxiliary pattern 164. What is necessary is just to change the magnitude | size of an auxiliary pattern by the magnitude | size equivalent to 5 to 10% of a desired pattern, and what is necessary is just to enlarge about 10%-30% in terms of area.

ここでは補助パターンの大きさを変えることによって、所望パターンがウエハ上に形成された際のそのサイズのばらつきを補正した。   Here, by changing the size of the auxiliary pattern, the variation in size when the desired pattern was formed on the wafer was corrected.

しかし、そのように、補助パターンの大きさを変えるのではなく、マスク上での所望パターンの大きさを様々な大きさに変えることによって、所望パターンがウエハ上に形成された際のサイズばらつきを低減してもよい。   However, the size variation of the desired pattern on the wafer can be reduced by changing the size of the desired pattern on the mask to various sizes instead of changing the size of the auxiliary pattern. It may be reduced.

この場合は、前記露光条件において、マスク上の所望パターンのうち通常の補助パターンが配置された方のサイズを約1nmから7nm程度大きくすればよい。この逆に、マスク上の所望パターンのうちの互い違いに補助パターンが配置された方のサイズを約−1nmから−7nm程度小さくしてもよい。また、補助パターンのサイズを変更する前述の方法を併用しても良いのは言うまでもない。   In this case, the size of the desired pattern on the mask on which the normal auxiliary pattern is arranged may be increased by about 1 nm to 7 nm under the exposure conditions. On the contrary, the size of the auxiliary pattern arranged alternately among the desired patterns on the mask may be reduced by about −1 nm to −7 nm. Needless to say, the above-described method of changing the size of the auxiliary pattern may be used in combination.

ホールが1列に並んでいるパターンが組み合わさってマスクパターンを形成している場合を考える。図17(a)を例にとれば、ホール径t、ホール間隔tでホール171が1列に並んでいるパターン172、173、174があり、パターン173と172のx方向の間隔は0でy方向の間隔は3t、パターン173と174のx方向の間隔は0でy方向の間隔は3tだとする。バイナリーマスクまたはハーフトーンマスクを使用し、図4(a)のような照度分布を有する有効光源を形成する照明条件であるとする。   Consider the case where a mask pattern is formed by combining patterns in which holes are arranged in a line. Taking FIG. 17A as an example, there are patterns 172, 173, and 174 in which holes 171 are arranged in a line with a hole diameter t and a hole interval t, and the interval between the patterns 173 and 172 in the x direction is 0 and y The interval in the direction is 3t, the interval between the patterns 173 and 174 in the x direction is 0, and the interval in the y direction is 3t. Assume that the illumination conditions are such that an effective light source having an illuminance distribution as shown in FIG. 4A is formed using a binary mask or a halftone mask.

このようなマスクパターン配置では、通常の補助パターン配置をすると補助パターンコンフリクトが生じるため、通常の補助パターン配置と互い違いな補助パターン配置を組み合わせればよい。その様子を図17(b)に示す。図17(b)では、パターン173に対して互い違いに補助パターンを挿入し、パターン172、174に対しては通常通り補助パターンを挿入している。   In such a mask pattern arrangement, an auxiliary pattern conflict occurs when the normal auxiliary pattern arrangement is performed. Therefore, the normal auxiliary pattern arrangement and the alternate auxiliary pattern arrangement may be combined. This is shown in FIG. In FIG. 17B, auxiliary patterns are alternately inserted into the pattern 173, and auxiliary patterns are inserted into the patterns 172 and 174 as usual.

なお、互い違いに補助パターンを挿入したほうが光量が強いため、通常の補助パターン配置をしたパターンと光量が合わなくなる場合がある。その場合は、通常の補助パターン配置をした周辺の補助パターンの大きさを、少し大きくすれば良い。その様子を図17(c)に示す。図17(c)では、補助パターンが2種類あり、補助パターン175は補助パターン176より面積が小さい。具体的には、開口数NA=0.70、縮小比4:1のArFエキシマレーザー(露光波長193nm)縮小投影露光装置用における投影光学像について、ホール径の直径が100nm、ホール間隔が100nmで1列に配置してある所望のパターンだとすれば、例えば、補助パターン176は、補助パターン175よりも5〜10nm程度大きく設定される。つまり、所望のパターンの5〜10%に相当する大きさで補助パターンの大きさを変えればよく、面積でいうと10%〜30%程度大きくすればよい。   It should be noted that since the amount of light is stronger when the auxiliary patterns are inserted alternately, the amount of light may not match the pattern with the normal auxiliary pattern arrangement. In that case, the size of the peripheral auxiliary pattern in which the normal auxiliary pattern is arranged may be slightly increased. This is shown in FIG. In FIG. 17C, there are two types of auxiliary patterns, and the area of the auxiliary pattern 175 is smaller than that of the auxiliary pattern 176. Specifically, for a projection optical image for an ArF excimer laser (exposure wavelength 193 nm) reduction projection exposure apparatus having a numerical aperture NA = 0.70 and a reduction ratio of 4: 1, the hole diameter is 100 nm and the hole interval is 100 nm. If it is a desired pattern arranged in one row, for example, the auxiliary pattern 176 is set to be about 5 to 10 nm larger than the auxiliary pattern 175. That is, the size of the auxiliary pattern may be changed by a size corresponding to 5 to 10% of the desired pattern, and the size may be increased by about 10% to 30% in terms of area.

互い違いな補助パターン配置の応用例を図18(a)、(b)を参照して説明する。図18(a)のパターン181は所望パターンで、それらが仮想格子中の仮想四角形の各頂点に配置されているとする。仮想四角形のx方向の長さはpaで、y方向の長さはpbであるとする。pa、pbは補助パターンを挿入するには小さすぎ、かといって補助パターンを入れないときは他の密集パターンに比べて光量が足りないくらいの長さであるとする。言い換えると、仮想四角形の対角線の交点上若しくはその近傍に補助パターンを配置し、その対角線の方向に周期性を持たせるとよい。より具体的には、露光波長をλ、投影光学系の開口数をNAとしたとき、pa、pbが0.5×λ/NA以上、1.0×λ/NA以下のときにあてはまる(もちろん、pa、pbはこの範囲に限定はしない。前記範囲は一例である)。   An application example of alternate auxiliary pattern arrangement will be described with reference to FIGS. It is assumed that the patterns 181 in FIG. 18A are desired patterns and are arranged at the vertices of the virtual quadrangle in the virtual lattice. The length of the virtual rectangle in the x direction is pa, and the length in the y direction is pb. It is assumed that pa and pb are too small to insert an auxiliary pattern, but when the auxiliary pattern is not inserted, the length of light is insufficient compared to other dense patterns. In other words, it is preferable to place auxiliary patterns on or near the intersection of diagonal lines of a virtual quadrangle and to have periodicity in the direction of the diagonal lines. More specifically, when the exposure wavelength is λ and the numerical aperture of the projection optical system is NA, this is applicable when pa and pb are 0.5 × λ / NA or more and 1.0 × λ / NA or less (of course. , Pa and pb are not limited to this range, which is an example).

このような場合、所望パターンの斜め方向周期の中点に補助パターン182を挿入し、その方向に周期的に補助パターンを挿入すればよい。これは互い違い配置の応用例である。バイナリーマスクまたはハーフトーンマスクを使用する場合には、図4(a)のような照度分布を有する有効光源を形成する照明条件とすればよい。   In such a case, the auxiliary pattern 182 may be inserted at the midpoint of the oblique direction period of the desired pattern, and the auxiliary pattern may be inserted periodically in that direction. This is an application example of staggered arrangement. When a binary mask or a halftone mask is used, the illumination condition for forming an effective light source having an illuminance distribution as shown in FIG.

さらに、上記パターンに通常の補助パターン配置を加えることもできる。その様子を図18(b)に示す。補助パターン183は仮想四角形パターンを元に縦・横方向に補助パターンを通常配置したもので、補助パターン182は前述のように互い違い配置を施したものである。   Furthermore, a normal auxiliary pattern arrangement can be added to the pattern. This is shown in FIG. The auxiliary pattern 183 is a pattern in which auxiliary patterns are normally arranged in the vertical and horizontal directions based on a virtual quadrangle pattern, and the auxiliary pattern 182 is provided in a staggered arrangement as described above.

上述のように補助パターンを配置することによって、所望パターンを丸くすることができ、光量も多くすることができた。   By arranging the auxiliary pattern as described above, the desired pattern can be rounded and the amount of light can be increased.

ホールが並んでいる場合を考える。図19(a)は、たくさんのホールがひとつの列を形成しているときの右端部分での補助パターン192の挿入の仕方を示している。基本的には、所望パターン191のまわりに互い違いに補助パターン192を挿入していけばよいが、それでは端の部分の光量がどうしても落ちてしまう。そこで、パターン端の部分だけは他の部分より多く補助パターンを追加したほうがよい。追加されるべき補助パターンもやはり、互い違いに配置されるべきである。こうして得られたマスクパターンを示したものが図19(a)である。   Consider the case where the halls are lined up. FIG. 19A shows how to insert the auxiliary pattern 192 at the right end when many holes form one row. Basically, it is only necessary to insert the auxiliary patterns 192 around the desired pattern 191 alternately. However, the light quantity at the end portion inevitably falls. Therefore, it is better to add more auxiliary patterns only at the pattern end portions than at other portions. The auxiliary patterns to be added should also be arranged in a staggered manner. FIG. 19A shows the mask pattern thus obtained.

図19(b)もたくさんのホールがひとつの列を形成しているときの右端部分での補助パターン192の挿入の仕方を示している。基本的には、所望パターン191のまわりに互い違いに補助パターン192を挿入していけばよいが、それでは端の部分の光量がどうしても落ちてしまう。そこで、例えば、開口数NA=0.70、縮小比4:1のArFエキシマレーザー(露光波長193nm)縮小投影露光装置用における投影光学像について、ホール径の直径が100nm、ホール間隔が100nmで1列に配置してあるパターンだとすれば、パターン端の部分に挿入すべき補助パターン193を他の補助パターンよりも5〜10nm程度大きく設定している。つまり、所望のパターンの5〜10%に相当する大きさで補助パターンの大きさを変えればよく、面積でいうと10%〜30%程度大きくすればよい。   FIG. 19B also shows how to insert the auxiliary pattern 192 at the right end when many holes form one row. Basically, it is only necessary to insert the auxiliary patterns 192 around the desired pattern 191 alternately. However, the light quantity at the end portion inevitably falls. Therefore, for example, in a projection optical image for an ArF excimer laser (exposure wavelength 193 nm) reduction projection exposure apparatus having a numerical aperture NA = 0.70 and a reduction ratio of 4: 1, the hole diameter is 100 nm, the hole interval is 100 nm, and 1 If the pattern is arranged in a row, the auxiliary pattern 193 to be inserted at the pattern end is set to be about 5 to 10 nm larger than the other auxiliary patterns. That is, the size of the auxiliary pattern may be changed by a size corresponding to 5 to 10% of the desired pattern, and the size may be increased by about 10% to 30% in terms of area.

必要に応じて、補助パターン挿入数を場所によって変更したり、補助パターンの大きさを変更したり、もしくはその両方を組み合わせることによって所望のパターンを精度よく形成することが可能になる。やはりこのときもバイナリーマスクまたはハーフトーンマスクを使用する場合には、図4(a)〜(e)のような中心部が暗い有効光源分布を有するような斜入射照明がもっとも効果的である。   If necessary, it is possible to accurately form a desired pattern by changing the number of auxiliary patterns inserted depending on the location, changing the size of the auxiliary pattern, or a combination of both. Again, at this time, when a binary mask or a halftone mask is used, oblique incidence illumination having an effective light source distribution with a dark center as shown in FIGS. 4A to 4E is most effective.

露光方法Iにおいて、焦点深度を増大させるには照明条件を工夫すればよいことがわかったので、有効光源分布の具体的な形状について紹介する。   In the exposure method I, it has been found that the illumination conditions should be devised to increase the depth of focus, so a specific shape of the effective light source distribution will be introduced.

本発明の原理は、図20を使って説明される。図20は、いわゆる2光束干渉の様子で、ある平面波202が光軸201と角度φ1で入射し、さらにある平面波203が角度φ2で入射し、それらがz=0で干渉する様子を示している。ただし、ある角度φとδがあるとして、φ1=φ−δ、φ2=φ+δであるとする。このとき、z=0での光強度分布I(x)は以下の式で表すことができる。   The principle of the present invention will be described with reference to FIG. FIG. 20 shows a state of so-called two-beam interference in which a certain plane wave 202 is incident on the optical axis 201 at an angle φ1, and another plane wave 203 is incident at an angle φ2, and they interfere at z = 0. . However, assuming that there are certain angles φ and δ, φ1 = φ−δ and φ2 = φ + δ. At this time, the light intensity distribution I (x) at z = 0 can be expressed by the following equation.

もし、φ1とφ2が等しいならばδは0となり、その結果I(x,z)はzに依存しない。すなわち、理論的には焦点深度が無限大となる。しかし、φ1とφ2が異なるときδは値を持つためI(x,z)はzに依存することになる。I(x,z)のz依存性は、次式で繰り返される。   If φ1 and φ2 are equal, δ is 0, so that I (x, z) does not depend on z. That is, the depth of focus is theoretically infinite. However, since δ has a value when φ1 and φ2 are different, I (x, z) depends on z. The z dependence of I (x, z) is repeated in the following equation.

その結果δが小さければ小さいほど、すなわちφ1とφ2が等しければ等しいほど焦点深度は大きくなる。 As a result, the smaller the δ is, that is, the greater the equality of φ1 and φ2, the greater the depth of focus.

以上の原理と斜入射照明の効果を踏まえれば、バイナリーマスクまたはハーフトーンマスクを用いたとき、図4(a)のような形状を持つ有効光源分布をさらに改良した図4(b)、(c)、(d)のような形状を持つ有効光源分布のほうが焦点深度が大きくなることがわかる。改良の要は、照明の縦・横方向に細い照度分布を設けることである。本発明者らの経験や蝿の目レンズの影響や照度を考えれば、縦・横方向の細い照度分布図、すなわち図4においてb3、c3、dd3は照明のσに換算して0.05から0.15くらいの幅をもてばよい。   Based on the above principle and the effect of oblique incidence illumination, when a binary mask or a halftone mask is used, the effective light source distribution having a shape as shown in FIG. It can be seen that the effective light source distribution having the shapes as shown in FIGS. The point of improvement is to provide a narrow illuminance distribution in the vertical and horizontal directions of illumination. Considering the experience of the present inventors, the influence of the eyelet lens and the illuminance, the vertical and horizontal illuminance distribution diagrams, that is, b3, c3 and dd3 in FIG. A width of about 0.15 should be used.

特に、マスクパターンに周期性が強い場合は以下のようにして容易に形状を求めることができる。パターンハーフピッチをp、投影光学系の開口数をNA、波長をλとしたとき、kファクターはp×NA/λとなる。このとき、有効光源分布の形状はσ換算をしたとき、1/(4×kファクター)を中心として、b3、c3、dd3は0.1にすればよい。 In particular, when the mask pattern has a strong periodicity, the shape can be easily obtained as follows. When the pattern half pitch is p, the numerical aperture of the projection optical system is NA, and the wavelength is λ, the k 1 factor is p × NA / λ. In this case, when the shape of the effective light source distribution is obtained by the conversion sigma, about 1 / a (4 × k 1 factor), b3, c3, dd3 may be 0.1.

図4(b)、(c)、(d)のような概形を持つ有効光源について、もう少し詳しく形状を述べる。図4(b)においては、0.3≦b1≦b2<1.0であればその効果を発揮できる。図4(c)においては、0.1≦c1≦c2<0.9であればその効果を発揮できる。図4(d)においては、0.3<dd1≦dd2<1.0であればその効果を発揮できる。実際の露光装置において、σを1まで保証している装置は少ないが、実際はNAを絞ることによりσが1以上まで対応しているとみなすことができる。   The effective light source having the general shape as shown in FIGS. 4B, 4C, and 4D will be described in more detail. In FIG. 4B, the effect can be exhibited if 0.3 ≦ b1 ≦ b2 <1.0. In FIG. 4C, the effect can be exhibited if 0.1 ≦ c1 ≦ c2 <0.9. In FIG. 4D, the effect can be exhibited if 0.3 <dd1 ≦ dd2 <1.0. In actual exposure apparatuses, there are few apparatuses that guarantee σ to 1, but in practice, it can be considered that σ corresponds to 1 or more by narrowing the NA.

孤立ホールに対する補助パターン挿入方法を考える。従来ならば、図21(a)のように、所望パターン211の周りに補助パターン212を縦・横方向に挿入していた。しかるに、本発明である互い違いの配置を採用して、図21(b)のように所望パターン211の周りに補助パターン212を挿入した。マスクに応じた照明条件で照明したところ、図21(a)より図21(b)のほうが焦点深度、露光量などの点で性能がよいことがわかった。即ち、本発明は孤立パターンにも適用することができる。孤立パターンに本発明を適用するには、孤立パターンを適当な仮想格子上に載せて、互い違いの配置をすればよい。基本的に仮想格子の半周期を孤立パターンのホール径と同じにするとよいが、少し離れたところにある周期的なパターンが存在するときはその周期に合わせてもよい。   Consider an auxiliary pattern insertion method for isolated holes. Conventionally, as shown in FIG. 21A, the auxiliary pattern 212 is inserted around the desired pattern 211 in the vertical and horizontal directions. However, the staggered arrangement according to the present invention is adopted, and the auxiliary pattern 212 is inserted around the desired pattern 211 as shown in FIG. When illumination was performed under illumination conditions according to the mask, it was found that FIG. 21 (b) had better performance in terms of depth of focus, exposure amount, and the like than FIG. 21 (a). That is, the present invention can also be applied to isolated patterns. In order to apply the present invention to an isolated pattern, it is only necessary to place the isolated pattern on a suitable virtual lattice and to arrange them alternately. Basically, the half period of the virtual lattice should be the same as the hole diameter of the isolated pattern, but when there is a periodic pattern that is slightly apart, it may be adjusted to the period.

ホールが2つ並んでいるときにも本発明を適用することができる。従来では、図22(a)のように所望パターン221のまわりに補助パターン222を縦・横方向に配置していた。しかし、本発明にしたがって2つのホールからなる仮想格子を想定し、互い違いに補助パターン22を配置した。適当な斜入射照明で照明したところ、図22(a)より図22(b)のほうが焦点深度、露光量などの点で結像性能がよいことがわかった。即ち、本発明はホールが2つ並んでいるときにも適用することができる。   The present invention can also be applied when two holes are lined up. Conventionally, as shown in FIG. 22A, auxiliary patterns 222 are arranged around the desired pattern 221 in the vertical and horizontal directions. However, according to the present invention, a virtual lattice composed of two holes is assumed, and the auxiliary patterns 22 are arranged alternately. When illuminated with appropriate oblique incidence illumination, it was found that FIG. 22 (b) had better imaging performance in terms of depth of focus, exposure amount, etc. than FIG. 22 (a). That is, the present invention can also be applied when two holes are arranged.

ホールが3つ以上並んでいるときに、同様に本発明を適用することができることはいうまでもない。   Needless to say, the present invention can be similarly applied when three or more holes are arranged.

本実施例においては、露光装置として、KrF(波長248nm)、開口数0.73の装置を仮定する。このような条件下で、120nmのコンタクトホールがスペース120nmで13個整列しているものを露光する場合を考える。この様子を図29に示す。図29はコンタクトホールパターン(所望のパターン)の配列を示すための図である。   In this embodiment, it is assumed that the exposure apparatus is an apparatus having KrF (wavelength 248 nm) and a numerical aperture of 0.73. Consider a case in which 13 contact holes of 120 nm are aligned with a space of 120 nm under such conditions. This situation is shown in FIG. FIG. 29 is a diagram for illustrating the arrangement of contact hole patterns (desired patterns).

さらに、マスクとして、パターン部を光透光部とし、パターン部以外を透過率が6%程度で前記光透光部を通り抜けた光と位相差を180度に保つような部材としたハーフトーンマスク(attennated phase shifting mask)を使用する。   Further, as a mask, a halftone mask having a pattern portion as a light transmissive portion and a member other than the pattern portion having a transmittance of about 6% and maintaining a phase difference of 180 degrees with light passing through the light transmissive portion. (Attenuated phase shifting mask).

横方向のパターン周期が240nmなので縦方向も240nmの周期とした仮想格子、つまり、縦方向、横方向の双方向に240nmの仮想格子を考え、格子点上に大きさ90nmの補助パターンを配置した。   Since the pattern period in the horizontal direction is 240 nm, a virtual grating having a period of 240 nm in the vertical direction, that is, a virtual grating of 240 nm in both the vertical and horizontal directions is considered, and an auxiliary pattern having a size of 90 nm is arranged on the lattice point. .

有効光源の形状が図4Aのような形状となるような照明モードで露光したところ、図30のような結果が得られた。図30はウエハ面上での空中像の光強度分布を表すコンター図である。   When exposure was performed in an illumination mode in which the shape of the effective light source was as shown in FIG. 4A, the result shown in FIG. 30 was obtained. FIG. 30 is a contour diagram showing the light intensity distribution of the aerial image on the wafer surface.

図30の結果をみればわかるとおり、所望パターン部の光強度がバックグラウンドの光強度と同程度になってしまい、所望パターンが解像されないことがわかる。補助パターンの配置を互い違いの配置としてみても結果は同じで所望パターンは解像しなかった。そこで、仮想格子の周期を変えることなく所望パターンに対応する光透光部の大きさを140nm(すなわちスペースは100nm)にし、補助パターンの大きさを110nmにして通常の配置にしたところ所望パターンに対応する光強度がバックグラウンドの光強度よりも強くなり、所望パターンが解像した。この結果を図31に示す。図31はウエハ面上での空中像の光強度分布を表すコンター図である。   As can be seen from the results of FIG. 30, it can be seen that the light intensity of the desired pattern portion becomes comparable to the light intensity of the background, and the desired pattern is not resolved. Even if the auxiliary patterns are arranged alternately, the result is the same and the desired pattern is not resolved. Therefore, without changing the period of the virtual lattice, the size of the light transmitting part corresponding to the desired pattern is 140 nm (that is, the space is 100 nm), the size of the auxiliary pattern is 110 nm, and the normal arrangement is obtained. The corresponding light intensity became stronger than the background light intensity, and the desired pattern was resolved. The result is shown in FIG. FIG. 31 is a contour diagram showing the light intensity distribution of the aerial image on the wafer surface.

同様に、補助パターンの配置を互い違いの配置とした場合においても所望パターンの大きさを140nm、補助パターンの大きさを110nmにしたところパターンが解像した。   Similarly, even when the auxiliary patterns are arranged alternately, the pattern is resolved when the desired pattern size is 140 nm and the auxiliary pattern size is 110 nm.

以上の結果より、ハーフトーンマスクを使用する場合には、マスク上の所望パターンサイズは、ウエハ上に転写しようとするパターンサイズに対応したパターンの大きさ(露光装置の投影光学系の倍率を掛けたサイズ)よりも、ある程度大きくしなくてはならないことがわかった。   From the above results, when a halftone mask is used, the desired pattern size on the mask is multiplied by the pattern size corresponding to the pattern size to be transferred onto the wafer (multiplying the projection optical system magnification of the exposure apparatus). It was found that it must be made somewhat larger than (size).

しかしながら、大きくしすぎるとパターンが密になり、補助パターンの挿入が困難になることや、所望パターンそのものが近接しているパターンの影響で形がゆがんでしまうという。例えば、所望パターンを180nm、補助パターンを150mにしたときの結果を図32に示す。このくらいであればまだ大丈夫であるが、ホールがやや横長になっていることがわかる(このことは、コントラストの低下に対応することを示している。)。   However, if it is too large, the pattern becomes dense and it becomes difficult to insert the auxiliary pattern, or the shape is distorted due to the influence of the pattern in which the desired pattern itself is close. For example, FIG. 32 shows the results when the desired pattern is 180 nm and the auxiliary pattern is 150 m. This is still okay, but you can see that the hole is a little longer (this shows that it corresponds to a decrease in contrast).

結局、所望のパターンとそれよりも寸法の小さい補助パターンとを有するハーフトーンマスクにおいては、前記所望のパターンの大きさは、基板(ウエハ)上に形成したい大きさに対応した大きさの120%以上150%以下であることが良いことが分かる。   After all, in a halftone mask having a desired pattern and an auxiliary pattern having a smaller dimension, the size of the desired pattern is 120% of the size corresponding to the size to be formed on the substrate (wafer). It can be seen that it is preferably 150% or less.

次に、マスクとしてバイナリーマスクを使用した。本発明が提案する露光方法は仮想的に周期的パターンを作るという特徴がある。この場合、互いに干渉する回折光同士の強度比が空中像のコントラストに影響する。もちろん、干渉する回折光の強度比が1に近い方がコントラストは大きくなる。バイナリーマスクでは0次光の強度は1次光の強度より強い。1次光の強度を0次光の強度に近づけるには、マスク上の所望パターンサイズを転写すべきパターンサイズよりも小さくすればよい。その結果、空中像のコントラストは大きくなる。一例として、図29のようなコンタクトホールパターンを露光することを考える。   Next, a binary mask was used as a mask. The exposure method proposed by the present invention is characterized by virtually creating a periodic pattern. In this case, the intensity ratio between the diffracted beams that interfere with each other affects the contrast of the aerial image. Of course, the contrast increases as the intensity ratio of the diffracted light that interferes is closer to 1. In the binary mask, the intensity of the zero-order light is stronger than the intensity of the primary light. In order to bring the intensity of the primary light closer to the intensity of the 0th order light, the desired pattern size on the mask may be made smaller than the pattern size to be transferred. As a result, the contrast of the aerial image increases. As an example, consider exposing a contact hole pattern as shown in FIG.

まず、所望パターンサイズを120nm、補助パターンサイズの大きさを90nmにしたマスクによる空中像から見積もった中心ホールの横方向のコントラストは約36%であった。対して、所望パターンを140nm、補助パターンの大きさを110nmにしたマスクによる空中像から見積もった中心ホールの横方向のコントラストは約31%であった。   First, the lateral contrast of the central hole estimated from an aerial image with a mask having a desired pattern size of 120 nm and an auxiliary pattern size of 90 nm was about 36%. On the other hand, the lateral contrast of the central hole estimated from an aerial image with a mask having a desired pattern of 140 nm and an auxiliary pattern of 110 nm was about 31%.

つまり、バイナリーマスクのときは、前述のハーフトーンマスクのときとは逆に、マスク上の所望パターンサイズを、ウエハ上に転写しようとするパターンサイズに対応したパターンのサイズ(露光装置の投影光学系の倍率を掛けたサイズ)よりも小さく設定したほうが、像のコントラストが高くなるということがわかった。しかしながら、パターンサイズを小さくしすぎるとスループットが下がるしマスクの作成が困難になるので、限りなく小さくすれば良いということではない。現実的には小さくする下限は80%程度がよい。   In other words, in the case of a binary mask, the pattern size corresponding to the pattern size to be transferred onto the wafer (projection optical system of the exposure apparatus) is reversed from the halftone mask described above. It was found that the contrast of the image is higher when the size is set smaller than (the size multiplied by the magnification of). However, if the pattern size is too small, the throughput decreases and it becomes difficult to create a mask. Practically, the lower limit is preferably about 80%.

結局、所望のパターンとそれよりも寸法の小さい補助パターンとを有するバイナリーマスクにおいては、前記所望のパターンの大きさは、基板(ウエハ)上に形成したい大きさに対応した大きさの80%以上95%以下であることが良いことが分かる。   After all, in a binary mask having a desired pattern and an auxiliary pattern having a smaller dimension, the size of the desired pattern is 80% or more of the size corresponding to the size desired to be formed on the substrate (wafer). It turns out that it is 95% or less.

本実施例においては、露光装置として、KrF(波長248nm)、開口数0.73の装置を用いており、有効光源の形状が図4Aに示すような形状となるような照明モードで露光を行っている。   In this embodiment, a KrF (wavelength 248 nm), numerical aperture 0.73 apparatus is used as an exposure apparatus, and exposure is performed in an illumination mode in which the effective light source has a shape as shown in FIG. 4A. ing.

このような条件下で、図33記載のコンタクトホールパターンを露光することを考える。図33では縦方向に周期250nmで120nmのコンタクトホールパターンが11個並んでおり(図33では、簡単のため、中心の3つのコンタクトホールパターンだけを示している)、そのようなコンタクトホール列が間隔520nmで2列並んでいる。   Consider that the contact hole pattern shown in FIG. 33 is exposed under such conditions. In FIG. 33, eleven contact hole patterns with a period of 250 nm and a length of 120 nm are arranged in the vertical direction (in FIG. 33, only the three contact hole patterns at the center are shown for the sake of simplicity). Two rows are arranged at an interval of 520 nm.

図23記載のフローチャートによれば、横方向には760nm÷3で約253nmの周期で補助パターンを入れていけばよいことになる。しかしながら、本実施例においては、図34のように所望パターンの周期を横方向にも適用した、すわなち、横方向にも周期250nmで仮想格子を形成した。図34は、図33の所望のパターンに適用した仮想格子をあらわす図である。   According to the flowchart shown in FIG. 23, it is only necessary to insert auxiliary patterns in the horizontal direction at a cycle of about 253 nm, ie, 760 nm ÷ 3. However, in this embodiment, the period of the desired pattern is also applied in the horizontal direction as shown in FIG. 34, that is, a virtual lattice is formed in the horizontal direction with a period of 250 nm. FIG. 34 is a diagram showing a virtual lattice applied to the desired pattern of FIG.

このように仮想格子を構成し通常の配置で補助パターンを配置した場合でも所望パターンは解像した(図35)。図35は、ウエハ上における所望のパターンの空中像をあらわす図である。   Thus, even when the virtual lattice was configured and the auxiliary pattern was arranged in a normal arrangement, the desired pattern was resolved (FIG. 35). FIG. 35 is a view showing an aerial image of a desired pattern on the wafer.

次に、もともとホール径とスペースの寸法が1:1の場合は結像性能がよいということを利用して、図36のように横方向には240nmの周期で仮想格子を形成していった。このようにして形成した仮想格子に通常の配置で補助パターンを配置していったときでもやはり所望パターンは解像した(図37)。図37は、ウエハ上における所望のパターンの空中像をあらわす図である。   Next, by utilizing the fact that the imaging performance is good when the hole diameter and the space dimension are 1: 1, a virtual lattice is formed with a period of 240 nm in the lateral direction as shown in FIG. . Even when the auxiliary pattern was arranged in a normal arrangement on the virtual lattice formed in this way, the desired pattern was resolved (FIG. 37). FIG. 37 is a diagram showing an aerial image of a desired pattern on the wafer.

本実施例では、補助パターンを通常の配置とした結果だけを示したが、本実施例の補助パターン挿入方法は通常の配置に限定されるものではない。すなわち、本実施例に記載されているような方法で仮想格子を決定したあとに、格子点と補助パターン中心が互い違いとなる配置で補助パターンを配置していってもよい。   In the present embodiment, only the result of the normal arrangement of the auxiliary pattern is shown, but the auxiliary pattern insertion method of the present embodiment is not limited to the normal arrangement. That is, after the virtual lattice is determined by the method described in the present embodiment, the auxiliary pattern may be arranged in an arrangement in which the lattice point and the auxiliary pattern center are alternate.

以上において、この発明の特定の実施例を説明したが、この発明を説明したのと別の方法で実施してもよいことが判るであろう。この説明は、本発明を制限することを意図しない。本発明をあらゆるマスクパターンに適用できることにはっきりと注目されるべきである。   While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. This description is not intended to limit the invention. It should be clearly noted that the present invention can be applied to any mask pattern.

本実施形態によれば、異なる周期を持つパターンを一括してウエハ上に転写することが可能になる。さらに副次的効果として所望パターン部だけを配置したマスクよりも解像力が良くなる。このように、本実施形態によれば従来のフォトマスクを用いた投影露光装置による微細加工が可能になる。   According to this embodiment, it is possible to transfer patterns having different periods onto the wafer in a batch. Further, as a secondary effect, the resolving power is improved as compared with a mask in which only a desired pattern portion is arranged. As described above, according to this embodiment, it is possible to perform fine processing by a projection exposure apparatus using a conventional photomask.

以下、図1を参照して、本発明のマスクを露光する露光装置300について説明する。ここで、図1は、本発明の例示的な露光装置300の概略ブロック断面図である。露光装置300は、図1に示すように、上述のマスクを照明する照明装置310と、照明されたマスクパターンから生じる回折光をプレート340に投影する投影光学系330と、プレート340を支持するステージ345とを有する。   Hereinafter, an exposure apparatus 300 for exposing a mask of the present invention will be described with reference to FIG. Here, FIG. 1 is a schematic block sectional view of an exemplary exposure apparatus 300 of the present invention. As shown in FIG. 1, the exposure apparatus 300 includes an illumination apparatus 310 that illuminates the mask, a projection optical system 330 that projects diffracted light generated from the illuminated mask pattern onto the plate 340, and a stage that supports the plate 340. 345.

露光装置300は、例えば、ステップアンドスキャン方式やステップアンドリピート方式でマスク320に形成された回路パターンをプレート340に露光する投影露光装置である。かかる露光装置は、サブミクロンやクオーターミクロン以下のリソグラフィー工程に好適であり、以下、本実施形態ではステップアンドスキャン方式(「スキャナー」とも呼ばれる。)を例に説明する。ここで、「ステップアンドスキャン方式」は、マスクに対してウエハを連続的にスキャン(走査)してマスクパターンをウエハに露光すると共に、1ショットの露光終了後ウエハをステップ移動して、次の露光領域に移動する露光方法である。「ステップアンドリピート方式」は、ウエハの一括露光ごとにウエハをステップ移動して次のショットの露光領域に移動する露光方法である。   The exposure apparatus 300 is a projection exposure apparatus that exposes a circuit pattern formed on the mask 320 to the plate 340 by, for example, a step-and-scan method or a step-and-repeat method. Such an exposure apparatus is suitable for a lithography process of sub-micron or quarter-micron or less, and in the present embodiment, a step-and-scan method (also referred to as “scanner”) will be described below as an example. Here, in the “step-and-scan method”, the wafer is continuously scanned (scanned) with respect to the mask to expose the mask pattern onto the wafer, and the wafer is stepped after the exposure of one shot is completed. An exposure method for moving to an exposure area. The “step and repeat method” is an exposure method in which the wafer is stepped and moved to the exposure area of the next shot for every batch exposure of the wafer.

照明装置310は、転写用の回路パターンが形成されたマスク320を照明し、光源部312と、照明光学系314とを有する。   The illumination device 310 illuminates the mask 320 on which a transfer circuit pattern is formed, and includes a light source unit 312 and an illumination optical system 314.

光源部312は、例えば、光源としては、波長約193nmのArFエキシマレーザー、波長約248nmのKrFエキシマレーザー、波長約157nmのF2エキシマレーザーなどを使用することができるが、光源の種類はエキシマレーザーに限定されないし、その光源の個数も限定されない。また、光源部312にレーザーが使用される場合、レーザー光源からの平行光束を所望のビーム形状に整形する光束整形光学系、コヒーレントなレーザー光束をインコヒーレント化するインコヒーレント化光学系を使用することが好ましい。   The light source unit 312 can use, for example, an ArF excimer laser with a wavelength of about 193 nm, a KrF excimer laser with a wavelength of about 248 nm, an F2 excimer laser with a wavelength of about 157 nm, and the type of light source is an excimer laser. The number of light sources is not limited. When a laser is used for the light source unit 312, a light beam shaping optical system that shapes a parallel light beam from the laser light source into a desired beam shape and an incoherent optical system that makes a coherent laser beam incoherent are used. Is preferred.

照明光学系314は、マスク320を照明する光学系であり、レンズ、ミラー、ライトインテグレーター、絞り等を含む。例えば、コンデンサーレンズ、ハエの目レンズ、開口絞り、コンデンサーレンズ、スリット、結像光学系の順で整列する等である。照明光学系314は、軸上光、軸外光を問わずに使用することができる。ライトインテグレーターは、ハエの目レンズや2組のシリンドリカルレンズアレイ(又はレンチキュラーレンズ)板を重ねることによって構成されるインテグレーター等を含むが、光学ロッドや回折素子に置換される場合もある。   The illumination optical system 314 is an optical system that illuminates the mask 320 and includes a lens, a mirror, a light integrator, a stop, and the like. For example, a condenser lens, a fly-eye lens, an aperture stop, a condenser lens, a slit, and an imaging optical system are arranged in this order. The illumination optical system 314 can be used regardless of on-axis light or off-axis light. The light integrator includes an integrator configured by stacking a fly-eye lens and two sets of cylindrical lens array (or lenticular lens) plates, but may be replaced with an optical rod or a diffractive element.

マスク320は、例えば、石英製で、その上には転写されるべき回路パターン(又は像)が形成され、図示しないマスクステージに支持及び駆動される。マスク320から発せられた回折光は、投影光学系330を通りプレート340上に投影される。マスク320とプレート340は、光学的に共役の関係にある。本実施形態の露光装置300はスキャナーであるため、マスク320とプレート340を縮小倍率比の速度比でスキャンすることによりマスク320のパターンをプレート340上に転写する。なお、ステップアンドリピート方式の露光装置(「ステッパー」とも呼ばれる。)の場合は、マスク320とプレート340を静止させた状態で露光が行われる。かかるマスク320には、上述の所望のパターンと補助パターンが形成される。   The mask 320 is made of, for example, quartz, on which a circuit pattern (or image) to be transferred is formed, and is supported and driven by a mask stage (not shown). Diffracted light emitted from the mask 320 passes through the projection optical system 330 and is projected onto the plate 340. The mask 320 and the plate 340 are optically conjugate. Since the exposure apparatus 300 of this embodiment is a scanner, the pattern of the mask 320 is transferred onto the plate 340 by scanning the mask 320 and the plate 340 at a speed ratio of the reduction magnification ratio. In the case of a step-and-repeat type exposure apparatus (also referred to as a “stepper”), exposure is performed with the mask 320 and the plate 340 stationary. On the mask 320, the above-described desired pattern and auxiliary pattern are formed.

投影光学系330は、複数のレンズ素子のみからなる光学系、複数のレンズ素子と少なくとも一枚の凹面鏡とを有する光学系(カタディオプトリック光学系)、複数のレンズ素子と少なくとも一枚のキノフォームなどの回折光学素子とを有する光学系、全ミラー型の光学系等を使用することができる。色収差の補正が必要な場合には、互いに分散値(アッベ値)の異なるガラス材からなる複数のレンズ素子を使用したり、回折光学素子をレンズ素子と逆方向の分散が生じるように構成したりする。   The projection optical system 330 includes an optical system composed only of a plurality of lens elements, an optical system (catadioptric optical system) having a plurality of lens elements and at least one concave mirror, a plurality of lens elements, and at least one kinoform. An optical system having a diffractive optical element such as an all-mirror optical system can be used. When correction of chromatic aberration is required, a plurality of lens elements made of glass materials having different dispersion values (Abbe values) can be used, or the diffractive optical element can be configured to generate dispersion in the opposite direction to the lens element. To do.

プレート340は、ウエハや液晶基板などの被処理体でありフォトレジストが塗布されている。フォトレジスト塗布工程は、前処理と、密着性向上剤塗布処理と、フォトレジスト塗布処理と、プリベーク処理とを含む。前処理は、洗浄、乾燥などを含む。密着性向上剤塗布処理は、フォトレジストと下地との密着性を高めるための表面改質(即ち、界面活性剤塗布による疎水性化)処理であり、HMDS(Hexamethyl−disilazane)などの有機膜をコート又は蒸気処理する。プリベークは、ベーキング(焼成)工程であるが現像後のそれよりもソフトであり、溶剤を除去する。   The plate 340 is an object to be processed such as a wafer or a liquid crystal substrate, and is coated with a photoresist. The photoresist coating process includes a pretreatment, an adhesion improver coating process, a photoresist coating process, and a prebaking process. Pretreatment includes washing, drying and the like. The adhesion improver coating process is a surface modification process for improving the adhesion between the photoresist and the base (that is, a hydrophobic process by application of a surfactant), and an organic film such as HMDS (Hexmethyl-disilazane) is used. Coat or steam. Pre-baking is a baking (baking) step, but is softer than that after development, and removes the solvent.

ステージ345は、プレート340を支持する。ステージ345は、当業界で周知のいかなる構成をも適用することができるので、ここでは詳しい構造及び動作の説明は省略する。例えば、ステージ345は、リニアモーターを利用してXY方向にプレートを移動することができる。マスク320とプレート340は、例えば、同期走査され、ステージ345と図示しないマスクステージの位置は、例えば、レーザー干渉計などにより監視され、両者は一定の速度比率で駆動される。ステージ345は、例えば、ダンパを介して床等の上に支持されるステージ定盤上に設けられ、マスクステージ及び投影光学系330は、例えば、床等に載置されたベースフレーム上にダンパ等を介して支持される図示しない鏡筒定盤上に設けられる。   The stage 345 supports the plate 340. Since any structure known in the art can be applied to the stage 345, a detailed description of the structure and operation is omitted here. For example, the stage 345 can move the plate in the XY directions using a linear motor. The mask 320 and the plate 340 are synchronously scanned, for example, and the positions of the stage 345 and a mask stage (not shown) are monitored by a laser interferometer, for example, and both are driven at a constant speed ratio. The stage 345 is provided on a stage surface plate supported on a floor or the like via a damper, for example, and the mask stage and the projection optical system 330 are, for example, a damper or the like on a base frame placed on the floor or the like It is provided on a lens barrel surface plate (not shown) that is supported via the.

露光において、光源部312から発せられた光束は、照明光学系314によりマスク320を、例えば、ケーラー照明する。マスク320を通過してマスクパターンを反映する光は、投影光学系330によりプレート340に結像される。露光装置300が使用するマスク320は、補助パターンが所望のパターンの結像性能を高め(例えば、円形に近づける)、焦点深度を増加するので作業性を高めて従来よりも高品位なデバイス(半導体素子、LCD素子、撮像素子(CCDなど)、薄膜磁気ヘッドなど)を提供することができる。   In the exposure, the light beam emitted from the light source unit 312 illuminates the mask 320 by, for example, Koehler illumination by the illumination optical system 314. Light that passes through the mask 320 and reflects the mask pattern is imaged on the plate 340 by the projection optical system 330. The mask 320 used by the exposure apparatus 300 is a device (semiconductor) with higher quality than the conventional one because the auxiliary pattern enhances the imaging performance of the desired pattern (for example, close to a circle) and increases the depth of focus. Elements, LCD elements, imaging elements (CCD, etc.), thin film magnetic heads, etc.) can be provided.

次に、図7(a)及び図7(b)を参照して、上述の露光装置を利用したデバイスの製造方法の実施例を説明する。図7(a)は、デバイス(ICやLSIなどの半導体チップ、LCD、CCD等)の製造を説明するためのフローチャートである。ここでは、半導体チップの製造を例に説明する。ステップ1(回路設計)ではデバイスの回路設計を行う。ステップ2(マスク製作)では、設計した回路パターンを形成したマスクを製作する。ステップ3(ウエハ製造)ではシリコンなどの材料を用いてウエハを製造する。ステップ4(ウェハプロセス)は前工程と呼ばれ、マスクとウエハを用いて本発明のリソグラフィー技術によってウエハ上に実際の回路を形成する。ステップ5(組み立て)は後工程と呼ばれ、ステップ4によって作成されたウエハを用いて半導体チップ化する工程であり、アッセンブリ工程(ダイシング、ボンディング)、パッケージング工程(チップ封入)等の工程を含む。ステップ6(検査)では、ステップ5で作成された半導体デバイスの動作確認テスト、耐久性テストなどの検査を行う。こうした工程を経て半導体デバイスが完成し、これが出荷(ステップ7)される。   Next, with reference to FIGS. 7A and 7B, an embodiment of a device manufacturing method using the above-described exposure apparatus will be described. FIG. 7A is a flowchart for explaining how to fabricate devices (ie, semiconductor chips such as IC and LSI, LCDs, CCDs, and the like). Here, the manufacture of a semiconductor chip will be described as an example. In step 1 (circuit design), the device circuit is designed. In step 2 (mask production), a mask on which the designed circuit pattern is formed is produced. In step 3 (wafer manufacture), a wafer is manufactured using a material such as silicon. Step 4 (wafer process) is called a pre-process, and an actual circuit is formed on the wafer by the lithography technique of the present invention using the mask and the wafer. Step 5 (assembly) is called a post-process, and is a process for forming a semiconductor chip using the wafer created in step 4, and includes processes such as an assembly process (dicing and bonding) and a packaging process (chip encapsulation). . In step 6 (inspection), inspections such as an operation confirmation test and a durability test of the semiconductor device created in step 5 are performed. Through these steps, the semiconductor device is completed and shipped (step 7).

図7(b)は、ステップ4のウェハプロセスの詳細なフローチャートである。ステップ11(酸化)ではウエハの表面を酸化させる。ステップ12(CVD)では、ウエハの表面に絶縁膜を形成する。ステップ13(電極形成)では、ウエハ上に電極を蒸着などによって形成する。ステップ14(イオン打ち込み)ではウエハにイオンを打ち込む。ステップ15(レジスト処理)ではウエハに感光剤を塗布する。ステップ16(露光)では、露光装置によってマスクの回路パターンをウエハに露光する。ステップ17(現像)では、露光したウエハを現像する。ステップ18(エッチング)では、現像したレジスト像以外の部分を削り取る。ステップ19(レジスト剥離)では、エッチングが済んで不要となったレジストを取り除く。これらのステップを繰り返し行うことによってウエハ上に多重に回路パターンが形成される。本実施例のデバイス製造方法によれば、従来よりも高品位のデバイスを製造することができる。   FIG. 7B is a detailed flowchart of the wafer process in Step 4. In step 11 (oxidation), the wafer surface is oxidized. In step 12 (CVD), an insulating film is formed on the surface of the wafer. In step 13 (electrode formation), an electrode is formed on the wafer by vapor deposition or the like. In step 14 (ion implantation), ions are implanted into the wafer. In step 15 (resist process), a photosensitive agent is applied to the wafer. Step 16 (exposure) uses the exposure apparatus to expose a circuit pattern on the mask onto the wafer. In step 17 (development), the exposed wafer is developed. In step 18 (etching), portions other than the developed resist image are removed. In step 19 (resist stripping), the resist that has become unnecessary after the etching is removed. By repeatedly performing these steps, multiple circuit patterns are formed on the wafer. According to the device manufacturing method of the present embodiment, it is possible to manufacture a device of higher quality than before.

本発明の一実施形態としての露光装置の単純化された光路図である。It is the simplified optical path figure of the exposure apparatus as one Embodiment of this invention. 位相シフトマスクとバイナリーマスクとハーフトーンマスクの概略断面図である。It is a schematic sectional drawing of a phase shift mask, a binary mask, and a halftone mask. 通常のマスクと本発明の一側面としての露光方法に用いられるマスクの概略平面図、及び、所望のコンタクトホールパターンを示す図である。It is a figure which shows the schematic plan view of a normal mask and the mask used for the exposure method as one side of this invention, and a desired contact hole pattern. 本発明の露光方法に適用可能な照明系の有効光源の形状を示す図である。It is a figure which shows the shape of the effective light source of the illumination system applicable to the exposure method of this invention. 所望のコンタクトホールパターンと本発明の露光方法に適用可能なマスクパターンの模式図である。It is a schematic diagram of a desired contact hole pattern and a mask pattern applicable to the exposure method of the present invention. 本発明の露光方法に適用可能なマスクパターンの模式図である。It is a schematic diagram of a mask pattern applicable to the exposure method of the present invention. 図1に示す露光装置を使用するデバイス製造方法を説明するためのフローチャート及びそのステップ4のウェハプロセスの詳細なフローチャートである。5 is a flowchart for explaining a device manufacturing method using the exposure apparatus shown in FIG. 1 and a detailed flowchart of the wafer process in step 4; 本発明の露光方法による露光結果を示す図である。It is a figure which shows the exposure result by the exposure method of this invention. 本発明の露光方法に適用可能なマスクの概略平面図である。It is a schematic plan view of the mask applicable to the exposure method of this invention. 図9に示すマスクから生じた瞳面上での回折光の分布及び結像を模式的に示す図である。It is a figure which shows typically distribution and image formation of the diffracted light on the pupil surface produced from the mask shown in FIG. 本発明の露光方法に適用可能なマスクパターンとその結像状態を示す模式図である。It is a schematic diagram which shows the mask pattern applicable to the exposure method of this invention, and its image formation state. 本発明の露光方法に適用可能なマスクパターンの模式図である。It is a schematic diagram of a mask pattern applicable to the exposure method of the present invention. 本発明の露光方法に適用可能なマスクパターンの模式図である。It is a schematic diagram of a mask pattern applicable to the exposure method of the present invention. 本発明の露光方法に適用可能なマスクの概略平面図である。It is a schematic plan view of the mask applicable to the exposure method of this invention. 図14に示すマスクから生じた瞳面上での回折光の分布を示す図である。It is a figure which shows distribution of the diffracted light on the pupil surface produced from the mask shown in FIG. 本発明の露光方法に適用可能なマスクパターンの模式図である。It is a schematic diagram of a mask pattern applicable to the exposure method of the present invention. 本発明の露光方法に適用可能なマスクパターンの模式図である。It is a schematic diagram of a mask pattern applicable to the exposure method of the present invention. 本発明の露光方法に適用可能なマスクパターンの模式図である。It is a schematic diagram of a mask pattern applicable to the exposure method of the present invention. 本発明の露光方法に適用可能なマスクパターンの模式図である。It is a schematic diagram of a mask pattern applicable to the exposure method of the present invention. 2光束干渉を説明するための模式図である。It is a schematic diagram for demonstrating 2 light beam interference. 本発明の露光方法に適用可能なマスクパターンの模式図である。It is a schematic diagram of a mask pattern applicable to the exposure method of the present invention. 本発明の露光方法に適用可能なマスクパターンの模式図である。It is a schematic diagram of a mask pattern applicable to the exposure method of the present invention. 本発明の仮想格子の決定方法を説明するためのフローチャートである。It is a flowchart for demonstrating the determination method of the virtual lattice of this invention. 本発明によるマスクパターン及び照明条件を設定する方法を説明するためのフローチャートである。3 is a flowchart for explaining a method of setting a mask pattern and illumination conditions according to the present invention. OPCを説明するための平面図である。It is a top view for demonstrating OPC. 本発明の露光方法に適用可能なマスクパターン作成方法を模式的に示す図である。It is a figure which shows typically the mask pattern production method applicable to the exposure method of this invention. 回折光の名前の定義を説明するための図である。It is a figure for demonstrating the definition of the name of a diffracted light. 回折光の名前の定義を説明するための図である。It is a figure for demonstrating the definition of the name of a diffracted light. パターンの配列を示すための図である。It is a figure for showing the arrangement | sequence of a pattern. ウエハ面上での空中像の光強度分布を表すコンター図である。It is a contour figure showing the light intensity distribution of the aerial image on a wafer surface. ウエハ面上での空中像の光強度分布を表すコンター図である。It is a contour figure showing the light intensity distribution of the aerial image on a wafer surface. 露光結果を示す図である。It is a figure which shows an exposure result. パターンの配列を示すための図である。It is a figure for showing the arrangement of a pattern. パターンの配列を示すための図である。It is a figure for showing the arrangement | sequence of a pattern. 露光結果を示す図である。It is a figure which shows an exposure result. パターンの配列を示すための図である。It is a figure for showing the arrangement | sequence of a pattern. 露光結果を示す図である。It is a figure which shows an exposure result.

符号の説明Explanation of symbols

21 マスク基盤
22 遮光部材
23 位相シフタ
24 ハーフトーン部材
31、34、51、52、61、111、121、131、161、171、181、191−193、211、221 所望パターン
32、53、62、112、122、132、164、165、175、176、182、183、212、222 補助パターン
33、92、142 遮光部
41A−41F 照明系光透過部
42A−42F 照明系光遮光部
63 仮想格子
91、141 マスク透光部
101 瞳
102、101a−107b 回折光
101g 形成されたパターンの概略形状
101h−104h、102g−103g 形成されたパターンの概略形状
113A、113B 像断面図
123a、123b パターン領域
162、163、172−174 集合としてのパターン
201 光軸
202、203 平面波の進行方向
21 mask base 22 light shielding member 23 phase shifter 24 halftone member 31, 34, 51, 52, 61, 111, 121, 131, 161, 171, 181, 191-193, 211, 221 desired pattern 32, 53, 62, 112, 122, 132, 164, 165, 175, 176, 182, 183, 212, 222 Auxiliary pattern 33, 92, 142 Light shielding part 41A-41F Illumination system light transmission part 42A-42F Illumination system light shading part 63 Virtual grating 91 , 141 Mask light transmitting part 101 Pupil 102, 101a-107b Diffracted light 101g Schematic shape of the formed pattern 101h-104h, 102g-103g Schematic shape of the formed pattern 113A, 113B Image cross-sectional view 123a, 123b Pattern region 162, 163, 172-174 The traveling direction of the pattern 201 optical axis 202 and 203 plane wave as

Claims (4)

複数のコンタクトホールパターンと、前記複数のコンタクトホールパターンよりも寸法が小さい複数の補助パターンとを有するマスクであって、
前記マスクはバイナリーマスク又はハーフトーンマスクであって、前記複数のコンタクトホールパターン及び前記補助パターンの位相は同じであり、
前記複数の補助パターンは、第1の補助パターンと複数の第2の補助パターンを含み、
前記複数のコンタクトホールパターンの中心と前記第1の補助パターンの中心は直線上に等間隔に配置され、
前記複数の第2の補助パターンの中心は、前記複数のコンタクトホールパターンのうち2つの隣接したパターンの中心から等距離にあり、
前記複数の第2の補助パターンは、前記直線と前記第2の補助パターンの中心との距離が前記複数のコンタクトホールの周期に等しく、前記複数のコンタクトホールパターンの周期と同じ周期で前記直線の両側の前記直線と平行な直線上に配置されていることを特徴とするマスク。
A mask having a plurality of contact hole patterns and a plurality of auxiliary patterns having dimensions smaller than the plurality of contact hole patterns,
The mask is a binary mask or a halftone mask, and the phases of the plurality of contact hole patterns and the auxiliary pattern are the same,
The plurality of auxiliary patterns include a first auxiliary pattern and a plurality of second auxiliary patterns,
The centers of the plurality of contact hole patterns and the center of the first auxiliary pattern are arranged on a straight line at equal intervals,
The centers of the plurality of second auxiliary patterns are equidistant from the centers of two adjacent patterns of the plurality of contact hole patterns,
In the plurality of second auxiliary patterns, the distance between the straight line and the center of the second auxiliary pattern is equal to the period of the plurality of contact holes, and the distance between the lines is the same as the period of the plurality of contact hole patterns. A mask arranged on a straight line parallel to the straight line on both sides.
前記複数のコンタクトホールパターンが解像され且つ前記複数の補助パターンの解像が抑制されるように請求項1に記載の前記マスクを斜入射照明で照明し、前記マスクからの光で被処理体を露光することを特徴とする露光方法 The object according to claim 1, wherein the mask according to claim 1 is illuminated with oblique incidence illumination so that the plurality of contact hole patterns are resolved and the resolution of the plurality of auxiliary patterns is suppressed. An exposure method characterized by exposing . 複数のコンタクトホールパターンと、
第1の補助パターンと複数の第2の補助パターンを含み、前記複数のコンタクトホールパターンよりも寸法の小さな複数の補助パターンとを有するマスクの設計方法であって、
前記マスクはバイナリーマスク又はハーフトーンマスクであって、前記複数のコンタクトホールパターン及び前記補助パターンの位相を同じとして、
前記コンタクトホールパターンの中心と前記第1の補助パターンの中心が直線上に等間隔に並ぶように前記第1の補助パターンを配置するステップと、
前記複数の第2の補助パターンの中心が、前記複数のコンタクトホールパターンのうち2つの隣接したパターンの中心から等距離となり、前記直線と前記第2の補助パターンの中心との距離が前記複数のコンタクトホールの周期に等しく、前記複数のコンタクトホールパターンの周期と同じ周期で前記複数の第2の補助パターンを前記直線の両側の前記直線と平行な直線上に配置するステップを有することを特徴とするマスク設計方法。
Multiple contact hole patterns;
A mask design method comprising a first auxiliary pattern and a plurality of second auxiliary patterns, the mask having a plurality of auxiliary patterns having dimensions smaller than the plurality of contact hole patterns,
The mask is a binary mask or a halftone mask, and the plurality of contact hole patterns and the auxiliary patterns have the same phase,
Disposing the first auxiliary pattern such that the center of the contact hole pattern and the center of the first auxiliary pattern are aligned on a straight line at equal intervals;
The centers of the plurality of second auxiliary patterns are equidistant from the centers of two adjacent patterns of the plurality of contact hole patterns, and the distance between the straight line and the center of the second auxiliary pattern is the plurality of the plurality of contact hole patterns. Disposing the plurality of second auxiliary patterns on a straight line parallel to the straight line on both sides of the straight line, and having the same period as that of the plurality of contact hole patterns. Mask design method.
請求項1に記載のマスクを用いて前記被処理体を露光するステップと、
前記露光された被処理体を現像するステップとを有するデバイス製造方法。
Exposing the object to be processed using the mask according to claim 1;
Developing the exposed object to be processed.
JP2004031547A 2003-02-21 2004-02-09 Mask, design method thereof, exposure method, and device manufacturing method Expired - Lifetime JP4886169B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004031547A JP4886169B2 (en) 2003-02-21 2004-02-09 Mask, design method thereof, exposure method, and device manufacturing method

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2003044992 2003-02-21
JP2003044992 2003-02-21
JP2004031547A JP4886169B2 (en) 2003-02-21 2004-02-09 Mask, design method thereof, exposure method, and device manufacturing method

Publications (3)

Publication Number Publication Date
JP2004272228A JP2004272228A (en) 2004-09-30
JP2004272228A5 JP2004272228A5 (en) 2007-04-05
JP4886169B2 true JP4886169B2 (en) 2012-02-29

Family

ID=33134251

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004031547A Expired - Lifetime JP4886169B2 (en) 2003-02-21 2004-02-09 Mask, design method thereof, exposure method, and device manufacturing method

Country Status (1)

Country Link
JP (1) JP4886169B2 (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050225740A1 (en) * 2004-03-31 2005-10-13 Padlyar Sushil D Light source for photolithography
US7372540B2 (en) 2004-10-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR100675882B1 (en) * 2004-12-22 2007-02-02 주식회사 하이닉스반도체 Multi-Transmission Phase Mask and Method for Exposuring by Using that
TWI368327B (en) 2005-01-17 2012-07-11 Samsung Electronics Co Ltd Optical mask and manufacturing method of thin film transistor array panel using the optical mask
JP4784220B2 (en) * 2005-09-14 2011-10-05 凸版印刷株式会社 Phase shift mask
JP4750525B2 (en) 2005-10-14 2011-08-17 キヤノン株式会社 Exposure method and device manufacturing method
JP2007123333A (en) * 2005-10-25 2007-05-17 Canon Inc Exposure method
JP4689471B2 (en) 2006-01-06 2011-05-25 エルピーダメモリ株式会社 Circuit pattern exposure method and mask
JP4812470B2 (en) * 2006-03-08 2011-11-09 ルネサスエレクトロニクス株式会社 Photomask and exposure method
JP4484909B2 (en) 2007-07-24 2010-06-16 キヤノン株式会社 Original data creation method, original data creation method, exposure method, and original data creation program
JP4834784B2 (en) * 2008-03-03 2011-12-14 株式会社東芝 Manufacturing method of semiconductor device
JP4635085B2 (en) 2008-03-03 2011-02-16 株式会社東芝 Manufacturing method of semiconductor device
KR101061357B1 (en) * 2009-02-17 2011-08-31 주식회사 하이닉스반도체 Photo mask
JP5185235B2 (en) * 2009-09-18 2013-04-17 株式会社東芝 Photomask design method and photomask design program
JP2012053286A (en) 2010-09-01 2012-03-15 Renesas Electronics Corp Photomask, apparatus and method for manufacturing semiconductor device using the same, and method for arranging photomask pattern
JP6003068B2 (en) * 2012-01-30 2016-10-05 富士通セミコンダクター株式会社 Exposure method
JP6081716B2 (en) * 2012-05-02 2017-02-15 Hoya株式会社 Photomask, pattern transfer method, and flat panel display manufacturing method
WO2018181985A1 (en) * 2017-03-31 2018-10-04 株式会社ニコン Pattern calculation apparatus, pattern calculation method, mask, exposure apparatus, device production method, computer program, and recording medium

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2590680B2 (en) * 1993-04-06 1997-03-12 日本電気株式会社 Auxiliary pattern type phase shift mask
JPH1184625A (en) * 1997-07-07 1999-03-26 Matsushita Electron Corp Mask for production of semiconductor device and production of semiconductor device
JP2001110719A (en) * 1999-10-14 2001-04-20 Hitachi Ltd Exposure method
JP4145003B2 (en) * 2000-07-14 2008-09-03 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
JP3768794B2 (en) * 2000-10-13 2006-04-19 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
JP3754934B2 (en) * 2002-04-23 2006-03-15 キヤノン株式会社 Mask pattern and illumination condition setting method

Also Published As

Publication number Publication date
JP2004272228A (en) 2004-09-30

Similar Documents

Publication Publication Date Title
KR100571623B1 (en) Mask and its manufacturing method, exposure method, and device fabrication method
KR100306415B1 (en) Photomask used for a projection exposure equipment
JP4886169B2 (en) Mask, design method thereof, exposure method, and device manufacturing method
US6991877B2 (en) Exposure method and apparatus
US7217503B2 (en) Exposure method and apparatus
EP1357426A2 (en) Method for setting mask pattern and its illumination condition
US7947433B2 (en) Exposure method
US7910266B2 (en) Pattern forming method and mask
JP3950732B2 (en) Illumination optical system, illumination method and exposure apparatus
JP2001319874A (en) Exposing method, aligner, and device manufacturing method
JP4184918B2 (en) Contact hole formation method
JP4684584B2 (en) Mask, manufacturing method thereof, and exposure method
JP2004071776A (en) Illuminating optical system, exposure method, and aligner
JP2007256511A (en) Photomask for resist pattern formation and its manufacturing method, and forming method for resist pattern using same photomask
JP2004251969A (en) Phase shift mask, method for forming pattern by using phase shift mask, and method for manufacturing electronic device
JP4235410B2 (en) Exposure method
JP3977096B2 (en) Mask, exposure method and device manufacturing method
JP3962581B2 (en) Exposure method and device manufacturing method
JP3337983B2 (en) Exposure method and exposure apparatus
JP3278802B2 (en) Mask and exposure method using the same
JP2005142599A (en) Exposure method and apparatus
JP2007034207A (en) Method for producing mask and mask pattern design device
JPH10135118A (en) Projection aligner
JP2000021759A (en) Exposure method and apparatus

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20040610

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070209

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091215

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100824

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101021

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110222

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110520

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110530

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110906

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111028

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111206

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111209

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141216

Year of fee payment: 3

R151 Written notification of patent or utility model registration

Ref document number: 4886169

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141216

Year of fee payment: 3