JP4018965B2 - Substrate processing equipment - Google Patents

Substrate processing equipment Download PDF

Info

Publication number
JP4018965B2
JP4018965B2 JP2002313136A JP2002313136A JP4018965B2 JP 4018965 B2 JP4018965 B2 JP 4018965B2 JP 2002313136 A JP2002313136 A JP 2002313136A JP 2002313136 A JP2002313136 A JP 2002313136A JP 4018965 B2 JP4018965 B2 JP 4018965B2
Authority
JP
Japan
Prior art keywords
substrate
unit
transfer
processing unit
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002313136A
Other languages
Japanese (ja)
Other versions
JP2004152801A (en
Inventor
真喜夫 東
宮田  亮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2002313136A priority Critical patent/JP4018965B2/en
Publication of JP2004152801A publication Critical patent/JP2004152801A/en
Application granted granted Critical
Publication of JP4018965B2 publication Critical patent/JP4018965B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To rapidly perform coating including a processing block having a unit group for forming a resist film on a substrate of a wafer, etc., a unit group for developing the substrate after its exposure, and a first conveying means for sequentially conveying the substrate placed in each module (processing unit or receiving/delivering stage) based on a conveying schedule so as to transfer the substrate to the module later by one in order; and to rapidly perform conveying of the substrate to an exposure unit in a developing unit. <P>SOLUTION: A second conveying means in an interface unit has two of conveying means for receiving and delivering between stages of an exposure unit side and conveying means for receiving and delivering between processing blocks. The first conveying means is asynchronously controlled. When a signal of the effect that the substrate can be delivered from a conveying original module, and a signal of the effect that the substrate can be conveyed to the conveying destination module, are output, the substrates are operated to be sequentially delivered from the conveying original module to the conveying destination module. <P>COPYRIGHT: (C)2004,JPO

Description

【0001】
【発明の属する技術分野】
本発明は、例えば半導体ウエハや液晶ディスプレイ用のガラス基板といった基板にレジスト膜を形成し、露光後の基板に現像処理を行う装置に関する。
【0002】
【従来の技術】
半導体デバイスやLCDの製造プロセスにおいては、フォトリソグラフィと呼ばれる技術により被処理基板へのレジスト処理が行われている。この技術は、例えば半導体ウエハ(以下ウエハという)にレジスト液を塗布して当該ウエハの表面に液膜を形成し、フォトマスクを用いて当該レジスト膜を露光した後、現像処理を行うことにより所望のパターンを得る、一連の工程により行われている。
【0003】
このような工程を実施する塗布、現像装置に露光装置を組み合わせたシステムが知られている。図14はこのシステムを示す概略図であり、図15はこのシステムにおけるウエハWの搬送経路を示す説明図である。塗布現像装置1は多数のウエハキャリアCが載置されるキャリア載置部1Aとその奥側に順に設けられる処理ブロック1Bとインターフェイス部1Cとで構成され、露光装置1Dはインターフェイス部1Cを介して塗布現像装置と接続されている。キャリア載置部1A内にはキャリアC内のウエハWを処理ブロック1Bに搬送する受け渡しアーム11が設けられている。処理部1Bの内部には進退及び昇降自在で且つ水平方向に回転自在な例えば3本のアームを有するメイン搬送アーム12を中心に、キャリア載置部1Aから見てメイン搬送アーム12の手前側、左側、奥側には例えば加熱ユニット、高精度温調ユニットである冷却ユニットを多段に積み重ねてなる棚ユニット13(13a,13b,13c)が配置されており、同様に右側には塗布ユニット(COT)及び現像ユニット(DEV)を含む液処理ユニット14が配置されている。
【0004】
また例えば棚ユニット13a〜13cにはキャリア載置部1Aと処理ブロック1Bとの間で、または棚ユニット13a〜13c同士の間で、或いは処理ブロック1Bとインターフェイス部1Cとの間でウエハWの受け渡しを行うための受け渡しユニット(TRS1〜TRS3)や、疎水化処理装置(ADH)及び露光処理後の加熱処理を行うためのベーク装置等が組み込まれている。
【0005】
インターフェイス部1Cには例えば高精度温調ユニット、周縁露光装置(WEE)及びバッファカセット(SBU)が設けられており、これらモジュールの間でまたはこれら各モジュールと処理ブロック1Bの棚ユニット13cとの間でウエハWの受け渡しを行うための受け渡しアーム15が、進退及び昇降自在且つ水平方向に回転自在に設けられている。更に受け渡しアーム15は、例えば露光装置1C内に設けられる搬入ステージ16及び搬出ステージ17にもアクセスが可能であり、インターフェイス部1Cと露光装置1Dとの間でウエハWの受け渡しを行うことができる構成とされている。なお「モジュール」とはウエハWの搬送を行うときの搬送元と搬送先とを明確化するため、基板の置かれる箇所を便宜的に表した用語である。
【0006】
このようなシステムでは、キャリア載置部1Aに載置されたキャリアC内のウエハWは受け渡しアーム11を介して処理ブロック1Bに搬入され、塗布ユニット(COT)にてレジスト液の塗布が行われ、その後インターフェイス部1C、露光装置1Dの順で搬送されて露光される。露光後、ウエハWは逆の経路で処理ブロック1B内の現像ユニット(DEV)まで搬送され、ここで現像される。その後ウエハWは受け渡しアーム11を介してキャリア載置部1Aに戻される。なお塗布及び現像の前後には例えば棚ユニット13(13a,13b,13c)にて例えば加熱や冷却等の前処理及び後処理が行われている。
【0007】
ウエハWは上記の処理を施されるにあたり、所定の経路で搬送されるように予めプログラムされており、図15を参照しながらその経路の一例を示す。なお図中PABはプリベーキングユニット、PEBはポストエクスポージャーベーキングユニット、POSTはポストベーキングユニット(現像後ベーキングユニット)である。図示するようにウエハWは受け渡しアーム11によりキャリアCから処理ブロック1B内に搬送された後、メイン搬送アーム12によりTRS1、ADH、COT、PAB、TRS2の順で搬送され、次いで受け渡しアーム15によりTRS2、CPL3、WEE、SBU、搬入ステージ16の順に搬送される。なおADHの後には実際にはウエハWが温調されるが、紙面の制約から省略してある。そして露光装置1Dによる露光処理後、ウエハWは受け渡しアーム15により搬出ステージ17、TRS3の順で搬送され、メイン搬送アーム12によりTRS3、PEB、CPL、DEV、POST、CPLの順で搬送された後、受け渡しアーム11によりキャリアC内に戻される。塗布、現像装置においてウエハをキャリア(カセット)から取りだして順次処理ユニットに搬送することについては例えば特許文献1に記載されている。
【0008】
ところで例えば本システムにおける制御部のメモリには、ウエハを連続処理する場合におけるロットの全てのウエハについて、各々がどのタイミングでどのモジュールに搬送されるかを定めた搬送スケジュールが記憶されている。従って例えばオペレータがウエハWに対する処理条件などを定めたレシピを選択すると、これに応じた搬送スケジュールが読み出され、各ウエハは当該搬送スケジュールに従って搬送される。実際には各搬送アーム毎に2つの搬送スケジュールを組み、両搬送スケジュールを同期させることで各ウエハが図15の順で搬送されるようにする周期制御と呼ばれる手法にてシステムを運用している。
【0009】
この搬送スケジュールについて図16を例に説明すると、例えばフェーズ1でTRS1にある1枚目のウエハW1は、フェーズ2でADHに搬送され、これに伴い2枚目のウエハW2がキャリア載置部1AのキャリアCからTRS1へと搬送される。そしてフェーズ3ではウエハW1がCOT、ウエハW2がADHへと夫々搬送され、更に3枚目のウエハWがTRS1へと搬送される。従って各ウエハは、搬送スケジュールに定められた一のフェーズが終了しない限り次のフェーズに進むことはない。一のフェーズの終了とは、搬送アーム(ここではメイン搬送アーム12)が待機状態であり、且つ搬送元のモジュールがウエハの搬出可能な状態であると共に搬送先のモジュールがウエハを搬入可能な状態にあるときのことをいう。従って例えばCOTにおけるウエハW1が搬出可能であっても、他の二つの条件が揃わなければウエハW1はCOTにて待機することとなり、3つの条件が揃ったときにフェーズ4に示すCOTからPABへの搬送が行われ、他のウエハについてもウエハW1に続いて搬送が行われる。
【0010】
なお図15では既述の2つの搬送スケジュールを同期させたものを示したが、図中SC1の部位が受け渡しアーム15の搬送スケジュールであり、その左右部分SC2がメイン搬送アーム12の搬送スケジュールである。
【特許文献】
特開2001−351848(段落0003、段落0093〜0099)
【0011】
【発明が解決しようとする課題】
ところで露光装置1Dでは通常、図17の上段に示すように先ず露光装置1Dへの搬送元モジュールであるSBUにて搬出が可能な旨の信号が出力され、更に露光装置1DからウエハWの搬入が可能である旨の信号(インレディ信号)が図示しない制御部から出力されると、例えば受け渡しアーム15がウエハWをSBUから露光装置1Dの搬入ステージ16へと搬送し、その後搬出ステージ17にあるウエハWをTRS3へと搬送する。
【0012】
しかし、露光装置1Dではロットの切り替わり時においてレチクルの交換や露光処理におけるパラメータを変更するのに時間を要するとき、或いはアラームが発せられたとき等に長い時間、ウエハの搬入可能である旨の信号(インレディ信号)が出力されないことがある。このような場合において上記の周期制御を行っていると、原則的には、既述のように前フェーズが終わらなければ後フェーズに移行できないため、露光装置1DからのウエハWの搬出が可能であるとき、即ち搬出ステージ17にウエハWが載置され、アウトレディ信号が発せられたときでも、ウエハWを露光装置1Dからキャリア載置部1Aへと搬送することができない。
【0013】
そこでこのような異常時には、制御部にて図17の下段に示すように、露光装置1Dからアウトレディ信号が出された後、インレディ信号が出されないまま所定時間T1が経過すると現在進行中のフェーズをスキップさせ、搬入ステージに新たなウエハWを搬入することなく既に露光処理の済んだ搬出ステージ17上のウエハを次の搬送フェーズに移行させていた。しかし、異常時には上記の時間T1を設けているため、露光装置1Dからアウトレディ信号が出力されてから搬出ステージのウエハWが搬出されるまでの時間が通常時よりも遙かに長く、結果として露光装置1Dのスループットが生かされず、システム全体としてのスループットが大幅に低下してしまうという問題があった。
【0014】
また化学増幅型のレジストが用いられる場合には、アウトレディ信号が出力されてから加熱処理の開始までの時間が均一でないと現像後に得られるウエハ上に形成される回路パターンの線幅にばらつきが生じてしまうという問題もある。
【0015】
本発明はこのような事情に基づいてなされたものであり、その目的は、第1の処理部、第2の処理部、第3の処理部の順に処理される基板に対し、第1の処理部及び第3の処理部における処理を行う基板処理装置において、スループットの向上を図ることにある。
【0016】
【課題を解決するための手段】
本発明に係る基板処理装置は、第1の処理部、第2の処理部、第3の処理部の順に処理される基板に対し、第1の処理部及び第3の処理部における処理を行う基板処理装置において、
前記基板に対してレジスト液を塗布してレジスト膜を形成する一連の処理を行う複数の処理ユニットを備えた第1の処理部と、
第2の処理部にて露光された基板に対して一連の処理を行い、現像を行う複数の処理ユニットを備えた第3の処理部と、
前記第1の処理部及び第3の処理部と第2の処理部との間に介在するインターフェイス部と、
前記第1の処理部から基板をインターフェイス部に受け渡すための第1の中間受け渡し部と、
前記インターフェイス部から基板を第3の処理部に受け渡すための第2の中間受け渡し部の役割を有し、露光済みの基板に対し現像処理前に加熱処理を施す、加熱プレートを含んだ加熱装置と、
複数の基板を収納したキャリアが載置されるキャリア載置部と、
キャリア載置部に載置されたキャリアから基板を受け取って、第1の処理部の各処理ユニット、第1の中間受け渡し部の順に基板を搬送し、更に第2の処理部にて処理された基板を前記加熱装置から受け取って、第3の処理部の各処理ユニット、キャリア載置部に載置されたキャリアの順に搬送すると共に、基板が置かれる個所をモジュールと呼ぶとすると、搬送スケジュールに基づいて各モジュールに置かれた基板を一つ順番が後のモジュールに移るように順次搬送を行う第1の搬送手段と、
前記第1の処理部で処理された基板を前記第1の中間受け渡し部から受け取って、第2の処理部に基板を搬入するための搬入ステージに搬送し、更に第2の処理部にて処理された基板を、第2の処理部にて処理された基板を搬出するための搬出ステージから受け取って前記加熱装置に搬送する第2の搬送手段と、を備え、
前記第2の搬送手段は、基板が置かれる個所をモジュールと呼ぶとすると、搬送元モジュールから基板の搬出が可能である旨の信号と搬送先モジュールに基板の搬入が可能である旨の信号とが出力されたときに、搬送元モジュールから基板を搬送先モジュールに搬出することにより、前記第1の搬送手段とは非同期に動作し、
基板がキャリア載置部から第1の中間受け渡し部に搬送されるまでの搬送スケジュールについては当該搬送の開始前に予め作成されており、
基板が前記加熱装置からキャリア載置部に搬送されるまでの搬送スケジュールについては、第2の処理部から基板の搬出が可能である旨の信号が出力された後に作成され、
前記加熱装置は、第1の搬送手段及び第2の搬送手段と加熱プレートとの間で露光後の基板の受け渡しを行う受け渡し機構を備え、
第2の処理部から露光後の基板の搬出が可能である旨の信号が出力されてから加熱装置にて当該基板の加熱を開始するまでの時間が、各基板の間で揃うように、前記受け渡し機構にて加熱プレートへの基板の受け渡し前の待機時間を制御する制御部を含むことを特徴とする。
【0017】
このような構成によれば第2の搬送手段は、第1の搬送手段の搬送手順を定めた搬送スケジュールの内容に拘わらず、該第2の搬送手段が基板を搬送できる領域内において別個に基板の搬送を行うことができるため、第2の処理部にてあるモジュールに対して基板の搬入ができない状態であっても、例えば他のモジュールから基板の搬出が可能であれば上記の条件を満たす限り基板を搬出することができ、その結果として第2の処理部における搬送効率が高くなり、装置全体のスループットが向上する一方で、第2の処理部から基板の搬出が可能である旨の信号が出力されてから該基板が加熱装置にて加熱処理を受けるまでの時間を、各基板間で揃えることができるので例えば化学増幅型レジストを使用した場合において基板に形成される回路パターンの線幅が各基板間でばらつくことを抑えることができる。なお前記受け渡し機構は、例えば基板を冷却する冷却プレートとして構成される。
【0019】
更にまた上記構成において、第1の中間受け渡し部と搬入ステージとの間の搬送経路には基板が置かれる少なくとも一つの前段モジュールが存在し、また搬出ステージと第2の中間受け渡し部との間の搬送経路には基板が置かれる少なくとも一つの後段モジュールが存在し、第2の搬送手段は、第1の中間受け渡し部から基板を前段モジュールに搬送すると共に後段モジュールから基板を第2の中間受け渡し部に搬送する主搬送部と、前段モジュールから基板を搬入ステージに搬送すると共に搬出ステージから基板を後段モジュールに搬送する補助搬送部と、を備えることが好ましく、このようにすることで第2の搬送手段のスループットを向上させることができる。
【0020】
【発明の実施の形態】
以下、本発明に係る基板処理装置をレジストパターン形成装置に適用した例について説明する。図1は、本実施の形態の基板処理装置の実施の形態である塗布、現像装置を露光装置に接続してなるレジストパターン形成装置を示す平面図であり、図2は同斜視図である。図中B1は被処理体であるウエハWが例えば13枚密閉収納されたキャリアCを搬入出するためのキャリア載置部であり、キャリアCを複数個載置可能な載置台21と、この載置台21から見て前方の壁面に設けられる開閉部22と、開閉部22を介してキャリアCからウエハWを取り出すための第1の搬送手段の一部をなすトランスファーアーム23とが設けられている。
【0021】
キャリア載置部B1の奥側には筐体24にて周囲を囲まれる処理ブロックB2が接続されており、この処理ブロックB2には手前側から順に加熱・冷却系のユニットを多段化した3個の棚ユニットU1,U2,U3と、後述するその他の各種ユニットを含む各ユニット間のウエハWの受け渡しを行う進退及び昇降自在且つ鉛直軸回りに回転自在な第1の搬送手段の一部であるメイン搬送機構25(25A,25B)とが交互に配列して設けられている。即ち、棚ユニットU1,U2,U3及びメイン搬送機構25(25A,25B)はキャリア載置部B1側から見て前後一列に配列されており、各々の接続部位には図示しないウエハ搬送用の開口部が形成されており、ウエハWは処理ブロックB2内を一端側の棚ユニットU1から他端側の棚ユニットU2まで自由に移動できるようになっている。なおメイン搬送機構25(25A,25B)は、後述する制御部7からの指令に基づいてコントローラにより駆動が制御される。この例では、トランスファーアーム23とメイン搬送機構25(25A,25B)とにより第1の搬送手段が構成される。
【0022】
またメイン搬送機構25(25A,25B)は、キャリア載置部B1から見て前後方向に配置される棚ユニットU1,U2,U3側の一面部と、右側の液処理ユニットU4,U5側の一面部と、左側の一面をなす背面部とで構成される区画壁26により囲まれる空間内に置かれている。またメイン搬送機構25Aの左側(メイン搬送機構25Aを挟んで液処理ユニットU4と対向する位置)には複数段の疎水化処理ユニット(ADH)が配置されており、上記の各ユニット同様に図示しない開口部を介してメイン搬送機構25Aがその内部にアクセスできるようになっている。図中27,28は各ユニットで用いられる処理液の温度調節装置や温湿度調節用のダクト等を備えた温湿度調節ユニットである。
【0023】
液処理ユニットU4,U5は、例えば図2に示すように塗布液(レジスト液)や現像液といった薬液供給用のスペースをなす収納部29の上に、例えば塗布装置(COT)及び現像装置(DEV)を複数段例えば5段に積層した構成とされている。また既述の棚ユニットU1,U2,U3は、液処理ユニットU4,U5にて行われる処理の前処理及び後処理を行うための各種ユニットを複数段例えば10段に積層した構成とされている。なお作図の便宜上図2では疎水化処理ユニット(ADH)の図示を省略している。
【0024】
上述の前処理及び後処理を行うための各種ユニットの中には、疎水化処理ユニット(ADH)で処理されたウエハWをレジスト液の塗布前に所定温度に調整するための温調ユニットである冷却ユニット(CPL1)、レジスト液の塗布後にウエハの加熱処理を行うためのプリベーキングユニットなどと呼ばれている加熱ユニット(PAB)、露光後のウエハWを加熱処理するポストエクスポージャーベーキングユニットなどと呼ばれている加熱ユニット(PEB)、この加熱ユニット(PEB)で加熱されたウエハWを現像処理前に所定温度に調整するための温調ユニットである冷却ユニット(CPL3)、現像処理後のウエハWを加熱処理するポストベーキングユニットなどと呼ばれている加熱ユニット(POST)、この加熱ユニット(POST)で加熱されたウエハWを冷却する冷却ユニット(CPL4)が含まれている。図3はこれらユニットのレイアウトの一例を示しているが、これに限られるものではない。また棚ユニットU1及びU3は例えば図3に示すようにウエハWの受け渡しを行うための受け渡し台を有する受け渡しユニット(TRS1)、(TRS2)を夫々備えている。
【0025】
加熱ユニット(PAB)、(POST)はいずれも加熱プレートを備え、メイン搬送機構25A、25Bの双方からアクセスできるように構成されている。
【0026】
露光後のウエハWを加熱処理する加熱ユニット(PEB)は、加熱プレート及び加熱後のウエハWの粗熱取りを行う冷却プレートをそなえている。図4はPEBの詳細構造を示す図であり、筐体41の内部にはステージ42が設けられ、このステージ42の正面側(図中右側)には、ファン43を介して連通する通気室44が設けられている。通気室44は例えば棚ユニットU3内を上下に貫通し、図示しない温調用エアーの供給部と接続する構成とされている。筐体41における左右の側壁45のうち、ステージ42を挟む部分には、前方側にウエハWの搬入出を行うための開口部40(40a,40b)が形成され、背面側には冷媒流路46、通気口47が上下に貫通して形成されている。開口部40(40a,40b)はシャッタ47により開閉自在とされており、メイン搬送機構25Bは開口部40aを介して、主搬送部31Aは開口部40bを介して夫々筐体41内にアクセスできるようになっている。また通気口47はファン48を介して筐体41内と連通する構成とされている。
【0027】
ステージ42の上面には、その前方側に冷却アーム5が、後方側にヒータ61を備えた加熱プレート6が夫々設けられている。冷却アーム5は、筐体41内に開口部46(46a,46b)を介して進入してくるメイン搬送機構25Bまたは後述する主搬送部31Aと、加熱プレート6との間でウエハWの受け渡しを行うと共に、搬送時においては加熱されたウエハWを粗冷却する(粗熱取りを行う)役割を有するものである。このため図5に示すように脚部51がステージ42に設けられるガイド手段49(図4参照)に沿ってY方向に進退可能に構成されており、これにより冷却プレート52が開口部40(40a,40b)の側方位置から加熱プレート61の上方位置まで移動できるようになっている。また冷却プレート52の裏面側には、例えば温度調節水を流すための図示しない冷却流路が設けられている。
【0028】
ステージ42におけるメイン搬送機構25Bまたは主搬送部31Aとウエハ支持板52とのウエハWの受け渡し位置、及び加熱プレート6と冷却プレート52とのウエハWの受け渡し位置の夫々には、孔部53を介して突没するように支持ピン54が3本ずつ設けられており、ウエハ支持板52には、これら支持ピン54が上昇したときに当該ウエハ支持板52を突き抜けてウエハWを持ち上げることができるようにスリット55が形成されている。
【0029】
ここで図1に説明を戻すと、処理部B2における棚ユニットU3の奥側には、インターフェイス部B3を介して露光装置B4が接続されている。以下、インターフェイス部B3について図1、図2及び図6を参照しながら説明する。インターフェイス部B3は処理ブロックB2と露光装置B4との間に前後に設けられる第1の搬送室3A、第2の搬送室3Bにて構成されており、夫々に第2の搬送手段31をなす主搬送部31A及び補助搬送部31Bが設けられている。主搬送部31Aは昇降自在且つ鉛直軸回りに回転自在な基体32と、この基体32上に設けられる進退自在なアーム33とで構成されている。第1の搬送室には主搬送部31Aを挟んでキャリア載置部B1側から見た左側には、ウエハWのエッジ部のみを選択的に露光するための周縁露光装置(WEE)と、複数例えば25枚のウエハWを一時的に収容する2つのバッファカセット(SBU)とが設けられている。同じく右側には受け渡しユニット(TRS3)と、各々例えば冷却プレートを有する2つの高精度温調ユニット(CPL2)とが設けられている。
【0030】
ここで上記システムにおける第1の搬送手段をなすトランスファーアーム23及びメイン搬送機構25(25A,25B)と、第2の搬送手段31(31A,31B)との働きを図7を参照して説明する。トランスファーアーム23は、キャリア載置部B1に載置されたキャリアC内の処理前のウエハWをTRS1に搬送し、現像を終えてCPL4に置かれた処理後のウエハWを前記キャリアCに搬送する役割を有する。メイン搬送機構25(25A,25B)は、TRS1上のウエハWをADH、CPL1、COT、PAB、TRS2の順で搬送し、更にインターフェイス部B3から搬出されてPEB内に載置されたウエハWをCPL3、DEV、POST、CPL4の順で搬送する役割を有する。
【0031】
主搬送部31Aは、受け渡しユニット(TRS2)に載置された露光前のウエハWを周縁露光装置(WEE)、バッファカセット(SBU)、高精度温調ユニット(CPL2)に順次搬送すると共に、補助搬送部31Bにより受け渡しユニット(TRS3)に載置された露光後のウエハWを加熱ユニット(PEB)に搬送する役割を備えている。
【0032】
この実施の形態では、受け渡しユニット(TRS2)が特許請求の範囲でいう第1の処理部から基板をインターフェイス部に受け渡すための第1の中間受け渡し部に相当し、加熱ユニット(PEB)が特許請求の範囲でいうインターフェイス部から基板を第3の処理部に受け渡すための第2の中間受け渡し部に相当する。
【0033】
また補助搬送部31Bについては、昇降自在且つ鉛直軸回りに回転自在な基体34がガイド機構35の働きにより左右方向に移動できるように構成されており、更にこの基体34上に進退自在なアーム36が設けられている。この補助搬送部31Bは、高精度温調ユニット(CPL2)内のウエハWを露光装置B4の搬入ステージ37に搬送すると共に、露光装置B4の搬出ステージ38上のウエハWを受け渡しユニット(TRS3)に搬送する役割を備えている。この第2の搬送手段31(31A,31B)は後述する制御部4からの指令に基づき、駆動制御される。
【0034】
ところで上記のパターン形成装置は、既述のようにメイン搬送機構25(25A,25B)及び第2の搬送手段31(31A,31B)の駆動制御やその他各処理ユニットの制御を行う制御部7を備えている。図8はこの制御部7の構成を示すものであり、実際にはCPU(中央処理ユニット)、プログラム及びメモリなどにより構成されるが、ここでは構成要素の一部をブロック化して説明するものとする。また制御部7は本装置における各ユニット毎の処理や処理の手順等を記録したレシピの管理を行うものであるが、本発明はウエハの搬送制御にあるため、この部位に重点をおいて説明を行うものとする。
【0035】
図8中70はバスであり、このバス70にレシピ格納部71、レシピ選択部72、スケジュール作成部73、加熱前経過時間調整部74、第2の搬送手段31の搬送制御部75が互いに接続されている。レシピ格納部71は例えばウエハWの搬送経路が記録されている搬送レシピや、ウエハWに対して行う処理条件などが記録された複数のレシピが格納される部位である。レシピ選択部72はレシピ格納部71に格納されたレシピから適当なものを選択する部位であり、例えばウエハの処理枚数やレジストの種類などの入力もできるようになっている。
【0036】
スケジュール作成部73は、レシピに含まれるウエハWの搬送レシピに基づき、ロット内の全てのウエハWについてどのタイミングでどのユニットに搬送するか、といった内容の搬送スケジュールを作成する部位であり、本実施の形態ではキャリア載置部B1及び処理ブロックB2内における搬送スケジュールが作成される。具体的には往路ではキャリア載置部B1に載置されたキャリアCからインターフェイスB3直前の第1の中間受け渡し部であるTRS2までの区間について、復路は第2の中間受け渡し部であるPEBからキャリア載置部B1に載置されたキャリアCまでの区間について、夫々後述のタイミングで搬送スケジュールが作成される。
【0037】
加熱前経過時間調整部74は、露光装置B4にてウエハWの露光が終了してアウトレディ信号が出力された時点から、当該ウエハWがPEBにて加熱が開始される時点までの加熱前経過時間t(E−P)を所定時間に調整するためのプログラムを含むものであり、いずれのウエハについても前記時間t(E−P)が一定となるようにすることを目的としている。具体的にはウエハWがPEBの冷却プレート52の上に置かれた時点でそのウエハWについての前記時間t(E−P)を求め、予め設定した時間からその当該時間t(E−P)を差し引いた時間だけ冷却プレート52にて待機させるようにプログラムが組まれている。
【0038】
第2の搬送手段31(31A,31B)は搬送スケジュールにより動作するのではなく、搬送制御部75により制御される。この搬送制御部75は、ウエハWが置かれる個所をモジュール(この例ではTRS2、WEE、SBU、CPL2、搬入ステージ37、搬出ステージ38、TRS3、PEB)と呼ぶとすると、搬送元モジュールからウエハWの搬出が可能である旨の信号と搬送先モジュールにウエハWの搬入が可能である旨の信号とが出力されたときに、例えば出力された順に搬送元モジュールからウエハWを搬送先モジュールに搬出するように第2の搬送手段31(31A,31B)を制御する。
【0039】
搬送元モジュールからウエハWの搬出が可能である旨の信号が出力されるとは、例えばCPL2にてウエハWが所定時間冷却(温調)された後、制御部7内の図示しないメモリ内のCPL2に対応する項目に例えばフラグが立てられることを意味する。この場合搬送元モジュールがSBUであれば、SBU内にウエハWが存在しているときに出力される。また搬送先モジュールにウエハWの搬入が可能である旨の信号が出力されるとは、例えばCPL2内にウエハWが存在しないときにメモリ内のSBUに対応する項目に例えばフラグが立てられることを意味する。
【0040】
更に制御部7は、制御対象であるトランスファーアーム23、メイン搬送機構25(25A,25B)、処理ブロックB2における棚ユニットU1〜U5内に設けられるCPL1、COT、PAB等の各種処理ユニット76、インターフェイス部B3における棚ユニットU6,U7内のWEE、CPL3などの各種処理ユニット77に対して、夫々のコントローラ81〜84を介して接続されており、各ユニットの動作は制御部7の指令に基づいて各コントローラ81〜84により制御される。
【0041】
ここで特許請求の範囲に記載に記載した第1の処理部は、処理ブロックB2内におけるレジスト膜を形成するためのユニット群、即ちADH、CPL1、COT、PABなどが相当し、第2の処理部は露光装置B4が相当する。また第3の処理部は、露光後のウエハWに対して現像を行うためのユニット群、即ちPEB、CPL3、DEV、POSTなどの処理ユニットが相当する。特許請求の範囲に記載した、第1の中間受け渡し部(TRS2)と搬入ステージ37との間の搬送経路に設けられた前段モジュールは、CPL2に相当し、搬出ステージ38と第2の中間受け渡し部(PEB)との間の搬送経路に設けられた後段モジュールはTRS3が相当する。
【0042】
次に上述した装置によりウエハW上にレジストパターンを形成する場合を例に、本実施の形態の作用説明を行う。先ずウエハWに対する処理を開始するのに先立ち、オペレータがレシピの選択を行う。レシピを選択すると、スケジュール作成部73によりロット内の全てのウエハについて、例えば図9に示すように前半分の搬送スケジュール、この例でいえばロット内の各ウエハW1〜W3についてキャリア載置部B1に載置されるキャリアCからTRS2までの範囲において搬送スケジュールが作成される。
【0043】
なお図9では便宜上3枚のウエハWが順次搬送される場合であって、各処理ユニットが1個であるとして記載してある。実際にはウエハWは多数枚存在しかつADH、CPL、COT、PABなどの各処理ユニットは複数設けられており、その場合同種の複数の処理ユニットを1号、2号………と識別するなら、図10のようにADHの欄をADH1とADH2………と行った具合に各処理ユニットを台数分設け、フェーズの数をその台数分用意してスケジュールが立てられる。しかしながらこのように記載すると説明が煩雑になり、また図面の作図が紙面の制約から困難になるため、図9のように簡略化して記載する。
【0044】
そして制御部7はこの搬送スケジュールを参照しながら各部に指示を出力し、ウエハWに対する処理が開始される。ロットの各ウエハWはキャリア載置部B1内のトランスファーアーム23によりキャリアCから取り出されてTRS1に搬入された後、処理ブロックB2内のメイン搬送機構25(25A,25B)によって図7に示すようにTRS1、ADH、COT、LHP1、TRS2の順で搬送されながら所定の処理を施される。メイン搬送機構25(25A,25B)は既述のように3枚のアームを備えており、例えば既に疎水化処理が行われたウエハをADHから取り出し、次いでTRS1から受け取った次のウエハをADHに搬入し、こうして順次ウエハWを次の処理ユニットに送るようにしている。
【0045】
TRS2まで搬送されたウエハWは、図7にて説明したようにインターフェイス部B3内においてWEE、SBU、CPL2、搬入ステージ37の順で搬送され、露光装置B4にて露光される。そして露光処理後は搬出ステージ38からTRS3を経由して処理ブロックB2のPEBへと搬送されるが、第2の搬送手段31(31A,31B)の動作は既述のように作成済みの前半分の搬送スケジュールに含まれておらず、従ってトランスファーアーム23及びメイン搬送機構25(25A,25B)に対して非同期で動作する。
【0046】
即ち第2の搬送手段31(31A,31B)は既述のように搬送元モジュールからウエハWの搬出が可能である旨の信号と搬送先モジュールにウエハWの搬入が可能である旨の信号とが出力されたときに、例えば出力された順に搬送元モジュールからウエハWを搬送先モジュールに搬出するように動作するので、例えば図11に示すようにCPL2についてアウトレディ信号(ウエハWの搬出が可能である旨の信号)が出力されているが、露光装置B4側の事情により搬入ステージ37についてインレディ信号(ウエハWの搬入が可能である旨の信号)が出力されていない場合でも、搬出ステージ38についてアウトレディ信号が出力されかつTRS3についてインレディ信号が出力されれば、補助搬送部31Bは、搬入ステージ37のインレディ信号を待つことなく、搬出ステージ38上のウエハWをTRS3に搬送する。TRS3に置かれたウエハWは主搬送部31AによりPEBの冷却プレート52(図4、図5参照)に搬送され、既述のようにして冷却プレート52から加熱プレート6に受け渡される。また搬入ステージ37のインレディ信号が発せられると、CPL2からウエハWが搬入ステージ37に搬送される。
【0047】
ここで加熱前経過時間調整部74は、搬出ステージ38のアウトレディ信号が出力されてから例えばカウンタによりカウントを行って、搬出ステージ38からのウエハWが冷却プレート52に搬入された時点までのカウント値Nを求め、このカウント値が予め設定した時間つまり設定カウント値NSに満たない場合には設定カウント値NSになるまで当該ウエハWを冷却プレート52の上で待機させ、設定カウント値NSになった後、加熱プレート6に受け渡すように制御する。言い換えれば加熱前経過時間が設定時間に満たないときにはその差分の時間だけ当該ウエハWを待機させる。
【0048】
このように制御する理由は次の通りである。例えば搬出ステージ38のアウトレディ信号の出力時を時刻t1とすると、時刻t1からウエハWがPEBの冷却プレート52上に搬送される時刻t2までの時間は図12に示すように最短時間(min)から最長時間(max)まで各ウエハ毎にばらつきがある。最短時間(min)となるのは、搬出ステージ38のアウトレディ信号が出力されたときに直ぐに補助搬送部31Bが搬出ステージ38上のウエハWをTRS3に搬送し、その後直ぐに主搬送部31AがTRS3からウエハWをPEBに搬送する場合である。これに対して最長時間(max)となるのは、搬出ステージ38のアウトレディ信号が出力されたときに補助搬送部31Bが別の搬送作業を開始した直後であり、更にTRS3にウエハWが載置されたときに主搬送部31Aが別の搬送作業を開始した直後である場合である。最短時間(min)と最長時間(max)との差は例えば16秒程度である。
【0049】
このように搬送時間に差があると、加熱前経過時間t(E−P)にばらつきが生じてしまい現像に悪影響を及ぼす。即ち目標とするパターンの線幅を得るために露光時間、露光量、PEBにおける加熱温度及び加熱時間などのパラメータを設定するが、そのとき加熱前経過時間t(E−P)はある時間を予定しており、特にパターンが微細化するとこの加熱前経過時間t(E−P)の長さが現像結果に影響を及ぼすと考えられる。このため前記設定カウント値NSを最長時間(max)に対応する値にすることにより、いずれのウエハWについても加熱前経過時間t(E−P)が最長時間(max)に対応する値に揃うようにしている。
【0050】
一方搬出ステージ38のアウトレディ信号の出力後、スケジュール作成部73では後半分、即ちウエハWが処理ブロックB2内のPEBに搬送された後の復路の搬送スケジュールの作成が行われる。なお図7に示した搬送経路のうち一部については図示を省略しており、またPEBの開始時刻は不明であるためPEB内での処理開始時刻は点線にて示している。
【0051】
図13に示すようにこの例ではウエハW1はフェーズ11、ウエハW2はフェーズ12、ウエハW3はフェーズ13にて夫々1フェーズおきに終了するため、これ以降の搬送経路についてもウエハWが1フェーズおきに次のモジュールに搬送されるようにスケジュール作成部73にて搬送スケジュールが作成され、ウエハWは該スケジュールに沿ってPEBからキャリア載置部B1のキャリアCまで順次搬送される。
【0052】
ところで処理ブロックB2内のメイン搬送機構25Bは、インターフェイス部B3内の主搬送部31Aと同期しないし、またメイン搬送機構25Bは前半分の搬送スケジュールの進行に拘わらず、並行して後半分の搬送スケジュールを行うことができる。一例を挙げると、往路においてロットのn番目のウエハWnがTRS2まで搬送された後は、当該ウエハWnについてのインターフェイス部B3及び露光装置B4における処理が終了しなくても、その前にロットの(n−3)番目のウエハWn-3がインターフェイス部B3から搬出されてPEB内に載置されていれば、該ウエハWn-3について復路の搬送スケジュールに沿った搬送が行われる。
【0053】
以上のように本実施の形態によれば、キャリア載置部B1及び処理ブロックB2内においてはロットの各ウエハWが搬送スケジュールに沿って順次搬送されるようにトランスファーアーム23及びメイン搬送機構25(25A,25B)を制御し、インターフェイス部B3内については第2の搬送手段31として主搬送部31A及び補助搬送部31Bを設けてこの第2の搬送手段31については前記搬送スケジュールに沿うことなく、搬送元モジュールからのウエハWの搬出が可能である旨の信号と、搬送先モジュールからのウエハWの搬入が可能である旨の信号とが揃ったときに動作するようにしている。このため露光装置B4からのインレディ信号及びアウトレディ信号に迅速に反応してウエハWの搬送を行うことができる。例えば露光装置B4側の事情などにより露光装置B4からインレディ信号の出力が遅れており、この状態で露光装置B4からアウトレディ信号が出力されたときには搬出ステージ38から露光後のウエハWを搬出し、更にその後インレディ信号が出力されれば温調されたウエハWを搬入ステージ37に搬入することができる。
【0054】
これに対して第2の搬送手段31をスケジュール搬送すると、「発明が解決しようとする課題」の項目でも述べたように、インレディ信号が出力されないときには所定時間待機するので露光装置B4からのウエハWの搬出が遅れ、そして第2の搬送手段31が当該ウエハWの搬出中にインレディ信号が出力されても当該搬出動作の終了を待つため、ウエハWの搬入も遅れ、露光装置B4のスループットが低下する。これに対して上述実施の形態では露光装置B4からのインレディ信号及びアウトレディ信号に迅速に反応することから、露光装置B4のスループットの低下を防止することができ、結果としてパターン形成装置全体のスループットの向上を図ることができる。
【0055】
更にアウトレディ信号の出力後、搬出ステージ38上にあるウエハWがPEBに搬送されて加熱が開始されるまでの加熱前経過時間t(E−P)の最大時間を予め決めておき、ロットの全てのウエハの加熱前経過時間t(E−P)が揃うように調節しているため、既述のように化学増幅型のレジスト液を用いた場合において回路パターンの線幅にばらつきが生じることを抑えることができ、製品の歩留まりが向上する。
【0056】
以上においてインターフェイス部1Cの第2の搬送手段31は主搬送部31A及び補助搬送部31Bに分割されずに一個の搬送部であってもよく、この場合ウエハWの搬送動作が終了した時点で既に搬送元モジュールからウエハWの搬出が可能である旨の信号と搬送先モジュールにウエハWの搬入が可能である旨の信号とが揃った組(搬送元モジュール及び搬出先モジュール)が2組以上存在したときに、その組の信号の中に搬入ステージ37のインレディ信号及び搬出ステージ38のアウトレディ信号の一方が含まれているときには、搬入ステージ37へのウエハWの搬入あるいは搬出ステージ38からのウエハWの搬出を優先的に行うようにしてもよい。この場合搬入ステージ37のインレディ信号及び搬出ステージ38のアウトレディ信号のうち早く出力している方に応じて搬送してもよいし、あるいは搬入ステージ37のインレディ信号に対して優先的に反応してもよい。具体的には、例えば搬送部がウエハをWEEからSBUに搬送しているときにTRS2のアウトレディ信号とWEEのインレディ信号とが揃い、かつCPL2のアウトレディ信号と搬入ステージ3の7インレディ信号とが揃ったときには、CPL2から搬入ステージ37へ優先的に搬送されることになる。
【0057】
【発明の効果】
以上のように本発明によれば、第1の処理部、第2の処理部、第3の処理部の順に処理される基板に対し、第1の処理部及び第3の処理部における処理を行う基板処理装置において、スループットの向上を図ることができる。
【図面の簡単な説明】
【図1】本発明に係る基板処理装置の実施の形態を示す平面図である。
【図2】前記基板処理装置を示す斜視図である。
【図3】前記基板処理装置における棚ユニットの構造を示す側面図である。
【図4】前記棚ユニットの一段をなすベークユニット(CHHP)の一例を示す平面図である。
【図5】前記ベークユニットを示す縦断面図である。
【図6】前記基板処理装置におけるインターフェイス部を示す概略斜視図である。
【図7】前記基板処理装置内のウエハの搬送経路を示す平面図である。
【図8】前記基板処理装置の制御部の機能の一例を示す構成図である。
【図9】前記制御部にて作成される前半分の搬送スケジュールの一例を示す説明図である。
【図10】前記制御部にて作成される前半分の搬送スケジュールの一例を示す説明図である。
【図11】第2の搬送手段による搬送動作とモジュールの状態とを対応させた説明図である。
【図12】露光処理後におけるウエハの搬送時間の調整工程を示す説明図である。
【図13】前記制御部にて作成される後半分の搬送スケジュールの一例を示す説明図である。
【図14】従来の基板処理装置を示す平面図である。
【図15】前記基板処理装置内のウエハの搬送経路を示す平面図である。
【図16】前記基板処理装置におけるウエハの搬送スケジュールを示す説明図である。
【図17】前記基板処理装置における問題点を説明するための説明図である。
【符号の説明】
W 半導体ウエハ
C キャリア
B1 キャリア載置部
B2 処理ブロック
B3 インターフェイス部
B4 露光装置
23 トランスファーアーム
25(25A,25B) メイン搬送機構
31(31A,31B) 第2の搬送手段(主搬送部,補助搬送部)
37 搬入ステージ
38 搬出ステージ
5 冷却アーム
6 加熱プレート
7 制御部
73 スケジュール作成部
75 加熱前経過時間調整部
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to an apparatus for forming a resist film on a substrate such as a semiconductor wafer or a glass substrate for a liquid crystal display and developing the exposed substrate.
[0002]
[Prior art]
In the manufacturing process of semiconductor devices and LCDs, resist processing is performed on a substrate to be processed by a technique called photolithography. This technique is desired by, for example, applying a resist solution to a semiconductor wafer (hereinafter referred to as a wafer) to form a liquid film on the surface of the wafer, exposing the resist film using a photomask, and then developing the resist film. The pattern is obtained by a series of steps.
[0003]
A system in which an exposure apparatus is combined with a coating / developing apparatus that performs such a process is known. FIG. 14 is a schematic diagram showing this system, and FIG. 15 is an explanatory diagram showing the transfer path of the wafer W in this system. The coating and developing apparatus 1 includes a carrier mounting portion 1A on which a large number of wafer carriers C are mounted, a processing block 1B and an interface portion 1C provided in that order on the back side, and an exposure device 1D is connected via the interface portion 1C. It is connected to the coating and developing device. A delivery arm 11 for transporting the wafer W in the carrier C to the processing block 1B is provided in the carrier platform 1A. Inside the processing unit 1B, the front side of the main transport arm 12 when viewed from the carrier mounting unit 1A, with the main transport arm 12 having, for example, three arms that can be moved back and forth and moved up and down and rotated horizontally, Shelf units 13 (13a, 13b, 13c) in which, for example, heating units and cooling units, which are high-precision temperature control units, are stacked in multiple stages are arranged on the left side and the back side. Similarly, coating units (COT) are arranged on the right side. And a liquid processing unit 14 including a developing unit (DEV).
[0004]
Further, for example, the wafers W are transferred to the shelf units 13a to 13c between the carrier mounting unit 1A and the processing block 1B, between the shelf units 13a to 13c, or between the processing block 1B and the interface unit 1C. A transfer unit (TRS1 to TRS3) for performing the above, a hydrophobizing apparatus (ADH), a baking apparatus for performing a heat treatment after the exposure process, and the like are incorporated.
[0005]
The interface unit 1C is provided with, for example, a high-precision temperature control unit, a peripheral edge exposure unit (WEE), and a buffer cassette (SBU), and between these modules or between each module and the shelf unit 13c of the processing block 1B. The transfer arm 15 for transferring the wafer W is provided so as to be movable back and forth and up and down and rotatable in the horizontal direction. Further, the transfer arm 15 can access, for example, a carry-in stage 16 and a carry-out stage 17 provided in the exposure apparatus 1C, and can transfer the wafer W between the interface unit 1C and the exposure apparatus 1D. It is said that. The term “module” is a term that expresses a place where a substrate is placed for convenience in order to clarify a transfer source and a transfer destination when transferring the wafer W.
[0006]
In such a system, the wafer W in the carrier C mounted on the carrier mounting portion 1A is carried into the processing block 1B via the transfer arm 11, and the resist solution is applied by the coating unit (COT). Thereafter, the interface unit 1C and the exposure apparatus 1D are conveyed and exposed in this order. After the exposure, the wafer W is transferred to the developing unit (DEV) in the processing block 1B through the reverse path, and is developed there. Thereafter, the wafer W is returned to the carrier mounting portion 1 </ b> A via the transfer arm 11. Before and after coating and developing, for example, pre-processing and post-processing such as heating and cooling are performed in the shelf unit 13 (13a, 13b, 13c).
[0007]
When the wafer W is subjected to the above processing, it is programmed in advance so as to be transferred through a predetermined path. An example of the path is shown with reference to FIG. In the figure, PAB is a pre-baking unit, PEB is a post-exposure baking unit, and POST is a post-baking unit (post-development baking unit). As shown in the drawing, the wafer W is transferred from the carrier C into the processing block 1B by the transfer arm 11, and then transferred by the main transfer arm 12 in the order of TRS1, ADH, COT, PAB, TRS2, and then transferred by the transfer arm 15 to TRS2. , CPL3, WEE, SBU, and carry-in stage 16 in this order. Note that although the temperature of the wafer W is actually controlled after ADH, it is omitted due to space limitations. After the exposure processing by the exposure apparatus 1D, the wafer W is transferred by the transfer arm 15 in the order of the unloading stage 17 and TRS3, and is transferred by the main transfer arm 12 in the order of TRS3, PEB, CPL, DEV, POST, and CPL. The transfer arm 11 returns the carrier C.For example, Patent Document 1 discloses that a wafer is taken out from a carrier (cassette) in a coating / developing apparatus and sequentially transferred to a processing unit.
[0008]
By the way, for example, the memory of the control unit in the present system stores a transfer schedule that determines at which timing each wafer of a lot in the case of continuously processing wafers is transferred to which module. Therefore, for example, when an operator selects a recipe that defines processing conditions for the wafer W, a transfer schedule corresponding to the recipe is read out, and each wafer is transferred according to the transfer schedule. Actually, the system is operated by a method called cycle control in which two transfer schedules are assembled for each transfer arm and the both transfer schedules are synchronized so that each wafer is transferred in the order shown in FIG. .
[0009]
This transfer schedule will be described with reference to FIG. 16 as an example. For example, the first wafer W1 in TRS1 in phase 1 is transferred to ADH in phase 2, and accordingly, the second wafer W2 is transferred to the carrier mounting portion 1A. From the carrier C to TRS1. In phase 3, wafer W1 is transferred to COT, wafer W2 is transferred to ADH, and a third wafer W is transferred to TRS1. Accordingly, each wafer does not proceed to the next phase unless one phase defined in the transfer schedule is completed. The end of one phase means that the transfer arm (here, the main transfer arm 12) is in a standby state, the transfer source module is ready to carry out the wafer, and the transfer destination module is ready to carry in the wafer. When it is in. Therefore, for example, even if the wafer W1 in the COT can be unloaded, if the other two conditions are not met, the wafer W1 waits in the COT, and when the three conditions are met, from COT to PAB shown in phase 4 The other wafers are also transferred following the wafer W1.
[0010]
In FIG. 15, the above-described two transfer schedules are synchronized. In FIG. 15, the part SC <b> 1 is the transfer schedule of the transfer arm 15, and the left and right part SC <b> 2 is the transfer schedule of the main transfer arm 12. .
[Patent Literature]
JP 2001-351848 (paragraph 0003, paragraphs 0093 to 0099)
[0011]
[Problems to be solved by the invention]
In the exposure apparatus 1D, normally, as shown in the upper part of FIG. 17, first, a signal indicating that the wafer can be unloaded by the SBU as a transfer source module to the exposure apparatus 1D is output, and the wafer W is further loaded from the exposure apparatus 1D. When a signal indicating that it is possible (inready signal) is output from a control unit (not shown), for example, the transfer arm 15 transfers the wafer W from the SBU to the loading stage 16 of the exposure apparatus 1D, and then the wafer on the unloading stage 17 Transport W to TRS3.
[0012]
However, in the exposure apparatus 1D, a signal indicating that a wafer can be carried in for a long time when it takes time to change the parameters in reticle exchange or exposure processing at the time of lot switching or when an alarm is issued. (Inready signal) may not be output. In such a case, if the above-described cycle control is performed, in principle, the wafer W cannot be transferred from the exposure apparatus 1D because the process cannot proceed to the subsequent phase unless the previous phase is completed as described above. At some time, that is, even when the wafer W is placed on the carry-out stage 17 and an out-ready signal is generated, the wafer W cannot be transferred from the exposure apparatus 1D to the carrier platform 1A.
[0013]
Therefore, when such an abnormality occurs, as shown in the lower part of FIG. 17, after the out ready signal is output from the exposure apparatus 1D, the phase currently in progress when the predetermined time T1 elapses without the in ready signal being output. And the wafer on the unload stage 17 that has already been subjected to the exposure process is transferred to the next transfer phase without transferring a new wafer W to the transfer stage. However, since the above time T1 is provided at the time of abnormality, the time from when the out ready signal is output from the exposure apparatus 1D to when the wafer W of the unloading stage is unloaded is much longer than usual, and as a result There is a problem that the throughput of the exposure apparatus 1D is not utilized and the throughput of the entire system is significantly reduced.
[0014]
Also, when a chemically amplified resist is used, the line width of the circuit pattern formed on the wafer obtained after development will vary if the time from the output of the out-ready signal to the start of the heat treatment is not uniform. There is also a problem that it occurs.
[0015]
The present invention has been made based on such circumstances, and an object of the present invention is to perform a first process on a substrate processed in the order of a first processing unit, a second processing unit, and a third processing unit. In the substrate processing apparatus that performs processing in the first processing unit and the third processing unit, throughput is improved.
[0016]
[Means for Solving the Problems]
The substrate processing apparatus which concerns on this invention performs the process in a 1st process part and a 3rd process part with respect to the board | substrate processed in order of a 1st process part, a 2nd process part, and a 3rd process part. In substrate processing equipment,
Against the substrateApply resist solution to form resist filmA first processing unit including a plurality of processing units for performing a series of processing;
Substrate exposed in the second processing unitA series of processing is performed onDevelopA third processing unit comprising a plurality of processing units;
An interface unit interposed between the first processing unit and the third processing unit and the second processing unit;
A first intermediate transfer unit for transferring the substrate from the first processing unit to the interface unit;
Second intermediate transfer unit for transferring the substrate from the interface unit to the third processing unitHeating device including a heating plate that performs the heat treatment on the exposed substrate before development processingWhen,
A carrier placement section on which a carrier containing a plurality of substrates is placed;
The substrate was received from the carrier placed on the carrier placement unit, and the substrate was transported in the order of each processing unit of the first processing unit and the first intermediate transfer unit, and further processed by the second processing unit. BoardThe heating deviceAre transferred in the order of each processing unit of the third processing unit and the carrier mounted on the carrier mounting unit, and the place where the substrate is placed is referred to as a module. First transport means for sequentially transporting the placed substrates one by one so that the order is transferred to a later module;
The substrate processed by the first processing unit is received from the first intermediate transfer unit, transferred to a loading stage for loading the substrate into the second processing unit, and further processed by the second processing unit. Receiving the processed substrate from the unloading stage for unloading the substrate processed in the second processing unit;Heating deviceAnd a second transport means for transporting to
  Assuming that the place where the substrate is placed is called a module, the second transfer means has a signal that the substrate can be unloaded from the transfer source module and a signal that the substrate can be loaded into the transfer destination module. Is output, the substrate is transferred from the transfer source module to the transfer destination module.As a result, asynchronously with the first conveying meansWork,
The transport schedule until the substrate is transported from the carrier placement unit to the first intermediate transfer unit is created in advance before the start of the transport,
The transport schedule until the substrate is transported from the heating device to the carrier mounting unit is created after a signal indicating that the substrate can be unloaded from the second processing unit is output,
The heating apparatus includes a delivery mechanism for delivering a substrate after exposure between the first transport unit and the second transport unit and the heating plate,
The time from when the signal indicating that the exposed substrate can be unloaded from the second processing unit is output until the heating of the substrate is started by the heating device is uniform among the substrates. It includes a control unit that controls a waiting time before the substrate is delivered to the heating plate by the delivery mechanism.
[0017]
  According to such a configuration, the second transport unit is configured so that the second transport unit can separately transfer the substrate in an area where the second transport unit can transport the substrate regardless of the content of the transport schedule that defines the transport procedure of the first transport unit. Therefore, even if the substrate cannot be loaded into a module in the second processing unit, for example, if the substrate can be unloaded from another module, the above condition is satisfied. As long as the board can be unloadedAs a result, the transfer efficiency in the second processing unit is increased, and the throughput of the entire apparatus is improved. On the other hand, the substrate is output after a signal indicating that the substrate can be unloaded from the second processing unit is output. Since the time until the substrate is subjected to the heat treatment by the heating device can be made uniform between the substrates, for example, when a chemically amplified resist is used, the line width of the circuit pattern formed on the substrate varies between the substrates. Can be suppressed. The delivery mechanism is configured as a cooling plate for cooling the substrate, for example.
[0019]
Furthermore, in the above configuration, there is at least one preceding module on which a substrate is placed in a transfer path between the first intermediate transfer unit and the carry-in stage, and between the carry-out stage and the second intermediate transfer unit. The transport path includes at least one subsequent module on which the substrate is placed, and the second transport unit transports the substrate from the first intermediate transfer unit to the previous module and also transfers the substrate from the subsequent module to the second intermediate transfer unit. It is preferable to include a main transport unit that transports the substrate to the carry-in stage and an auxiliary transport unit that transports the substrate from the carry-out stage to the post-stage module. The throughput of the means can be improved.
[0020]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, an example in which the substrate processing apparatus according to the present invention is applied to a resist pattern forming apparatus will be described. FIG. 1 is a plan view showing a resist pattern forming apparatus in which a coating and developing apparatus as an embodiment of a substrate processing apparatus according to the present embodiment is connected to an exposure apparatus, and FIG. 2 is a perspective view thereof. In the figure, B1 is a carrier mounting section for carrying in / out a carrier C in which, for example, 13 wafers W to be processed are hermetically stored, a mounting table 21 on which a plurality of carriers C can be mounted, and this mounting. An opening / closing part 22 provided on the front wall surface when viewed from the mounting table 21 and a transfer arm 23 forming a part of first transfer means for taking out the wafer W from the carrier C via the opening / closing part 22 are provided. .
[0021]
A processing block B2 surrounded by a casing 24 is connected to the back side of the carrier mounting portion B1, and the processing block B2 includes three heating / cooling system units in order from the front side. Part of the first transfer means that can move back and forth and move up and down and rotate around the vertical axis for transferring the wafer W between the units including the shelf units U1, U2, and U3 and other various units described later. Main transport mechanisms 25 (25A, 25B) are alternately arranged. That is, the shelf units U1, U2, U3 and the main transfer mechanism 25 (25A, 25B) are arranged in a line in the front-rear direction as viewed from the carrier mounting part B1, and an opening for transferring a wafer (not shown) is provided at each connection portion. The wafer W can freely move in the processing block B2 from the shelf unit U1 on one end side to the shelf unit U2 on the other end side. The driving of the main transport mechanism 25 (25A, 25B) is controlled by the controller based on a command from the control unit 7 described later. In this example, the transfer arm 23 and the main transport mechanism 25 (25A, 25B) constitute a first transport unit.
[0022]
The main transport mechanism 25 (25A, 25B) includes one surface portion on the shelf unit U1, U2, U3 side arranged in the front-rear direction as viewed from the carrier placement portion B1, and one surface on the right liquid processing unit U4, U5 side. It is placed in a space surrounded by a partition wall 26 composed of a portion and a back surface forming one surface on the left side. A plurality of stages of hydrophobic treatment units (ADH) are arranged on the left side of the main transport mechanism 25A (position facing the liquid processing unit U4 across the main transport mechanism 25A), and are not shown in the same manner as the above units. The main transport mechanism 25A can access the inside through the opening. In the figure, reference numerals 27 and 28 denote temperature / humidity adjusting units including a temperature adjusting device for the treatment liquid used in each unit, a duct for adjusting the temperature and humidity, and the like.
[0023]
For example, as shown in FIG. 2, the liquid processing units U4 and U5 are, for example, a coating device (COT) and a developing device (DEV) on a storage unit 29 that forms a space for supplying a chemical solution such as a coating solution (resist solution) and a developing solution. ) In a plurality of stages, for example, five stages. In addition, the above-described shelf units U1, U2, and U3 are configured such that various units for performing pre-processing and post-processing of the processing performed in the liquid processing units U4 and U5 are stacked in a plurality of stages, for example, 10 stages. . For the convenience of drawing, the hydrophobic treatment unit (ADH) is not shown in FIG.
[0024]
Among the various units for performing the above pre-processing and post-processing, there is a temperature control unit for adjusting the wafer W processed by the hydrophobic processing unit (ADH) to a predetermined temperature before applying the resist solution. A cooling unit (CPL1), a heating unit (PAB) called a pre-baking unit for performing heat treatment of a wafer after applying a resist solution, a post-exposure baking unit for heating the wafer W after exposure, etc. Heating unit (PEB), a cooling unit (CPL3) that is a temperature control unit for adjusting the wafer W heated by the heating unit (PEB) to a predetermined temperature before the development process, and the wafer W after the development process A heating unit (POST) called a post-baking unit that heat-treats this heating unit ( It contained cooling unit for cooling (CPL4) is a heated wafer W OST). FIG. 3 shows an example of the layout of these units, but the present invention is not limited to this. Further, the shelf units U1 and U3 are respectively provided with transfer units (TRS1) and (TRS2) each having a transfer table for transferring the wafer W as shown in FIG.
[0025]
Each of the heating units (PAB) and (POST) includes a heating plate and is configured to be accessible from both the main transport mechanisms 25A and 25B.
[0026]
A heating unit (PEB) that heats the wafer W after exposure includes a heating plate and a cooling plate that performs rough heat removal of the heated wafer W. FIG. 4 is a diagram showing a detailed structure of the PEB. A stage 42 is provided inside the housing 41, and a ventilation chamber 44 communicated via a fan 43 on the front side (right side in the drawing) of the stage 42. Is provided. For example, the ventilation chamber 44 penetrates the shelf unit U3 up and down and is connected to a temperature control air supply unit (not shown). An opening 40 (40a, 40b) for carrying in / out the wafer W is formed on the front side of the left and right side walls 45 of the housing 41 between the stage 42, and a coolant channel is formed on the back side. 46 and a vent 47 are formed penetrating vertically. The opening 40 (40a, 40b) can be opened and closed by a shutter 47. The main transport mechanism 25B can access the inside of the casing 41 through the opening 40a, and the main transport unit 31A can access the housing 41 through the opening 40b. It is like that. The vent 47 is configured to communicate with the inside of the housing 41 via the fan 48.
[0027]
On the upper surface of the stage 42, a cooling arm 5 is provided on the front side and a heating plate 6 provided with a heater 61 on the rear side. The cooling arm 5 delivers the wafer W between the heating plate 6 and the main transfer mechanism 25B or the main transfer unit 31A described later, which enters the housing 41 via the opening 46 (46a, 46b). At the same time as the transfer, the heated wafer W is roughly cooled (roughly removed). Therefore, as shown in FIG. 5, the leg portion 51 is configured to be able to advance and retreat in the Y direction along guide means 49 (see FIG. 4) provided on the stage 42, whereby the cooling plate 52 is opened to the opening 40 (40a). , 40b) from the lateral position to the upper position of the heating plate 61. Further, on the back surface side of the cooling plate 52, for example, a cooling flow path (not shown) for flowing temperature-controlled water is provided.
[0028]
Each of the transfer position of the wafer W between the main transfer mechanism 25B or the main transfer section 31A and the wafer support plate 52 and the transfer position of the wafer W between the heating plate 6 and the cooling plate 52 in the stage 42 is provided through a hole 53. Three support pins 54 are provided so as to protrude and retract, and the wafer support plate 52 can lift the wafer W through the wafer support plate 52 when the support pins 54 are raised. A slit 55 is formed on the surface.
[0029]
Returning to FIG. 1, the exposure apparatus B4 is connected to the back side of the shelf unit U3 in the processing section B2 via the interface section B3. Hereinafter, the interface unit B3 will be described with reference to FIG. 1, FIG. 2, and FIG. The interface unit B3 is composed of a first transfer chamber 3A and a second transfer chamber 3B provided before and after the processing block B2 and the exposure apparatus B4. Each of the interface units B3 is a main transfer unit 31. A transport unit 31A and an auxiliary transport unit 31B are provided. The main transport portion 31A is composed of a base body 32 that can be raised and lowered and rotatable about a vertical axis, and an arm 33 that is provided on the base body 32 and can be moved back and forth. In the first transfer chamber, a peripheral exposure apparatus (WEE) for selectively exposing only the edge portion of the wafer W on the left side as viewed from the carrier mounting portion B1 side with the main transfer portion 31A interposed therebetween, and a plurality of For example, two buffer cassettes (SBU) for temporarily storing 25 wafers W are provided. Similarly, on the right side, a delivery unit (TRS3) and two high-precision temperature control units (CPL2) each having a cooling plate, for example, are provided.
[0030]
Here, the functions of the transfer arm 23 and the main transport mechanism 25 (25A, 25B) constituting the first transport means and the second transport means 31 (31A, 31B) in the system will be described with reference to FIG. . The transfer arm 23 transports the unprocessed wafer W in the carrier C placed on the carrier platform B1 to the TRS1, and transports the processed wafer W placed on the CPL4 after development to the carrier C. Have a role to play. The main transport mechanism 25 (25A, 25B) transports the wafer W on TRS1 in the order of ADH, CPL1, COT, PAB, TRS2, and further transports the wafer W unloaded from the interface unit B3 and placed in the PEB. It has a role of transporting in the order of CPL3, DEV, POST, and CPL4.
[0031]
The main transport unit 31A sequentially transports the unexposed wafer W placed on the transfer unit (TRS2) to the peripheral edge exposure device (WEE), the buffer cassette (SBU), and the high-precision temperature control unit (CPL2), as well as an auxiliary unit. It has a role of transporting the exposed wafer W placed on the transfer unit (TRS3) by the transport unit 31B to the heating unit (PEB).
[0032]
In this embodiment, the transfer unit (TRS2) corresponds to a first intermediate transfer unit for transferring a substrate from the first processing unit to the interface unit in the claims, and the heating unit (PEB) is a patent. This corresponds to a second intermediate transfer unit for transferring the substrate from the interface unit in the claims to the third processing unit.
[0033]
Further, the auxiliary transport unit 31B is configured such that a base body 34 that can be moved up and down and rotated about a vertical axis can be moved in the left-right direction by the action of a guide mechanism 35, and an arm 36 that can move forward and backward on the base body 34. Is provided. The auxiliary transfer unit 31B transfers the wafer W in the high-precision temperature control unit (CPL2) to the carry-in stage 37 of the exposure apparatus B4, and transfers the wafer W on the carry-out stage 38 of the exposure apparatus B4 to the transfer unit (TRS3). It has a role to convey. The second conveying means 31 (31A, 31B) is driven and controlled based on a command from the control unit 4 described later.
[0034]
By the way, as described above, the pattern forming apparatus includes the control unit 7 that controls the driving of the main transport mechanism 25 (25A, 25B) and the second transport unit 31 (31A, 31B) and other processing units. I have. FIG. 8 shows a configuration of the control unit 7, which is actually configured by a CPU (central processing unit), a program, a memory, and the like. To do. The control unit 7 manages the recipe for each unit in the apparatus and records the processing procedure. However, since the present invention is in wafer transfer control, this part will be described with emphasis. Shall be performed.
[0035]
In FIG. 8, reference numeral 70 denotes a bus, and a recipe storage unit 71, a recipe selection unit 72, a schedule creation unit 73, a pre-heating elapsed time adjustment unit 74, and a conveyance control unit 75 of the second conveyance unit 31 are connected to the bus 70. Has been. The recipe storage unit 71 is a part that stores, for example, a transfer recipe in which the transfer route of the wafer W is recorded and a plurality of recipes in which processing conditions to be performed on the wafer W are recorded. The recipe selection unit 72 is a part for selecting an appropriate one from the recipes stored in the recipe storage unit 71. For example, the number of wafers processed and the type of resist can be input.
[0036]
The schedule creation unit 73 is a part that creates a transfer schedule with the content such as which timing to transfer all the wafers W in the lot to which unit based on the transfer recipe of the wafers W included in the recipe. In this form, a transport schedule in the carrier placement unit B1 and the processing block B2 is created. Specifically, in the forward path, for the section from the carrier C placed on the carrier placement section B1 to TRS2, which is the first intermediate transfer section immediately before the interface B3, the return path is from the PEB, which is the second intermediate transfer section, to the carrier. A transport schedule is created for each of the sections up to the carrier C placed on the placement unit B1 at a timing described later.
[0037]
The pre-heating elapsed time adjustment unit 74 passes from the time when the exposure of the wafer W is completed by the exposure apparatus B4 and the out ready signal is output until the time when the wafer W starts to be heated by PEB. A program for adjusting the time t (E−P) to a predetermined time is included, and the purpose is to make the time t (E−P) constant for any wafer. Specifically, when the wafer W is placed on the cooling plate 52 of the PEB, the time t (E−P) for the wafer W is obtained, and the time t (E−P) is determined from a preset time. A program is set up so that the cooling plate 52 is kept on standby for the time obtained by subtracting.
[0038]
The second transport means 31 (31A, 31B) is not operated according to the transport schedule, but is controlled by the transport control unit 75. When the location where the wafer W is placed is referred to as a module (in this example, TRS2, WEE, SBU, CPL2, carry-in stage 37, carry-out stage 38, TRS3, PEB), the transfer control unit 75 transfers the wafer W from the transfer source module. When a signal indicating that the wafer W can be transferred and a signal indicating that the wafer W can be transferred to the transfer destination module are output, for example, the wafer W is transferred from the transfer source module to the transfer destination module in the order of output. The second conveying means 31 (31A, 31B) is controlled to do so.
[0039]
The signal indicating that the wafer W can be unloaded from the transfer source module is output when, for example, the wafer W is cooled (temperature-controlled) for a predetermined time in the CPL 2 and then stored in a memory (not shown) in the control unit 7. This means that, for example, a flag is set for the item corresponding to CPL2. In this case, if the transfer source module is SBU, it is output when the wafer W exists in the SBU. The signal indicating that the wafer W can be loaded into the transfer destination module is output when, for example, a flag is set in an item corresponding to the SBU in the memory when the wafer W does not exist in the CPL2. means.
[0040]
Further, the control unit 7 includes a transfer arm 23 to be controlled, a main transport mechanism 25 (25A, 25B), various processing units 76 such as CPL1, COT, and PAB provided in the shelf units U1 to U5 in the processing block B2, and an interface. The unit B3 is connected to various processing units 77 such as WEE and CPL3 in the shelf units U6 and U7 via respective controllers 81 to 84, and the operation of each unit is based on a command from the control unit 7. It is controlled by each controller 81-84.
[0041]
Here, the first processing unit described in the claims corresponds to a unit group for forming a resist film in the processing block B2, that is, ADH, CPL1, COT, PAB, and the like. The part corresponds to the exposure apparatus B4. The third processing unit corresponds to a unit group for performing development on the exposed wafer W, that is, a processing unit such as PEB, CPL3, DEV, and POST. The preceding module provided in the transfer path between the first intermediate transfer section (TRS2) and the carry-in stage 37 described in the claims corresponds to the CPL2, and the carry-out stage 38 and the second intermediate transfer section. TRS3 corresponds to the rear stage module provided in the transport path between (PEB).
[0042]
Next, the operation of the present embodiment will be described by taking as an example the case where a resist pattern is formed on the wafer W by the above-described apparatus. First, before starting the processing for the wafer W, the operator selects a recipe. When a recipe is selected, the schedule creation unit 73 performs transfer of the front half of all wafers in the lot as shown in FIG. 9, for example, in this example, the carrier placement unit B1 for each of the wafers W1 to W3 in the lot. A transport schedule is created in the range from carrier C to TRS2 placed on the.
[0043]
In FIG. 9, for convenience, three wafers W are sequentially transferred, and each processing unit is described as one. Actually, there are a large number of wafers W and a plurality of processing units such as ADH, CPL, COT, and PAB are provided. In this case, a plurality of processing units of the same type are identified as No. 1, No. 2,. Then, as shown in FIG. 10, each processing unit is provided for the number of the ADH columns as ADH1, ADH2,..., And the number of phases is prepared for the number of phases. However, the description is complicated, and drawing is difficult due to space limitations. Therefore, the description is simplified as shown in FIG.
[0044]
Then, the control unit 7 outputs an instruction to each unit while referring to the transfer schedule, and the processing for the wafer W is started. As shown in FIG. 7, each wafer W in the lot is taken out from the carrier C by the transfer arm 23 in the carrier platform B1 and loaded into the TRS1, and then by the main transfer mechanism 25 (25A, 25B) in the processing block B2. Are subjected to predetermined processing while being conveyed in the order of TRS1, ADH, COT, LHP1, and TRS2. The main transfer mechanism 25 (25A, 25B) includes three arms as described above. For example, a wafer that has already been subjected to a hydrophobic treatment is taken out from the ADH, and then the next wafer received from the TRS 1 is transferred to the ADH. In this way, the wafers W are sequentially sent to the next processing unit.
[0045]
As described with reference to FIG. 7, the wafer W transferred to TRS2 is transferred in the order of WEE, SBU, CPL2, and carry-in stage 37 in the interface unit B3, and is exposed by the exposure apparatus B4. Then, after the exposure processing, it is transported from the transport stage 38 via the TRS 3 to the PEB of the processing block B2, and the operation of the second transport means 31 (31A, 31B) is the first half that has been created as described above. Therefore, the transfer arm 23 and the main transfer mechanism 25 (25A, 25B) operate asynchronously.
[0046]
That is, the second transfer means 31 (31A, 31B) has a signal that the wafer W can be unloaded from the transfer source module and a signal that the wafer W can be loaded into the transfer destination module, as described above. Is output, for example, in order of output, the wafer W is transferred from the transfer source module to the transfer destination module. Therefore, for example, as shown in FIG. 11, an out ready signal (wafer W can be transferred). ) Is output, but even if an in-ready signal (a signal indicating that the wafer W can be loaded) is not output for the loading stage 37 due to circumstances on the exposure apparatus B4 side, the unloading stage 38 is output. If the out-ready signal is output for TRS3 and the in-ready signal is output for TRS3, the auxiliary transport unit 31B Without waiting for the di signal, to transfer the wafer W on the carry-out stage 38 to TRS3. The wafer W placed on the TRS 3 is transferred to the PEB cooling plate 52 (see FIGS. 4 and 5) by the main transfer portion 31A, and is transferred from the cooling plate 52 to the heating plate 6 as described above. When an in-ready signal for the loading stage 37 is generated, the wafer W is transferred from the CPL 2 to the loading stage 37.
[0047]
Here, the pre-heating elapsed time adjustment unit 74 counts, for example, with a counter after the out-ready signal of the unloading stage 38 is output, and counts until the wafer W from the unloading stage 38 is loaded into the cooling plate 52. A value N is obtained, and when the count value is less than a preset time, that is, the set count value NS, the wafer W is kept on the cooling plate 52 until the set count value NS is reached, and becomes the set count value NS. After that, control is performed so as to deliver to the heating plate 6. In other words, when the elapsed time before heating is less than the set time, the wafer W is kept on standby for the difference time.
[0048]
The reason for controlling in this way is as follows. For example, if the time when the out ready signal of the unloading stage 38 is output is time t1, the time from time t1 to time t2 when the wafer W is transferred onto the PEB cooling plate 52 is the shortest time (min) as shown in FIG. From wafer to longest time (max). The shortest time (min) is that when the out-ready signal of the unloading stage 38 is output, the auxiliary transfer unit 31B immediately transfers the wafer W on the unloading stage 38 to the TRS3, and then the main transfer unit 31A immediately TRS3. In this case, the wafer W is transferred to the PEB. On the other hand, the longest time (max) is immediately after the auxiliary transfer unit 31B starts another transfer operation when the out ready signal of the transfer stage 38 is output, and the wafer W is further mounted on the TRS3. This is a case immediately after the main transport unit 31A starts another transport operation when placed. The difference between the shortest time (min) and the longest time (max) is, for example, about 16 seconds.
[0049]
If there is a difference in the transport time as described above, the pre-heating elapsed time t (E−P) varies, which adversely affects development. That is, parameters such as exposure time, exposure amount, heating temperature and heating time in PEB are set in order to obtain a target pattern line width. At that time, the pre-heating elapsed time t (EP) is scheduled to be a certain time. In particular, when the pattern is miniaturized, the length of the elapsed time t (EP) before heating is considered to affect the development result. Therefore, by setting the set count value NS to a value corresponding to the longest time (max), the pre-heating elapsed time t (E−P) is aligned with the value corresponding to the longest time (max) for any wafer W. I am doing so.
[0050]
On the other hand, after the output of the out-ready signal from the carry-out stage 38, the schedule creation unit 73 creates a transfer schedule for the back half after the wafer W is transferred to the PEB in the processing block B2. Note that some of the transport paths shown in FIG. 7 are not shown, and since the PEB start time is unknown, the process start time in the PEB is indicated by a dotted line.
[0051]
As shown in FIG. 13, in this example, the wafer W1 is finished every other phase in the phase 11, the wafer W2 in the phase 12, and the wafer W3 in the phase 13, respectively. A transfer schedule is created by the schedule creation unit 73 so as to be transferred to the next module, and the wafers W are sequentially transferred from the PEB to the carrier C of the carrier mounting unit B1 along the schedule.
[0052]
Meanwhile, the main transport mechanism 25B in the processing block B2 is not synchronized with the main transport unit 31A in the interface unit B3, and the main transport mechanism 25B transports the latter half in parallel regardless of the progress of the transport schedule of the first half. Schedule can be done. For example, after the n-th wafer Wn of the lot is transferred to TRS2 in the forward path, even if the processing in the interface unit B3 and the exposure apparatus B4 for the wafer Wn is not completed, If the n-3) th wafer Wn-3 is unloaded from the interface unit B3 and placed in the PEB, the wafer Wn-3 is transferred along the return transfer schedule.
[0053]
As described above, according to the present embodiment, the transfer arm 23 and the main transfer mechanism 25 (in the carrier mounting unit B1 and the processing block B2 are configured so that the wafers W of the lot are sequentially transferred according to the transfer schedule. 25A, 25B), and in the interface part B3, the main transport part 31A and the auxiliary transport part 31B are provided as the second transport means 31, and the second transport means 31 does not follow the transport schedule. The operation is performed when a signal indicating that the wafer W can be unloaded from the transfer source module and a signal indicating that the wafer W can be loaded from the transfer destination module are prepared. Therefore, the wafer W can be transferred in response to the in-ready signal and the out-ready signal from the exposure apparatus B4. For example, the output of the in-ready signal is delayed from the exposure apparatus B4 due to circumstances on the side of the exposure apparatus B4. Further, if an in-ready signal is output thereafter, the temperature-controlled wafer W can be loaded into the loading stage 37.
[0054]
On the other hand, when the second transfer means 31 is scheduled to be transferred, as described in the item “Problem to be Solved by the Invention”, when the in-ready signal is not output, the apparatus waits for a predetermined time, and therefore the wafer W from the exposure apparatus B4. And the second transfer means 31 waits for the end of the transfer operation even if an in-ready signal is output during transfer of the wafer W, so that the transfer of the wafer W is delayed and the throughput of the exposure apparatus B4 decreases. To do. On the other hand, in the above-described embodiment, since it reacts quickly to the in-ready signal and the out-ready signal from the exposure apparatus B4, it is possible to prevent the throughput of the exposure apparatus B4 from being lowered, and as a result, the throughput of the entire pattern forming apparatus. Can be improved.
[0055]
Furthermore, after the out ready signal is output, the maximum time of the pre-heating elapsed time t (E−P) from when the wafer W on the unloading stage 38 is transferred to the PEB and heating is started is determined in advance. Since the pre-heating elapsed time t (E−P) of all wafers is adjusted to be uniform, the circuit pattern line width varies when the chemically amplified resist solution is used as described above. And the yield of products is improved.
[0056]
In the above, the second transfer means 31 of the interface unit 1C may be a single transfer unit without being divided into the main transfer unit 31A and the auxiliary transfer unit 31B. In this case, when the transfer operation of the wafer W is finished, There are two or more sets (transfer source module and transfer destination module) in which a signal indicating that the wafer W can be unloaded from the transfer source module and a signal indicating that the wafer W can be transferred to the transfer destination module. When one of the in-ready signal of the loading stage 37 and the out-ready signal of the unloading stage 38 is included in the set of signals, the wafer W is loaded into the loading stage 37 or the wafer from the unloading stage 38. You may make it carry out W preferentially. In this case, it may be transported according to the in ready signal of the carry-in stage 37 and the out-ready signal of the carry-out stage 38 which is output earlier, or react preferentially to the in-ready signal of the carry-in stage 37. Also good. Specifically, for example, when the transfer unit is transferring the wafer from WEE to SBU, the TRS2 out-ready signal and the WEE in-ready signal are aligned, and the CPL2 out-ready signal and the carry-in stage 3 7-ready signal When they are aligned, they are preferentially transported from the CPL2 to the carry-in stage 37.
[0057]
【The invention's effect】
As described above, according to the present invention, the processing in the first processing unit and the third processing unit is performed on the substrate processed in the order of the first processing unit, the second processing unit, and the third processing unit. In the substrate processing apparatus to be performed, throughput can be improved.
[Brief description of the drawings]
FIG. 1 is a plan view showing an embodiment of a substrate processing apparatus according to the present invention.
FIG. 2 is a perspective view showing the substrate processing apparatus.
FIG. 3 is a side view showing a structure of a shelf unit in the substrate processing apparatus.
FIG. 4 is a plan view showing an example of a bake unit (CHHP) forming one stage of the shelf unit.
FIG. 5 is a longitudinal sectional view showing the bake unit.
FIG. 6 is a schematic perspective view showing an interface unit in the substrate processing apparatus.
FIG. 7 is a plan view showing a wafer transfer path in the substrate processing apparatus.
FIG. 8 is a configuration diagram illustrating an example of a function of a control unit of the substrate processing apparatus.
FIG. 9 is an explanatory diagram showing an example of a transport schedule for the first half created by the control unit.
FIG. 10 is an explanatory diagram showing an example of a transport schedule for the first half created by the control unit.
FIG. 11 is an explanatory diagram in which a transport operation by a second transport unit is associated with a module state.
FIG. 12 is an explanatory diagram illustrating a wafer transport time adjustment process after the exposure process.
FIG. 13 is an explanatory diagram illustrating an example of a transport schedule for the latter half created by the control unit;
FIG. 14 is a plan view showing a conventional substrate processing apparatus.
FIG. 15 is a plan view showing a wafer transfer path in the substrate processing apparatus.
FIG. 16 is an explanatory diagram showing a wafer transfer schedule in the substrate processing apparatus.
FIG. 17 is an explanatory diagram for explaining a problem in the substrate processing apparatus.
[Explanation of symbols]
W Semiconductor wafer
C career
B1 Carrier placement section
B2 processing block
B3 interface part
B4 exposure equipment
23 Transfer Arm
25 (25A, 25B) Main transport mechanism
31 (31A, 31B) Second transport means (main transport section, auxiliary transport section)
37 Loading stage
38 Unloading stage
5 Cooling arm
6 Heating plate
7 Control unit
73 Schedule Creation Department
75 Elapsed time adjustment section before heating

Claims (3)

第1の処理部、第2の処理部、第3の処理部の順に処理される基板に対し、第1の処理部及び第3の処理部における処理を行う基板処理装置において、
前記基板に対してレジスト液を塗布してレジスト膜を形成する一連の処理を行う複数の処理ユニットを備えた第1の処理部と、
第2の処理部にて露光された基板に対して一連の処理を行い、現像を行う複数の処理ユニットを備えた第3の処理部と、
前記第1の処理部及び第3の処理部と第2の処理部との間に介在するインターフェイス部と、
前記第1の処理部から基板をインターフェイス部に受け渡すための第1の中間受け渡し部と、
前記インターフェイス部から基板を第3の処理部に受け渡すための第2の中間受け渡し部の役割を有し、露光済みの基板に対し現像処理前に加熱処理を施す、加熱プレートを含んだ加熱装置と、
複数の基板を収納したキャリアが載置されるキャリア載置部と、
キャリア載置部に載置されたキャリアから基板を受け取って、第1の処理部の各処理ユニット、第1の中間受け渡し部の順に基板を搬送し、更に第2の処理部にて処理された基板を前記加熱装置から受け取って、第3の処理部の各処理ユニット、キャリア載置部に載置されたキャリアの順に搬送すると共に、基板が置かれる個所をモジュールと呼ぶとすると、搬送スケジュールに基づいて各モジュールに置かれた基板を一つ順番が後のモジュールに移るように順次搬送を行う第1の搬送手段と、
前記第1の処理部で処理された基板を前記第1の中間受け渡し部から受け取って、第2の処理部に基板を搬入するための搬入ステージに搬送し、更に第2の処理部にて処理された基板を、第2の処理部にて処理された基板を搬出するための搬出ステージから受け取って前記加熱装置に搬送する第2の搬送手段と、を備え、
前記第2の搬送手段は、基板が置かれる個所をモジュールと呼ぶとすると、搬送元モジュールから基板の搬出が可能である旨の信号と搬送先モジュールに基板の搬入が可能である旨の信号とが出力されたときに、搬送元モジュールから基板を搬送先モジュールに搬出することにより、前記第1の搬送手段とは非同期に動作し、
基板がキャリア載置部から第1の中間受け渡し部に搬送されるまでの搬送スケジュールについては当該搬送の開始前に予め作成されており、
基板が前記加熱装置からキャリア載置部に搬送されるまでの搬送スケジュールについては、第2の処理部から基板の搬出が可能である旨の信号が出力された後に作成され、
前記加熱装置は、第1の搬送手段及び第2の搬送手段と加熱プレートとの間で露光後の基板の受け渡しを行う受け渡し機構を備え、
第2の処理部から露光後の基板の搬出が可能である旨の信号が出力されてから加熱装置にて当該基板の加熱を開始するまでの時間が、各基板の間で揃うように、前記受け渡し機構にて加熱プレートへの基板の受け渡し前の待機時間を制御する制御部を含むことを特徴とする基板搬送装置。
In a substrate processing apparatus that performs processing in a first processing unit and a third processing unit on a substrate processed in the order of a first processing unit, a second processing unit, and a third processing unit,
A first processing unit including a plurality of processing units for performing a series of processes for applying a resist solution to the substrate to form a resist film ;
A third processing unit including a plurality of processing units that perform a series of processing on the substrate exposed in the second processing unit and perform development ;
An interface unit interposed between the first processing unit and the third processing unit and the second processing unit;
A first intermediate transfer section for transferring the substrate from the first processing section to the interface section;
A heating apparatus including a heating plate that serves as a second intermediate transfer unit for transferring a substrate from the interface unit to a third processing unit, and heat-treats the exposed substrate before development processing When,
A carrier placement section on which a carrier containing a plurality of substrates is placed;
The substrate was received from the carrier placed on the carrier placement unit, and the substrate was transported in the order of each processing unit of the first processing unit and the first intermediate transfer unit, and further processed by the second processing unit. When the substrate is received from the heating device and transported in the order of each processing unit of the third processing unit and the carrier placed on the carrier placing unit, and the place where the substrate is placed is called a module, First transport means for sequentially transporting the substrates placed on each module based on the order so as to move to the subsequent module;
The substrate processed by the first processing unit is received from the first intermediate transfer unit, transferred to a loading stage for loading the substrate into the second processing unit, and further processed by the second processing unit. A second transfer means for receiving the transferred substrate from the unloading stage for unloading the substrate processed in the second processing unit and transferring the substrate to the heating device ;
Assuming that the place where the substrate is placed is called a module, the second transfer means has a signal that the substrate can be taken out from the transfer source module and a signal that the substrate can be carried into the transfer destination module. Is output asynchronously with the first transfer means by unloading the substrate from the transfer source module to the transfer destination module,
The transport schedule until the substrate is transported from the carrier placement unit to the first intermediate transfer unit is created in advance before the start of the transport,
The transport schedule until the substrate is transported from the heating device to the carrier mounting unit is created after a signal indicating that the substrate can be unloaded from the second processing unit is output,
The heating apparatus includes a delivery mechanism for delivering a substrate after exposure between the first transport unit and the second transport unit and the heating plate,
The time from when the signal indicating that the exposed substrate can be unloaded from the second processing unit is output until the heating of the substrate is started by the heating device is uniform among the substrates. A substrate transfer apparatus comprising: a control unit that controls a waiting time before the substrate is transferred to the heating plate by the transfer mechanism.
第1の中間受け渡し部と搬入ステージとの間の搬送経路には基板が置かれる少なくとも一つの前段モジュールが存在し、また搬出ステージと第2の中間受け渡し部との間の搬送経路には基板が置かれる少なくとも一つの後段モジュールが存在し、
第2の搬送手段は、第1の中間受け渡し部から基板を前段モジュールに搬送すると共に後段モジュールから基板を第2の中間受け渡し部に搬送する主搬送部と、前段モジュールから基板を搬入ステージに搬送すると共に搬出ステージから基板を後段モジュールに搬送する補助搬送部と、を備えたことを特徴とする請求項1記載の基板処理装置。
There is at least one preceding module on which the substrate is placed in the transfer path between the first intermediate transfer unit and the carry-in stage, and the substrate is in the transfer path between the carry-out stage and the second intermediate transfer unit. There is at least one latter module placed,
The second transport means transports the substrate from the first intermediate transfer unit to the previous module and transports the substrate from the rear module to the second intermediate transfer unit, and transports the substrate from the previous module to the carry-in stage. The substrate processing apparatus according to claim 1 , further comprising: an auxiliary transport unit that transports the substrate from the carry-out stage to a subsequent module.
前記受け渡し機構は、基板を冷却する冷却プレートであることを特徴とする請求項1ま  2. The transfer mechanism according to claim 1, wherein the transfer mechanism is a cooling plate for cooling the substrate. たは2記載の基板処理装置。Or the substrate processing apparatus of 2.
JP2002313136A 2002-10-28 2002-10-28 Substrate processing equipment Expired - Fee Related JP4018965B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002313136A JP4018965B2 (en) 2002-10-28 2002-10-28 Substrate processing equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002313136A JP4018965B2 (en) 2002-10-28 2002-10-28 Substrate processing equipment

Publications (2)

Publication Number Publication Date
JP2004152801A JP2004152801A (en) 2004-05-27
JP4018965B2 true JP4018965B2 (en) 2007-12-05

Family

ID=32457835

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002313136A Expired - Fee Related JP4018965B2 (en) 2002-10-28 2002-10-28 Substrate processing equipment

Country Status (1)

Country Link
JP (1) JP4018965B2 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5008268B2 (en) * 2004-12-06 2012-08-22 株式会社Sokudo Substrate processing apparatus and substrate processing method
JP4549959B2 (en) 2005-09-14 2010-09-22 大日本スクリーン製造株式会社 Substrate processing equipment
JP5006122B2 (en) 2007-06-29 2012-08-22 株式会社Sokudo Substrate processing equipment
JP5128918B2 (en) 2007-11-30 2013-01-23 株式会社Sokudo Substrate processing equipment
JP5179170B2 (en) 2007-12-28 2013-04-10 株式会社Sokudo Substrate processing equipment
JP5001828B2 (en) 2007-12-28 2012-08-15 株式会社Sokudo Substrate processing equipment
JP5629675B2 (en) * 2011-12-05 2014-11-26 株式会社Screenセミコンダクターソリューションズ Substrate processing equipment
JP5456804B2 (en) * 2012-02-06 2014-04-02 株式会社日立ハイテクノロジーズ Transport container
JP5893705B2 (en) * 2014-10-08 2016-03-23 株式会社Screenセミコンダクターソリューションズ Substrate processing equipment
JP6773497B2 (en) * 2016-09-20 2020-10-21 株式会社Screenホールディングス Board processing management device, board processing management method and board processing management program
CN111489986B (en) * 2019-01-28 2024-03-22 东京毅力科创株式会社 Substrate processing apparatus and substrate processing method

Also Published As

Publication number Publication date
JP2004152801A (en) 2004-05-27

Similar Documents

Publication Publication Date Title
JP4087328B2 (en) Coating and developing apparatus and operating method of coating and developing apparatus
KR100935291B1 (en) Wafer processing system and coating/developing apparatus
JP4414909B2 (en) Coating and developing equipment
JP4356936B2 (en) Coating and developing apparatus and method thereof
JP4654120B2 (en) Coating, developing apparatus, coating, developing method, and computer program
JP4541966B2 (en) Coating processing method, coating processing apparatus, and computer program
JPH07297258A (en) Carrying equipment of plate body
JP2007288029A (en) Substrate carrying and processing apparatus
WO2005069365A1 (en) Substrate processing apparatus and substrate processing method
JP2009010287A (en) Processing system for substrate
JP2003324059A (en) Substrate processing method
JP4018965B2 (en) Substrate processing equipment
JP4233908B2 (en) Substrate processing system
JP2006344658A (en) System and method for processing substrate
JP2009021275A (en) Substrate treating equipment
JP2008130857A (en) Application/development apparatus, substrate transfer method, and computer program
JP2005294460A (en) Coating and developing device
WO2005101485A1 (en) Substrate processing equipment and substrate processing method
JP4957426B2 (en) Coating, developing device, coating, developing device operating method, and storage medium
JPH04113612A (en) Substrate transfer method
JP3593496B2 (en) Coating and development processing equipment
JP4894674B2 (en) Coating, developing device, coating, developing method, and storage medium
JPH07171478A (en) Substrate treating device
JP3957445B2 (en) Substrate processing apparatus and substrate processing method
JP4606159B2 (en) Substrate processing apparatus, substrate processing method, computer program, and storage medium

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040915

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060626

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070904

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070921

R150 Certificate of patent or registration of utility model

Ref document number: 4018965

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100928

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130928

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees