JP3501280B2 - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device

Info

Publication number
JP3501280B2
JP3501280B2 JP23952899A JP23952899A JP3501280B2 JP 3501280 B2 JP3501280 B2 JP 3501280B2 JP 23952899 A JP23952899 A JP 23952899A JP 23952899 A JP23952899 A JP 23952899A JP 3501280 B2 JP3501280 B2 JP 3501280B2
Authority
JP
Japan
Prior art keywords
opening
insulating film
film
forming
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP23952899A
Other languages
Japanese (ja)
Other versions
JP2000150519A (en
Inventor
寛 工藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP23952899A priority Critical patent/JP3501280B2/en
Publication of JP2000150519A publication Critical patent/JP2000150519A/en
Application granted granted Critical
Publication of JP3501280B2 publication Critical patent/JP3501280B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置の製造
方法に関し、より詳しくは、デュアルダマシン法により
多層配線構造の配線層及びヴィアを形成する工程を含む
半導体装置の製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor device including a step of forming a wiring layer and a via of a multi-layer wiring structure by a dual damascene method.

【0002】[0002]

【従来の技術】近年、半導体装置の微細化に伴って配線
の幅が狭くなり、しかも配線同士の間隔が狭くなってき
ている。このため、配線抵抗が増加するとともに配線に
よる寄生容量が増加し、これが信号速度を遅延させるこ
とになり、スケーリング則に沿った半導体装置の高速化
を妨げることになる。
2. Description of the Related Art In recent years, with the miniaturization of semiconductor devices, the width of wiring has become narrower and the spacing between wirings has become narrower. Therefore, the wiring resistance increases and the parasitic capacitance due to the wiring increases, which delays the signal speed, which hinders the speeding up of the semiconductor device according to the scaling law.

【0003】このような状況から、配線間の寄生容量と
配線抵抗を減少させるために、多層配線の形成方法と絶
縁材料および金属配線材料の見直しが必要となってい
る。配線容量を小さくするには誘電率の小さい絶縁材料
が有効であり、また、金属配線の材料の選択について
も、配線抵抗を小さくするために、アルミニウム(Al)
から抵抗率の低い銅(Cu)へと移行している。
Under these circumstances, in order to reduce the parasitic capacitance between wirings and the wiring resistance, it is necessary to review the method of forming multilayer wirings and the insulating material and metal wiring material. An insulating material with a low dielectric constant is effective for reducing the wiring capacitance, and aluminum (Al) is also used to reduce the wiring resistance when selecting the material for the metal wiring.
To copper (Cu), which has a low resistivity.

【0004】銅膜の加工は、従来のドライエッチングを
適用することが困難であることからダマシン法が用いら
れる。ダマシン法を大別するとシングルダマシン法とデ
ュアルダマシン法がある。シングルダマシン法は、下側
配線と上側配線の間を結ぶプラグ(ヴィア(via))の形
成と配線の形成とを別々な工程とする方法であり、デュ
アルダマシン法は、配線とプラグを同時に形成する方法
である。
The damascene method is used for processing the copper film because it is difficult to apply conventional dry etching. The damascene method is roughly classified into a single damascene method and a dual damascene method. The single damascene method is a method of forming a plug (via) connecting between the lower wiring and the upper wiring and forming the wiring in separate steps, and the dual damascene method forms the wiring and the plug at the same time. Is the way to do it.

【0005】半導体装置の配線層は微細化にともなって
多層化が進んでおり、例えば、配線幅0.18μm世代
の半導体装置では配線数が6層におよぶ。この場合、シ
ングルダマシン法では、例えば類似した工程を12回
(配線形成6回とプラグ形成6回)繰り返して形成され
るのに対して、そのような構造を得るためにデュアルダ
マシン法では、類似した工程を6回繰り返すだけで済
む。
The wiring layers of semiconductor devices have become multi-layered with the miniaturization. For example, the number of wirings reaches 6 in a semiconductor device having a wiring width of 0.18 μm. In this case, in the single damascene method, for example, similar steps are repeated 12 times (wiring formation 6 times and plug formation 6 times), whereas in the dual damascene method to obtain such a structure, All you have to do is repeat the above steps 6 times.

【0006】デュアルダマシン法がシングルダマシン法
の半分の工程数ですむのは、上述したように配線とプラ
グを同時に形成できるためである。したがって、生産コ
ストを抑え、生産効率を高めるためには、デュアルダマ
シン法が有利である。さらに、デュアルダマシン法は、
下層の配線とこれに接続されるプラグとのコンタクト抵
抗が低いために、それらの接触不良を回避することが容
易であり、配線の信頼性がより高まる。
The dual damascene method requires half the number of steps as compared with the single damascene method because the wiring and the plug can be simultaneously formed as described above. Therefore, the dual damascene method is advantageous for suppressing the production cost and increasing the production efficiency. In addition, the dual damascene method
Since the contact resistance between the lower layer wiring and the plug connected thereto is low, it is easy to avoid such contact failure, and the reliability of the wiring is further enhanced.

【0007】デュアルダマシン法については、低誘電率
絶縁膜を有する層間絶縁膜に適用する記載が例えば特開
平9-55429 号公報、特開平10-112503 号公報に存在す
る。まず、特開平9-55429 号公報に示されたデュアルダ
マシン法による銅プラグ及び銅配線の形成工程は図1
(a) 〜図1(d) に示すようになっている。まず、図1
(a) に示すように、シリコン基板1の上に第1の酸化シ
リコン膜2、有機低誘電率膜3、第2の酸化シリコン膜
4を順に形成する。この場合、有機低誘電率膜の材料と
してポリテトラフルオロエチレンのようなフッ素含有樹
脂を使用している。ついで、第2の酸化シリコン膜4を
パターニングして配線形状を有する開口4aを形成す
る。次に、図1(b) に示すように、第2の酸化シリコン
膜4と開口4aの上にレジストを形成し、これを露光、
現像して開口4aの一部の上にプラグ用窓5aを形成
し、これをレジストパターン5として使用する。そし
て、図1(c) に示すように、レジストパターン5のプラ
グ用窓5aを通して有機低誘電率膜3、第1の酸化シリ
コン膜2を順にエッチングしてヴィアホール6を形成す
る。さらに、図1(d) に示すように、第2の酸化シリコ
ン膜4の開口4aを通して有機低誘電率膜3を酸素プラ
ズマによって選択的にエッチングして配線溝7を形成す
る。その後、特に図示しないが、ヴィアホール6と配線
溝7の中に銅を埋め込み、プラグと配線を同時に形成す
る。
Regarding the dual damascene method, there is a description that it is applied to an interlayer insulating film having a low dielectric constant insulating film, for example, in Japanese Patent Laid-Open Nos. 9-55429 and 10-112503. First, the process of forming a copper plug and a copper wiring by the dual damascene method disclosed in Japanese Patent Laid-Open No. 9-55429 is shown in FIG.
It is as shown in FIGS. 1 (a) to 1 (d). First, Fig. 1
As shown in (a), a first silicon oxide film 2, an organic low dielectric constant film 3, and a second silicon oxide film 4 are sequentially formed on a silicon substrate 1. In this case, a fluorine-containing resin such as polytetrafluoroethylene is used as the material of the organic low dielectric constant film. Then, the second silicon oxide film 4 is patterned to form an opening 4a having a wiring shape. Next, as shown in FIG. 1B, a resist is formed on the second silicon oxide film 4 and the opening 4a, and this is exposed to light,
After development, a plug window 5a is formed on a part of the opening 4a, and this is used as a resist pattern 5. Then, as shown in FIG. 1C, the organic low dielectric constant film 3 and the first silicon oxide film 2 are sequentially etched through the plug window 5a of the resist pattern 5 to form a via hole 6. Further, as shown in FIG. 1D, the organic low dielectric constant film 3 is selectively etched by oxygen plasma through the opening 4a of the second silicon oxide film 4 to form a wiring groove 7. Then, although not shown in the drawing, copper is embedded in the via hole 6 and the wiring groove 7 to simultaneously form a plug and a wiring.

【0008】次に、特開平10-112503 号公報に示された
デュアルダマシン法による銅プラグ及び銅配線の形成工
程は図2(a) 〜図2(c) に示すようになっている。ま
ず、図2(a) に示すように、半導体基板11上のシリコ
ン酸化膜12に配線溝を形成し、その中に下側配線13
を埋め込む。ついで、シリコン酸化膜12及び下側配線
13上に低誘電率樹脂膜14と低感度の第1のフォトレ
ジスト膜15を順に形成し、続いて第1のレジスト膜1
5を露光してホール用潜像15aを形成する。さらに、
第1のフォトレジスト膜15の上に高感度の第2のフォ
トレジスト膜16を塗布し、これを露光して配線用潜像
16aを形成する。その配線用潜像16aの一部はホー
ル用潜像15aに重なるように形成される。そして、図
2(b) に示すように、第1のフォトレジスト膜15と第
2のフォトレジスト膜16を連続して現像することによ
り、配線用潜像16aを除去して配線用窓16bを形成
するとともに、ホール用潜像15aを除去してホール用
窓15bを形成する。その後に、図2(c) に示すよう
に、第1及び第2のレジスト15,16と低誘電率樹脂
膜14を上から順次エッチングして第1及び第2のレジ
スト15,16の形状を低誘電率樹脂膜14に転写する
と、低誘電率樹脂膜14には縦接続孔17と配線溝18
が形成されることになる。その縦接続孔17内と配線溝
18内には同時に銅(不図示)が同時に埋め込まれ、そ
の銅は縦接続孔17内ではプラグとして使用され、配線
溝18内では配線として使用される。
Next, the steps of forming the copper plug and the copper wiring by the dual damascene method shown in Japanese Patent Laid-Open No. 10-112503 are as shown in FIGS. 2 (a) to 2 (c). First, as shown in FIG. 2A, a wiring groove is formed in the silicon oxide film 12 on the semiconductor substrate 11, and the lower wiring 13 is formed in the wiring groove.
Embed. Then, a low dielectric constant resin film 14 and a low-sensitivity first photoresist film 15 are sequentially formed on the silicon oxide film 12 and the lower wiring 13, and then the first resist film 1 is formed.
5 is exposed to form a latent image 15a for holes. further,
A highly sensitive second photoresist film 16 is applied on the first photoresist film 15 and exposed to form a latent image 16a for wiring. A part of the wiring latent image 16a is formed so as to overlap the hole latent image 15a. Then, as shown in FIG. 2B, the latent image for wiring 16a is removed by continuously developing the first photoresist film 15 and the second photoresist film 16 to form the wiring window 16b. Along with the formation, the latent image 15a for holes is removed to form the window 15b for holes. After that, as shown in FIG. 2 (c), the first and second resists 15 and 16 and the low dielectric constant resin film 14 are sequentially etched from above to form the shapes of the first and second resists 15 and 16. When transferred to the low dielectric constant resin film 14, the vertical connection hole 17 and the wiring groove 18 are formed in the low dielectric constant resin film 14.
Will be formed. Copper (not shown) is simultaneously embedded in the vertical connection hole 17 and the wiring groove 18, and the copper is used as a plug in the vertical connection hole 17 and as a wiring in the wiring groove 18.

【0009】[0009]

【発明が解決しようとする課題】ところで、図1(a) に
示した工程において、有機低誘電率膜3の材料に炭化水
素系樹脂を用いる場合には、第2の酸化シリコン膜4の
パターニングに使用したフォトレジスト8を酸素プラズ
マにより除去する際に、その下の有機低誘電率膜3が酸
素プラズマにより配線形状にエッチングされてしまうの
で、その下の第1の酸化シリコン膜4に形成するヴィア
ホールのパターン精度が低下してしまう。これは、炭化
水素を含む低誘電率有機材料は、化学的な性質がフォト
レジスト8と似ているため、フォトレジスト8のみを選
択的に除去することができないからである。
By the way, in the step shown in FIG. 1A, when a hydrocarbon resin is used as the material of the organic low dielectric constant film 3, the patterning of the second silicon oxide film 4 is performed. When the photoresist 8 used for the above is removed by oxygen plasma, the organic low dielectric constant film 3 thereunder is etched into a wiring shape by oxygen plasma. Therefore, it is formed on the first silicon oxide film 4 thereunder. The pattern accuracy of the via hole is reduced. This is because the low dielectric constant organic material containing hydrocarbon has a chemical property similar to that of the photoresist 8, and therefore only the photoresist 8 cannot be selectively removed.

【0010】なお、有機低誘電率膜として炭化水素系樹
脂を使用するのは、酸化シリコン膜に対する密着性がフ
ッ素系樹脂よりも良好だからである。また、図2(a) 〜
(c) に示した工程においては、低誘電率樹脂膜14、第
1及び第2のレジスト15,16の3種類の異なる樹脂
材料を同じ速度でエッチングしなければならない。しか
し、それらの樹脂材料のエッチング速度は、配線溝18
の幅や縦接続孔17の径に依存して相違するために、同
層内に形状や幅の異なる配線溝、又は径の異なる縦接続
孔を形成しようとする場合には、各々の層に対して設計
通りの寸法になるように制御しながらエッチングするこ
とは難しい。
The reason why the hydrocarbon type resin is used as the organic low dielectric constant film is that the adhesion to the silicon oxide film is better than that of the fluorine type resin. Also, FIG. 2 (a)-
In the step shown in (c), three different types of resin materials, that is, the low dielectric constant resin film 14, the first and second resists 15 and 16 must be etched at the same rate. However, the etching rate of these resin materials is
However, since wiring grooves having different shapes and widths or vertical connection holes having different diameters are to be formed in the same layer, they are different in each layer. On the other hand, it is difficult to control the etching so that the dimensions are as designed.

【0011】本発明の目的は、炭化水素系樹脂を低誘電
率膜として用いる場合であっても精度良く配線溝とホー
ルを形成することができる層間絶縁膜のパターニング工
程を有する半導体装置の製造方法を提供することにあ
る。
An object of the present invention is to provide a method for manufacturing a semiconductor device having a step of patterning an interlayer insulating film capable of accurately forming wiring trenches and holes even when a hydrocarbon resin is used as a low dielectric constant film. To provide.

【0012】[0012]

【課題を解決するための手段】(1)上記した課題は、
図3〜図8に例示するように、半導体基板上に、第三の
絶縁膜、第一の絶縁膜、第一の有機絶縁膜、第二の絶縁
膜、金属膜を順に形成する工程と、前記金属膜を部分的
にエッチングして配線パターン形状をもつ第一の開口を
形成する工程と、前記第二の絶縁膜のうち前記第一の開
口の一部に重なる部分をエッチングしてヴィアパターン
形状をもつ第二の開口を形成する工程と、前記第二の絶
縁膜をマスクに使用して、前記第二の開口を通して前記
第一の有機絶縁膜をエッチングして前記ヴィアパターン
形状をもつ第三の開口を前記第一の有機絶縁膜に形成す
る工程と、前記金属膜の前記第一の開口を通して前記第
二の絶縁膜をエッチングすることにより、前記配線パタ
ーン形状を有する第四の開口を前記第二の絶縁膜に形成
すると同時に、前記第一の有機絶縁膜の前記第三の開口
を通して前記第一の絶縁膜をエッチングすることにより
前記ヴィアパターン形状を持つ第五の開口を前記第二の
絶縁膜に形成して、該第五の開口をヴィアホールの一部
とする工程と、前記第二の絶縁膜の前記第四の開口を通
して前記第一の有機絶縁膜をエッチングして前記配線パ
ターン形状をもつ第六の開口を前記第一の有機絶縁膜に
形成し、該第六の開口と前記第四の開口を配線溝として
適用する工程と、前記第六の開口を通して前記第三の絶
縁膜をエッチングすることにより第七の開口を形成して
前記ヴィアホールの一部とする工程とを有する半導体装
置の製造方法であって、前記第一の絶縁膜と前記第三の
絶縁膜の間に第二の有機絶縁膜を形成する工程をさらに
有し、前記第二の有機絶縁膜には、前記第一の有機絶縁
膜に前記第六の開口を形成すると同時に、前記第一の絶
縁膜の前記第五の開口を通してエッチングされて第八の
開口が形成されて、前記第八の開口は前記第五の開口と
前記第七の開口とともに前記ヴィアホールとして適用す
る工程と、前記ヴィアホールと前記配線溝に同時に導電
体を埋め込むことにより、前記ヴィアホール内にヴィア
を形成するとともに前記配線溝内に配線を形成する工程
と、前記金属膜を除去する工程とを有することを特徴と
するによって解決される。
[Means for Solving the Problems] (1) The above problems are
As illustrated in FIGS. 3 to 8, a third substrate is formed on the semiconductor substrate .
Insulating film, the first insulating film, the first organic insulating film, the second insulating film, forming a metal film in this order, the first opening having a wiring pattern of the metal film is partially etched Forming a second opening having a via pattern shape by etching a portion of the second insulating film that overlaps a part of the first opening, and forming the second insulating film. Using as a mask to etch the first organic insulating film through the second opening to form a third opening having the via pattern shape in the first organic insulating film; By etching the second insulating film through the first opening of the film, a fourth opening having the wiring pattern shape is formed in the second insulating film, and at the same time, the fourth organic insulating film is formed. The first through the third opening Forming a fifth opening with the via pattern by etching the insulating film on the second insulating film, a portion of the via hole openings said fifth
And a step of etching the first organic insulating film through the fourth opening of the second insulating film to form a sixth opening having the wiring pattern shape in the first organic insulating film. A step of applying the sixth opening and the fourth opening as a wiring groove, and the step of applying the third insulating layer through the sixth opening.
Form the seventh opening by etching the edge film
Semiconductor device having a step of forming a part of the via hole
And a third insulating film and the third insulating film.
The step of forming a second organic insulating film between the insulating films is further performed.
The second organic insulating film has the first organic insulating film.
At the same time as forming the sixth opening in the membrane, the first insulation
The eighth layer is etched through the fifth opening in the border film.
An opening is formed, and the eighth opening is the fifth opening.
It is applied as the via hole together with the seventh opening.
A step of forming a via in the via hole and a wiring in the wiring groove by simultaneously embedding a conductor in the via hole and the wiring groove; and a step of removing the metal film. It is solved by having a.

【0013】[0013]

【0014】次に、本発明の作用について説明する。本
発明によれば、基板の上方に第一の絶縁膜、有機絶縁
膜、第二の絶縁膜及び金属膜を順に形成した後に、フォ
トリソグラフィー法により金属膜に配線パターン形状の
開口を形成し、さらにフォトリソグラフィー法により第
二の絶縁膜にヴィアパターン形状の開口を形成し、その
後に、第二の絶縁膜をマスクに使用して有機膜をエッチ
ングし、さらに金属膜と有機膜をマスクにして第二の絶
縁膜と第一の絶縁膜を同時にエッチングし、ついで、第
二の絶縁膜をマスクにして有機絶縁膜をエッチングし
て、この段階で有機絶縁膜と第二の絶縁膜に配線溝を形
成して第一の絶縁膜にヴィアホールを形成するようにし
ている。
Next, the operation of the present invention will be described. According to the present invention, a first insulating film, an organic insulating film, a second insulating film and a metal film are sequentially formed above a substrate, and then a wiring pattern-shaped opening is formed in the metal film by photolithography. Further, a via pattern-shaped opening is formed in the second insulating film by a photolithography method, and then the organic film is etched using the second insulating film as a mask, and the metal film and the organic film are used as a mask. The second insulating film and the first insulating film are etched at the same time, and then the organic insulating film is etched using the second insulating film as a mask. At this stage, wiring grooves are formed in the organic insulating film and the second insulating film. To form a via hole in the first insulating film.

【0015】したがって、金属膜に開口を形成する際に
使用したレジストを除去する際には有機絶縁膜を第二の
絶縁膜によって保護することができるので、レジスト除
去用のエッチャントによって有機絶縁膜がエッチングさ
れることがない。また、第二の絶縁膜をマスクに使用し
てその下の有機絶縁膜をエッチングする際には、第二の
絶縁膜上に存在するレジストが有機絶縁膜のエッチング
と同時に除去されることになる。これにより、そのレジ
ストを単独で除去する必要はなくなるし、レジストを除
去する際にその下方に露出している有機絶縁膜に悪影響
を与えることはない。これにより、有機絶縁膜を構成す
る材料として、フッ素含有樹脂のみならず炭素水素系樹
脂を適用して高精度で配線溝やヴィアを形成することが
できる。
Therefore, since the organic insulating film can be protected by the second insulating film when the resist used for forming the opening in the metal film is removed, the organic insulating film is removed by the resist removing etchant. It will not be etched. Further, when the second insulating film is used as a mask to etch the organic insulating film thereunder, the resist existing on the second insulating film is removed simultaneously with the etching of the organic insulating film. . This eliminates the need to remove the resist alone, and does not adversely affect the organic insulating film exposed below when removing the resist. As a result, not only the fluorine-containing resin but also the carbon-hydrogen based resin can be applied as the material forming the organic insulating film to form the wiring groove and the via with high accuracy.

【0016】 しかも、第一、第二の絶縁膜、有機絶縁
膜を順次最適な条件でエッチングしているので、ヴィア
ホール又は配線溝を高精度でそれらの膜に形成すること
ができる。 (2)上記した課題は、図9〜図11に例示するよう
に、半導体基板上に、第三の絶縁膜、第一の絶縁膜、第
一の有機絶縁膜及び第二の絶縁膜を順に形成する工程
と、前記第二の絶縁膜を部分的にエッチングしてヴィア
パターン形状をもつ第一の開口を形成する工程と、前記
第二の絶縁膜の前記第一の開口を通して前記第一の有機
絶縁膜をエッチングして、前記ヴィアパターン形状をも
つ第二の開口を前記第一の有機絶縁膜に形成する工程
と、前記第二の絶縁膜のうち前記第一の開口を含む領域
をエッチングして配線パターン形状をもつ第三の開口を
形成するとともに、前記第一の有機絶縁膜の前記第二の
開口を通してその下の前記第一の絶縁膜をエッチングし
て、第四の開口を前記第一の絶縁膜に形成し、該第4の
開口をヴィアホールの一部とする工程と、前記第二の絶
縁膜の前記第三の開口を通して前記第一の有機絶縁膜を
エッチングして第五の開口を前記第一の有機絶縁膜に形
成し、該第五の開口と前記第三の開口を配線溝として適
用する工程と、前記第四の開口を通して前記第三の絶縁
膜をエッチングすることにより第六の開口を形成して前
記ヴィアホールの一部とする工程とを有する半導体装置
の製造方法であって、前記第一の絶縁膜と前記第三の絶
縁膜の間に第二の有機絶縁膜を形成する工程をさらに有
し、前記第二の有機絶縁膜には、前記第一の有機絶縁膜
に前記第五の開口を形成すると同時に、前記第一の絶縁
膜の前記第四の開口を通してエッチングされて第七の開
口が形成されて、前記第七の開口は前記第四の開口と前
記第六の開口とともに前記ヴィアホールとして適用する
工程と、前記ヴィアホールと前記配線溝に同時に導電体
を埋め込むことにより、前記ヴィアホール内にはヴィア
を形成するとともに前記配線溝内には配線を形成する工
程とを有することを特徴とする半導体装置の製造方法に
よって解決される。
Moreover, since the first and second insulating films and the organic insulating film are sequentially etched under the optimum conditions, the via hole or the wiring groove can be formed in these films with high accuracy. (2) The problem described above is that a third insulating film, a first insulating film, a first organic insulating film, and a second insulating film are sequentially provided on a semiconductor substrate as illustrated in FIGS. 9 to 11. A step of forming, a step of partially etching the second insulating film to form a first opening having a via pattern shape, and a step of forming the first opening through the first opening of the second insulating film. Etching the organic insulating film to form a second opening having the via pattern shape in the first organic insulating film; and etching a region of the second insulating film including the first opening. To form a third opening having a wiring pattern shape, and etching the first insulating film under the second opening of the first organic insulating film to form a fourth opening. is formed on the first insulating film, the opening of said fourth via hole one Step and the second the first organic insulating film through said third opening of the insulating film to form a fifth opening is etched into the first organic insulating film, said fifth opening to And a step of applying the third opening as a wiring groove, and the third insulation through the fourth opening.
Before forming the sixth opening by etching the film
Semiconductor device having a step of forming part of the via hole
And a third insulating film.
The process further includes forming a second organic insulating film between the edge films.
The second organic insulating film is the first organic insulating film.
Forming the fifth opening at the same time as forming the first insulation
The seventh opening is etched through the fourth opening in the membrane.
A mouth is formed and the seventh opening is in front of the fourth opening.
Apply as the via hole together with the sixth opening
And a step of forming a via in the via hole and forming a wiring in the wiring groove by simultaneously embedding a conductor in the via hole and the wiring groove. This is solved by the method of manufacturing the device.

【0017】[0017]

【0018】次に、本発明の作用について説明する。本
発明によれば、第一の絶縁膜、有機絶縁膜及び第二の絶
縁膜を順に形成した後に、フォトリソグラフィーにより
第二の絶縁膜にヴィアパターン形状の開口を形成し、続
いて、第二の絶縁膜の開口を通して有機絶縁膜にヴィア
パターン形状の開口を形成し、その後に、フォトリソグ
ラフィー法により第二の絶縁膜に配線パターン形状の開
口を形成すると同時に有機絶縁膜をマスクにして第一の
絶縁膜をエッチングしてヴィアパターン形状の開口を形
成し、さらに、第二の絶縁膜をマスクにして有機絶縁膜
をエッチングして配線パターン形状の開口を形成するよ
うにしている。
Next, the operation of the present invention will be described. According to the present invention, a first insulating film, an organic insulating film, and a second insulating film are sequentially formed, and then a via pattern-shaped opening is formed in the second insulating film by photolithography. Forming a via pattern-shaped opening in the organic insulating film through the opening of the insulating film, and then forming a wiring pattern-shaped opening in the second insulating film by the photolithography method, and at the same time using the organic insulating film as a mask. The insulating film is etched to form a via pattern-shaped opening, and the second insulating film is used as a mask to etch the organic insulating film to form a wiring pattern-shaped opening.

【0019】このように、第二の絶縁膜にヴィアパター
ン形状の開口を形成することによって、その開口を形成
する際に使用したレジストを除去する工程と第二の絶縁
膜をマスクにして有機絶縁膜膜にヴィアパターン形状の
開口を形成する工程を同時に行なうことができる。従っ
て、そのレジストを除去する際にその下方の有機絶縁膜
を不必要な形状にパターニングすることを回避できる。
また、第二の絶縁膜上のレジストを除去する際に有機絶
縁膜を不要な大きさにエッチングすることがなくなり、
有機絶縁膜の開口の精度を低下させることはない。
As described above, by forming the via pattern-shaped opening in the second insulating film, the step of removing the resist used in forming the opening and the organic insulating film using the second insulating film as a mask. The step of forming a via pattern-shaped opening in the film can be performed simultaneously. Therefore, when removing the resist, it is possible to avoid patterning the organic insulating film thereunder into an unnecessary shape.
Further, when removing the resist on the second insulating film, the organic insulating film is not etched to an unnecessary size,
The accuracy of the opening of the organic insulating film is not reduced.

【0020】しかも、第二の絶縁膜に配線パターン形状
の開口が形成され、且つその下の有機絶縁膜にヴィアパ
ターン形状の開口を形成した後に、それらの開口の形状
をそれらの下方の膜に順次転写し、これにより、第一及
び第二の絶縁膜と有機絶縁膜に配線溝とヴィアホールを
形成するようにしたので、第一及び第二の絶縁膜、有機
絶縁膜を個々に最適な条件でエッチングすることがで
き、配線溝とヴィアホールを高精度で形成することがで
きる。
Moreover, after the wiring pattern shaped openings are formed in the second insulating film and the via pattern shaped openings are formed in the underlying organic insulating film, the shapes of these openings are formed in the film below them. Since the wiring groove and the via hole are formed in the first and second insulating films and the organic insulating film by sequentially transferring, the first and second insulating films and the organic insulating film can be individually optimized. The etching can be performed under the conditions, and the wiring groove and the via hole can be formed with high accuracy.

【0021】 以上のように、有機絶縁膜の材料を選ぶ
ことなく、銅配線と低誘電率有機絶縁膜を用いた多層配
線層形成にデュアルダマシン法を適用することが可能に
なるため、半導体装置の性能、信頼性、生産効率を向上
させることができる。なお、第一の絶縁膜と第二の絶縁
膜の間に、下側の有機絶縁膜を介在させてもよく、下側
の有機絶縁膜のエッチングは、その上方にある有機絶縁
膜のエッチングと同時に行なうことができる。 (3)上記した課題は、図17〜図21に例示するよう
に、半導体基板上に第一の絶縁膜と第二の絶縁膜を順に
形成する工程と、ヴィアパターン形状をもつ第一の窓を
有する第一のフォトレジストを前記第二の絶縁膜上に形
成する工程と、前記第一のフォトレジストをマスクに使
用して前記第二の絶縁膜をエッチンすることにより前記
ヴィアパターン形状をもつ第一の開口を形成する工程
と、前記第一の開口を通して前記第一の絶縁膜をエッチ
ングすることにより前記ヴィアパターン形状をもつ第二
の開口を形成する工程と、配線パターンをもつ第二のフ
ォトレジストを前記第二の絶縁膜の上に形成する工程
と、前記第二のフォトレジストをマスクに使用して前記
第二の絶縁膜をエッチングして前記配線パターンをもつ
第三の開口を形成する工程と、前記第三の開口を通して
前記第一の絶縁膜の上部をエッチングすることにより、
前記配線パターンをもつ第四の開口を形成する工程と、
前記第二の開口と前記第三の開口と前記第四の開口内に
導電膜を埋め込んで、前記第三及び第四の開口の中に配
線を形成するとともに、前記第二の開口内にヴィアを形
成する工程とを有する半導体装置の製造方法であって、
前記第二の開口を形成した後に、前記第一のフォトレジ
ストは、ヒドロキシルアミン系の剥離液を用いて除去さ
れることを特徴とする半導体装置の製造方法によって解
決される。
As described above, the dual damascene method can be applied to the formation of a multilayer wiring layer using a copper wiring and a low dielectric constant organic insulating film without selecting the material of the organic insulating film. Can improve the performance, reliability and production efficiency. Note that a lower organic insulating film may be interposed between the first insulating film and the second insulating film, and etching of the lower organic insulating film is different from etching of the upper organic insulating film. Can be done at the same time. (3) The above-mentioned problems are, as illustrated in FIGS. 17 to 21, a step of sequentially forming a first insulating film and a second insulating film on a semiconductor substrate, and a first window having a via pattern shape. Forming a first photoresist having a via pattern shape on the second insulating film by etching the second insulating film using the first photoresist as a mask. Forming a first opening, forming a second opening having the via pattern shape by etching the first insulating film through the first opening, and forming a second opening having a wiring pattern Forming a photoresist on the second insulating film, and etching the second insulating film using the second photoresist as a mask to form a third opening having the wiring pattern Do And extent, by etching the upper portion of the first insulating film through the third opening,
Forming a fourth opening having the wiring pattern,
A conductive film is embedded in the second opening, the third opening, and the fourth opening to form wiring in the third and fourth openings, and a via is formed in the second opening. A method of manufacturing a semiconductor device, the method comprising:
After forming the second opening, the first photoresist is formed.
The strike is removed using a hydroxylamine-based stripper.
And a semiconductor device manufacturing method.

【0022】上記した半導体装置の製造方法において、
前記第二の開口を形成した後に、前記第一のフォトレジ
ストは、ヒドロキシルアミン系の剥離液を用いて除去さ
れるようにしてもよい。上記した半導体装置の製造方法
において、前記第4の開口を形成した後に、前記第二の
フォトレジストは、ヒドロキシルアミン系の剥離液を用
いて除去されるようにしてもよい。
In the method of manufacturing a semiconductor device described above,
After forming the second opening, the first photoresist may be removed using a hydroxylamine-based stripping solution. In the above-described method for manufacturing a semiconductor device, the second photoresist may be removed using a hydroxylamine-based stripping solution after forming the fourth opening.

【0023】上記した半導体装置の製造方法において、
前記第一の絶縁膜は炭化水素系絶縁材から構成され、前
記第二の絶縁膜はシリコン含有絶縁材料から構成されて
いることが好ましい。この場合、前記第一の絶縁膜をエ
ッチングして前記第二の開口を形成すると同時に、前記
第一のフォトレジストはエッチングされるようにしても
よく、また、前記第一の絶縁膜をエッチングして前記第
四の開口を形成すると同時に、前記第二のフォトレジス
トはエッチングされるようにしてもよい。
In the method of manufacturing a semiconductor device described above,
It is preferable that the first insulating film is made of a hydrocarbon insulating material and the second insulating film is made of a silicon-containing insulating material. In this case, the first photoresist may be etched at the same time when the first insulating film is etched to form the second opening, and the first insulating film may be etched. The second photoresist may be etched simultaneously with the formation of the fourth opening.

【0024】次に、本発明の作用について説明する。本
発明によれば、基板の上方に第一の絶縁膜、第二の絶縁
膜を順に形成し、その後に、第1のフォトレジストを使
用して第一及び第二の絶縁膜にヴィアホールパターン形
状の開口を形成し、ついで第二のフォトレジストを使用
して第二の絶縁膜と第一の絶縁膜の上部とをエッチング
して配線パターン形状の開口を形成するようにしてい
る。
Next, the operation of the present invention will be described. According to the present invention, a first insulating film and a second insulating film are sequentially formed above a substrate, and then a via hole pattern is formed on the first and second insulating films using a first photoresist. The opening having a shape is formed, and then the second insulating film and the upper portion of the first insulating film are etched by using the second photoresist to form the opening having a wiring pattern shape.

【0025】そのように、第一の絶縁膜として有機絶縁
材料を採用する場合に、第二の絶縁膜にビアパターン形
状の開口を形成することによって、その開口を形成する
際に使用した第一のレジストを除去する工程と、第一の
絶縁膜をエッチングしてビアパターンを形成する工程を
同じに行うことができる。従って、第一のレジストを除
去する際にその下方の有機材よりなる第一の絶縁膜を不
要な大きさにエッチングすることがなくなり、有機絶縁
膜の開口精度を低下させることはない。
As described above, when the organic insulating material is used as the first insulating film, by forming the via pattern-shaped opening in the second insulating film, the first insulating film used in forming the opening is used. The step of removing the resist and the step of forming the via pattern by etching the first insulating film can be performed in the same manner. Therefore, when the first resist is removed, the first insulating film made of the organic material thereunder is not etched to an unnecessary size, and the opening accuracy of the organic insulating film is not deteriorated.

【0026】従って、第一の絶縁膜を構成する有機絶縁
材を選ぶことなく、銅配線と低誘電率有機絶縁膜を用い
た多層配線構造の形成にデュアルダマシン法を適用する
際に半導体装置の性能、信頼性、生産効率を向上させる
ことができる。さらに、本発明では、有機材よりなる第
一の絶縁膜にビアと配線を形成しているので、酸化シリ
コンや窒化シリコンを用いる多層配線構造に比べて効果
的に配線容量を低下させることが可能になるし、また、
絶縁膜にヴィアホールや配線溝を形成するためのエッチ
ングガスの交換回数が少なくなって安価な多層配線形成
が可能になる。
Therefore, when the dual damascene method is applied to the formation of the multilayer wiring structure using the copper wiring and the low dielectric constant organic insulating film without selecting the organic insulating material forming the first insulating film, Performance, reliability and production efficiency can be improved. Furthermore, in the present invention, since the via and the wiring are formed in the first insulating film made of an organic material, it is possible to effectively reduce the wiring capacitance as compared with the multilayer wiring structure using silicon oxide or silicon nitride. And again
The number of times of exchanging the etching gas for forming the via hole and the wiring groove in the insulating film is reduced, and the inexpensive multilayer wiring can be formed.

【0027】[0027]

【発明の実施の形態】そこで、以下に本発明の実施形態
を図面に基づいて説明する。 (第1の実施の形態)図3〜図8は、半導体装置の配線
をデュアルダマシン法を用いて形成する工程を示してい
る。
DETAILED DESCRIPTION OF THE INVENTION An embodiment of the present invention will be described below with reference to the drawings. (First Embodiment) FIGS. 3 to 8 show a step of forming a wiring of a semiconductor device by a dual damascene method.

【0028】まず、図3(a) 〜(d) に基づいて下側配線
層の形成工程を説明する。図3(a) は、シリコン基板2
1の上に第一のシリコン酸化膜(SiO2膜)22、第一の
有機絶縁膜23、第二のシリコン酸化膜24、フォトレ
ジスト膜25を形成した状態を示している。第一のシリ
コン酸化膜22と第二のシリコン酸化膜24はそれぞれ
プラズマCVD法により200nmの厚さ、100nmの厚
さに形成されている。
First, the process of forming the lower wiring layer will be described with reference to FIGS. 3 (a) to 3 (d). FIG. 3A shows a silicon substrate 2
1 shows a state in which a first silicon oxide film (SiO 2 film) 22, a first organic insulating film 23, a second silicon oxide film 24, and a photoresist film 25 are formed on top of No. 1. The first silicon oxide film 22 and the second silicon oxide film 24 are formed by plasma CVD to have a thickness of 200 nm and a thickness of 100 nm, respectively.

【0029】また、第一の有機絶縁膜23は、例えば低
誘電率絶縁材料であるアライドシグナル社製の商品名F
LARE2.0をスピンコートにより400nmの厚さに
形成したものである。商品名FLARE2.0は芳香族
系のポリマーであり、その誘電率は2.8であってSiO2
膜の誘電率4.1よりも低く、しかも耐熱性は400℃
以上である。ここでは、第一の有機絶縁膜23としてF
LARE2.0を用いたが、ダウケミカル社製の炭化水
素系ポリマーである商品名SiLKなどを用いることも
可能である。また、第一の有機絶縁膜23としてその他
の炭化水素含有樹脂、フッ素含有樹脂などを用いてもよ
い。
The first organic insulating film 23 is, for example, a low dielectric constant insulating material manufactured by Allied Signal Co. under the trade name F.
LARE 2.0 is formed by spin coating to a thickness of 400 nm. Trade name FLARE 2.0 is an aromatic polymer, its dielectric constant is 2.8 and SiO 2
The dielectric constant of the film is lower than 4.1, and the heat resistance is 400 ° C.
That is all. Here, F is used as the first organic insulating film 23.
Although LARE 2.0 was used, it is also possible to use the product name SiLK, which is a hydrocarbon polymer manufactured by Dow Chemical Company. Further, other hydrocarbon-containing resin, fluorine-containing resin, or the like may be used as the first organic insulating film 23.

【0030】フォトレジスト膜25は感光性ポリマーで
あって、そこには露光、現像によって配線パターンの窓
25aが形成されている。次に、図3(b) に示すよう
に、フォトレジスト膜25の窓25aを通して第二のSi
O2膜24をエッチングして配線パターン形状の開口24
aを形成する。第二のSiO2膜24のエッチングは、CF4
ガス、CH2F2 ガス及びArガスを用いるプラズマエッチン
グ法によって行なう。そのようなエッチングガスはフル
オロカーボン系なので、第二のSiO2膜24が選択的にエ
ッチングされて配線用開口24aが形成される一方で、
その下の第一の有機絶縁膜23は殆どエッチングされな
い。
The photoresist film 25 is a photosensitive polymer, on which a wiring pattern window 25a is formed by exposure and development. Next, as shown in FIG. 3 (b), a second Si film is passed through the window 25a of the photoresist film 25.
The O 2 film 24 is etched to form a wiring pattern-shaped opening 24.
a is formed. The etching of the second SiO 2 film 24 is performed using CF 4
It is performed by a plasma etching method using gas, CH 2 F 2 gas and Ar gas. Since such an etching gas is fluorocarbon-based, the second SiO 2 film 24 is selectively etched to form the wiring openings 24a, while
The first organic insulating film 23 thereunder is hardly etched.

【0031】次に、図3(c) に示すように、第一の有機
絶縁膜23のうち第二のSiO2膜24の配線用開口24a
から露出している部分をプラズマエッチング法により除
去して配線パターン形状の開口23aを形成する。第一
の有機絶縁膜23のエッチングは、O2ガスとArガスを導
入した雰囲気において行なわれる。この場合のエッチャ
ントは酸素であるために、第一の有機絶縁膜23とフォ
トレジスト膜25はSiO2膜22,24に対して選択的に
エッチングされ、SiO2膜24はエッチングされない。し
かし、フォトレジスト膜25は酸素によってエッチング
されるので、第一の有機絶縁膜23のエッチングに並行
してフォトレジスト膜25を除去することができる。
Next, as shown in FIG. 3C, the wiring opening 24a of the second SiO 2 film 24 of the first organic insulating film 23.
The exposed portion is removed by plasma etching to form a wiring pattern-shaped opening 23a. The etching of the first organic insulating film 23 is performed in an atmosphere in which O 2 gas and Ar gas are introduced. Since the etchant in this case is oxygen, the first organic insulating film 23 and the photoresist film 25 are selectively etched with respect to the SiO 2 films 22 and 24, and the SiO 2 film 24 is not etched. However, since the photoresist film 25 is etched by oxygen, the photoresist film 25 can be removed in parallel with the etching of the first organic insulating film 23.

【0032】以上のようなパターニング処理によって形
成された第二のSiO2膜24の開口24aと第一の有機絶
縁膜23の開口23aとによって第一の配線溝26が構
成される。これ以降の工程における有機絶縁膜とSiO2
のそれぞれのエッチングは、上記と同様のエッチングガ
スを用いている。
The opening 24a of the second SiO 2 film 24 and the opening 23a of the first organic insulating film 23 formed by the patterning process as described above form a first wiring groove 26. The same etching gas as described above is used for etching the organic insulating film and the SiO 2 film in the subsequent steps.

【0033】第一の有機絶縁膜23の開口23aとその
上の第二のSiO2膜24の開口24aは上下に重なってい
て、これらは第一の配線溝26として使用される。次
に、図3(d) に示すように、その第一の配線溝26の内
面と第二のSiO2膜24の上面の上に、高融点金属として
TiN 又はTaN よりなる第一のバリアメタル膜27をスパ
ッタリングにより50nmの厚さで成膜し、続いて、第一
のバリアメタル膜27上に第一の銅(Cu)膜28を同様
にスパッタリングにより800nmの厚さで成膜した。
The opening 23a of the first organic insulating film 23 and the opening 24a of the second SiO 2 film 24 on it are vertically overlapped, and these are used as the first wiring groove 26. Next, as shown in FIG. 3D, a refractory metal is formed on the inner surface of the first wiring groove 26 and the upper surface of the second SiO 2 film 24 as a refractory metal.
A first barrier metal film 27 made of TiN or TaN is formed to a thickness of 50 nm by sputtering, and then a first copper (Cu) film 28 is similarly sputtered on the first barrier metal film 27. The film was formed to a thickness of 800 nm.

【0034】そのCu膜28の上面には凹凸が生じるの
で、その上面を平坦化するために、Cu膜28を0.1To
rr圧力の水素ガス雰囲気で、400℃、5分間の条件で
アニール処理を行う。このアニール処理後には、第一の
配線溝26内にはCu膜28が完全に埋め込まれた状態と
なる。 続
いて、図4(a) に示すように、化学機械的研磨法(CM
P法)を用いてCu膜28と第一のバリアメタル膜27を
研磨し、第一の配線溝26内のみにCu膜28及び第一の
バリアメタル膜27を残し、これらを第一の配線29と
して使用する。
Since unevenness is generated on the upper surface of the Cu film 28, the Cu film 28 is flattened by 0.1 To in order to flatten the upper surface.
Annealing is performed in a hydrogen gas atmosphere of rr pressure at 400 ° C. for 5 minutes. After this annealing treatment, the Cu film 28 is completely embedded in the first wiring groove 26. Then, as shown in FIG. 4 (a), a chemical mechanical polishing method (CM
P method) is used to polish the Cu film 28 and the first barrier metal film 27 to leave the Cu film 28 and the first barrier metal film 27 only in the first wiring trench 26, and these are used as the first wiring. Used as 29.

【0035】次に、図4(b) に示すように、第一の配線
29と第二のSiO2膜24の上に以下に述べるような複数
の絶縁膜、金属膜などを形成する。即ち、第一の配線2
9と第二のSiO2膜24の上に、膜厚50nmの窒化シリコ
ン膜30、膜厚600nmの第三のSiO2膜31をそれぞれ
プラズマCVD法により形成する。また、第三のSiO2
31の上に第二の有機絶縁膜32をスピンコートにより
400nmの厚さに形成する。この場合、第二の有機絶縁
膜32として、第一の有機絶縁膜23に用いられる上記
した材料のいずれかを選択する。続いて、第二の有機絶
縁膜32上にプラズマCVD法により第四のSiO2膜33
を100nmの厚さに形成する。さらに、第四のSiO2膜3
3の上にスパッタリングによりTiN よりなる中間金属膜
34を100nmの厚さに成膜する。その中間金属膜34
としては、TiN の他の高融点金属又は高融点金属化合
物、例えば、タンタル(Ta)や窒化タンタル(TaN)を用
いることも可能である。
Next, as shown in FIG. 4B, a plurality of insulating films, metal films and the like as described below are formed on the first wiring 29 and the second SiO 2 film 24. That is, the first wiring 2
A silicon nitride film 30 having a film thickness of 50 nm and a third SiO 2 film 31 having a film thickness of 600 nm are respectively formed on the 9 and the second SiO 2 film 24 by the plasma CVD method. Further, a second organic insulating film 32 is formed on the third SiO 2 film 31 by spin coating so as to have a thickness of 400 nm. In this case, as the second organic insulating film 32, one of the above-mentioned materials used for the first organic insulating film 23 is selected. Then, a fourth SiO 2 film 33 is formed on the second organic insulating film 32 by a plasma CVD method.
To a thickness of 100 nm. Furthermore, the fourth SiO 2 film 3
An intermediate metal film 34 made of TiN is formed on the substrate 3 by sputtering to a thickness of 100 nm. The intermediate metal film 34
It is also possible to use another refractory metal or a refractory metal compound other than TiN, for example, tantalum (Ta) or tantalum nitride (TaN).

【0036】以上のような膜の形成を終えた後に、中間
金属膜34の上にフォトレジスト35を塗布し、これを
露光し、現像して第二の配線の形状に相当する窓35a
を形成する。そして、フォトレジスト35をマスクとし
て用いるフォトリソグラフィー法により中間金属膜34
に第二の配線に相当する形状の配線用開口34aを形成
する。その配線パターン形状は特に限定されるものでは
ない。
After the formation of the film as described above is completed, a photoresist 35 is applied on the intermediate metal film 34, which is exposed and developed to develop a window 35a corresponding to the shape of the second wiring.
To form. Then, the intermediate metal film 34 is formed by the photolithography method using the photoresist 35 as a mask.
A wiring opening 34a having a shape corresponding to the second wiring is formed. The shape of the wiring pattern is not particularly limited.

【0037】次に、図4(c) に示すように、フォトレジ
スト35を酸素プラズマによってアッシングするが、そ
の際に、中間金属膜34の開口34aからは第二の有機
絶縁膜32が露出しないのでアッシングによる第二の有
機絶縁膜32への悪影響はない。次に、図5(a) に示す
ように、中間金属膜34の上とその開口34aの中にフ
ォトレジスト膜36を塗布し、これを露光、現像するこ
とにより、配線用開口34aの中にあって第一の配線2
9の一部に対向する窓36aをフォトレジスト膜36に
形成する。その窓36aはコンタクトヴィアに相当する
形状を有している。 そして、図5(b) に示すように、
フォトレジスト膜36の窓36aを通して第四のSiO2
33をエッチングし、これによりコンタクトヴィアに相
当する形状の開口33aを形成する。
Next, as shown in FIG. 4C, the photoresist 35 is ashed by oxygen plasma, but at that time, the second organic insulating film 32 is not exposed from the opening 34a of the intermediate metal film 34. Therefore, the ashing does not adversely affect the second organic insulating film 32. Next, as shown in FIG. 5 (a), a photoresist film 36 is applied on the intermediate metal film 34 and in the opening 34a, and the photoresist film 36 is exposed and developed to form the wiring opening 34a. There is the first wiring 2
A window 36a is formed in the photoresist film 36 so as to face part of the photoresist film 9. The window 36a has a shape corresponding to a contact via. Then, as shown in FIG. 5 (b),
The fourth SiO 2 film 33 is etched through the window 36a of the photoresist film 36, thereby forming an opening 33a having a shape corresponding to the contact via.

【0038】そのエッチングを終えた状態で、図6(a)
に示すように、酸素とアルゴンを用いて異方性のプラズ
マエッチングによって、開口33aを通して第二の有機
絶縁膜32をエッチングしてそこに開口32aを形成す
る。このエッチングの際にはフォトレジスト膜36の全
体が並行してエッチングされ、除去される。したがっ
て、フォトレジスト膜36を独立して除去する工程は不
要になり、しかも、第二の有機絶縁膜32が不必要にエ
ッチングされることはない。
With the etching completed, FIG. 6 (a)
As shown in FIG. 5, the second organic insulating film 32 is etched through the opening 33a by anisotropic plasma etching using oxygen and argon to form the opening 32a therein. During this etching, the entire photoresist film 36 is etched in parallel and removed. Therefore, the step of independently removing the photoresist film 36 becomes unnecessary, and the second organic insulating film 32 is not unnecessarily etched.

【0039】次に、図6(b) に示すように、中間金属膜
34をマスクに使用して、フッ素系ガスを使用するプラ
ズマエッチングにより開口34aを通して第四のSiO2
33を配線形状にエッチングして開口33bを形成す
る。このエッチングの最中には第二の有機絶縁膜32は
マスクとして使用され、第二の有機絶縁膜32の開口3
2aを通してその下の第三のSiO2膜31もエッチングさ
れ、これにより第三のSiO2膜31には開口31aが形成
される。
Next, as shown in FIG. 6 (b), using the intermediate metal film 34 as a mask, the fourth SiO 2 film 33 is formed into a wiring shape by plasma etching using a fluorine-based gas through the opening 34a. The opening 33b is formed by etching. During this etching, the second organic insulating film 32 is used as a mask, and the opening 3 of the second organic insulating film 32 is removed.
The third SiO 2 film 31 thereunder is also etched through 2a, whereby an opening 31a is formed in the third SiO 2 film 31.

【0040】続いて、中間金属膜34の開口34aを通
して第二の有機絶縁膜32を酸素プラズマによってエッ
チングすると、第二の有機絶縁膜32は配線形状にパタ
ーニングされてそこには図6(c) に示す配線用開口32
bが形成される。この第二の有機絶縁膜32の配線用開
口32bは第四のSiO2膜33の配線用開口33bととも
に第二の配線溝37として使用される。
Subsequently, when the second organic insulating film 32 is etched by oxygen plasma through the opening 34a of the intermediate metal film 34, the second organic insulating film 32 is patterned into a wiring shape, and the second organic insulating film 32 is formed therein as shown in FIG. 6 (c). Wiring opening 32 shown in
b is formed. The wiring opening 32b of the second organic insulating film 32 is used as a second wiring groove 37 together with the wiring opening 33b of the fourth SiO 2 film 33.

【0041】次に、図7(a) に示すように、第二のSiO2
膜31をマスクに使用し、C4F8ガスとO2ガスを用いるプ
ラズマエッチングにより、開口31aの下の窒化シリコ
ン膜30をエッチングすることによりそこに開口30a
を形成する。窒化シリコン膜30の開口30aと第三の
SiO2膜31の開口31aは、コンタクトヴィアホール3
8として使用され、その下には第一の配線29の一部が
露出することになる。
Next, as shown in FIG. 7 (a), a second SiO 2
By using the film 31 as a mask and etching the silicon nitride film 30 under the opening 31a by plasma etching using C 4 F 8 gas and O 2 gas, the opening 30a is formed therein.
To form. The opening 30a of the silicon nitride film 30 and the third
The opening 31a of the SiO 2 film 31 is formed in the contact via hole 3
It is used as 8, and a part of the first wiring 29 is exposed below it.

【0042】次に、図7(b) に示すように、第三の配線
溝37とコンタクトヴィアホール38のそれぞれの内面
と中間金属膜34の上面に沿って、スパッタリングによ
りTiN 又はTaN よりなる第二のバリアメタル膜39を5
0nmの厚さに形成し、続いて、スパッタリングにより第
二のCu膜40を100nmの厚さに成膜した。さらに、図
8(a) に示すように、第二のCu膜40をシード層として
使用し、その上に電解メッキ法により第三のCu膜41を
1500nmの厚さで成膜した。第三のCu膜41を400
℃,30分間、水素雰囲気でアニール処理を行った。ア
ニール処理は、第三のCu膜41内で粒子を成長させて配
線の信頼性を高めるために行なわれる。
Next, as shown in FIG. 7B, along the inner surfaces of the third wiring groove 37 and the contact via hole 38 and the upper surface of the intermediate metal film 34, a first layer of TiN or TaN is formed by sputtering. The second barrier metal film 39 is set to 5
The second Cu film 40 was formed to a thickness of 0 nm, and then the second Cu film 40 was formed to a thickness of 100 nm by sputtering. Further, as shown in FIG. 8A, the second Cu film 40 was used as a seed layer, and a third Cu film 41 was formed thereon to a thickness of 1500 nm by electrolytic plating. 400 for the third Cu film 41
Annealing was performed in a hydrogen atmosphere at 30 ° C. for 30 minutes. The annealing treatment is performed to grow particles in the third Cu film 41 and improve the reliability of the wiring.

【0043】次に、図8(b) に示すように、CMP法に
より、第三のCu膜41から中間金属膜34までを順に研
磨し、これにより、それらの導電膜を第二の配線溝37
とコンタクトヴィアホール38内にのみ残す。そして、
第二の配線溝37内の導電膜を第二の配線42として用
い、また、コンタクトヴィアホール38内に残った導電
膜をプラグ43として使用する。
Next, as shown in FIG. 8 (b), the third Cu film 41 to the intermediate metal film 34 are sequentially polished by the CMP method, whereby these conductive films are formed into the second wiring trenches. 37
And leave only in the contact via hole 38. And
The conductive film in the second wiring groove 37 is used as the second wiring 42, and the conductive film remaining in the contact via hole 38 is used as the plug 43.

【0044】これにより、本発明のデュアルダマシン法
が終わり、さらにその上に別の配線を形成する工程に移
る。ところで、上記したように、中間金属膜34に第二
の配線に相当する形状の開口34aを形成した後には、
その下方の第二の有機絶縁膜32は第四のSiO2膜33に
覆われているために、その開口34aから露出すること
はなくなり、金属膜34のパターニングに使用したフォ
トレジスト膜35を酸素プラズマによりエッチングする
際に第二の有機絶縁膜32がエッチングされることはな
い。これにより、第二の有機絶縁膜32を構成する材料
としては、フッ素含有樹脂のみならず、炭化水素含有樹
脂を使用することが可能になる。
As a result, the dual damascene method of the present invention is completed, and the step of forming another wiring thereon is started. By the way, as described above, after the opening 34a having a shape corresponding to the second wiring is formed in the intermediate metal film 34,
Since the second organic insulating film 32 thereunder is covered with the fourth SiO 2 film 33, it is not exposed from the opening 34a, and the photoresist film 35 used for patterning the metal film 34 is oxygen-containing. The second organic insulating film 32 is not etched during the plasma etching. As a result, not only the fluorine-containing resin but also the hydrocarbon-containing resin can be used as the material forming the second organic insulating film 32.

【0045】さらに、コンタクトヴィアホールに相当す
る形状の開口33aが形成された第四のSiO2膜33をマ
スクにして第二の有機絶縁膜32に開口32aを形成し
ているので、第二の有機絶縁膜32が不必要にエッチン
グされることはない。また、図6(a) に示したように、
第一の配線29の上に下側無機膜31、有機絶縁膜3
2、上側無機膜33、金属膜34を順に形成し、金属膜
34に配線形状相当の開口34aを形成し、さらに上側
無機膜33にヴィアホール形状相当の開口33aを形成
し、このような状態から、ヴィアホール形状相当の開口
33aの形状を有機絶縁膜32、下側無機膜31に順次
転写して開口32a,31aを形成し、さらに配線形状
相当の開口34aを上側無機膜33と有機絶縁膜32に
順次転写して開口33b,32bを形成するようにして
いる。
Further, since the opening 32a is formed in the second organic insulating film 32 by using the fourth SiO 2 film 33 having the opening 33a having a shape corresponding to the contact via hole as a mask, the second organic insulating film 32 is formed. The organic insulating film 32 is not unnecessarily etched. Also, as shown in FIG. 6 (a),
The lower inorganic film 31 and the organic insulating film 3 are formed on the first wiring 29.
2, the upper inorganic film 33 and the metal film 34 are sequentially formed, the opening 34a corresponding to the wiring shape is formed in the metal film 34, and the via hole-shaped opening 33a corresponding to the upper inorganic film 33 is formed. From the above, the shape of the opening 33a corresponding to the via hole shape is sequentially transferred to the organic insulating film 32 and the lower inorganic film 31 to form the openings 32a and 31a, and the opening 34a corresponding to the wiring shape is formed from the upper inorganic film 33 and the organic insulating film. The openings 32b and 32b are formed by sequentially transferring to the film 32.

【0046】このため、膜の種類に最適なエッチングを
行なうことができるので、各膜に形成され開口の形状を
精度良く形成することが可能になる。さらに、図5(b)
に示す工程のヴィアパターンを形成するための初期のフ
ォトリソグラフィーの際に、フォトレジスト膜36を露
光、現像したときのパターンの位置ズレが大きくなって
しまったとき、このフォトレジスト膜36を酸素プラズ
マによって除去し、新たに工程のやり直しが行える。こ
れは、フォトレジスト膜36の下には酸化シリコン膜3
3が存在してその下の有機絶縁膜32が損傷を受けるこ
とがないからである。したがって、フォトリソグラフィ
ーの位置ズレ精度に依存することなく、ヴィア径を寸法
通りに加工することが可能になる。
For this reason, since the optimum etching for the type of film can be performed, the shape of the opening formed in each film can be accurately formed. Furthermore, FIG. 5 (b)
In the initial photolithography for forming the via pattern in the step shown in FIG. 2, when the pattern displacement of the photoresist film 36 becomes large when exposed and developed, the photoresist film 36 is exposed to oxygen plasma. The process can be restarted after the removal. This is because the silicon oxide film 3 is formed under the photoresist film 36.
3 is present and the organic insulating film 32 thereunder is not damaged. Therefore, the via diameter can be processed according to the dimension without depending on the positional deviation accuracy of photolithography.

【0047】なお、上記したSiO2膜の代わりに、Si3N4
膜、SiON膜、SiC 膜などのシリコン含有絶縁膜を使用し
てもよい。これは以下に述べる実施形態でも同様であ
る。 (第2の実施の形態)第1の実施の形態では、第四のSi
O2膜33の上に中間金属膜34を形成し、さらに、中間
金属膜34に第二の配線用の開口34aを形成してい
る。
Instead of the above-mentioned SiO 2 film, Si 3 N 4 is used.
A silicon-containing insulating film such as a film, a SiON film, or a SiC film may be used. This also applies to the embodiments described below. (Second Embodiment) In the first embodiment, the fourth Si
An intermediate metal film 34 is formed on the O 2 film 33, and a second wiring opening 34a is formed in the intermediate metal film 34.

【0048】本実施形態では、中間金属膜34を用いず
に配線溝37とコンタクトヴィアホールを形成する方法
について説明する。まず、図9(a) は、図4(b) に示す
積層構造のうち中間金属膜34を形成しない状態を示し
ている。そして、その状態で第四のSiO2膜33の上にフ
ォトレジスト44を塗布し、これを露光、現像すること
によりコンタクトヴィアホールを形成するための窓44
aをフォトレジスト44に形成する。その窓44aは、
第一の配線29の一部の上方に位置される。
In this embodiment, a method of forming the wiring groove 37 and the contact via hole without using the intermediate metal film 34 will be described. First, FIG. 9A shows a state in which the intermediate metal film 34 is not formed in the laminated structure shown in FIG. 4B. Then, in this state, a photoresist 44 is applied on the fourth SiO 2 film 33, and the photoresist 44 is exposed and developed to form a contact via hole window 44.
A is formed on the photoresist 44. The window 44a is
It is located above a part of the first wiring 29.

【0049】次に、図9(b) に示すように、フォトレジ
スト44の窓44aを通して第四のSiO2膜33をエッチ
ングして開口33cを形成する。続いて、図10(a) に
示すように、フォトレジスト44の窓44aと第四のSi
O2膜33の開口33cを通して第二の有機絶縁膜32を
エッチングし、これにより第二の有機絶縁膜32に開口
32cを形成する。この場合、第二の有機絶縁膜32の
エッチングは、酸素を用いる異方性のプラズマエッチン
グ法を用い、これによりフォトレジスト44は同時にエ
ッチングされ、除去される。
Next, as shown in FIG. 9B, the fourth SiO 2 film 33 is etched through the window 44a of the photoresist 44 to form an opening 33c. Then, as shown in FIG. 10A, the window 44a of the photoresist 44 and the fourth Si
The second organic insulating film 32 is etched through the opening 33c of the O 2 film 33, thereby forming the opening 32c in the second organic insulating film 32. In this case, the second organic insulating film 32 is etched by using an anisotropic plasma etching method using oxygen, whereby the photoresist 44 is simultaneously etched and removed.

【0050】その後に、図10(b) に示すように、第四
のSiO2膜33の上にフォトレジスト45を形成し、これ
を露光、現像して第二の配線用のパターンを有する窓4
5aを形成する。なお、このフォトレジスト45を現像
する現像液によっては第二の有機絶縁膜32の開口32
cは殆ど拡張しない。次に、図11(a) に示すように、
フォトレジスト45の窓45aを通して第四のSiO2膜3
3をエッチングすると、第四のSiO2膜33には配線用開
口33dが形成される。その第四のSiO2膜33をエッチ
ングする際には、第二の有機絶縁膜32の開口32cを
通して第三のSiO2膜31もエッチングされ、これにより
第三のSiO2膜31にはコンタクトヴィアホール38とな
る開口31cが形成される。
After that, as shown in FIG. 10B, a photoresist 45 is formed on the fourth SiO 2 film 33, and this is exposed and developed to form a window having a pattern for the second wiring. Four
5a is formed. The opening 32 of the second organic insulating film 32 may be formed depending on the developing solution for developing the photoresist 45.
c hardly expands. Next, as shown in FIG. 11 (a),
The fourth SiO 2 film 3 is passed through the window 45a of the photoresist 45.
When 3 is etched, a wiring opening 33d is formed in the fourth SiO 2 film 33. When the fourth SiO 2 film 33 is etched, the third SiO 2 film 31 is also etched through the opening 32c of the second organic insulating film 32, so that contact vias are formed on the third SiO 2 film 31. An opening 31c to be the hole 38 is formed.

【0051】その後に、図11(b) に示すような工程に
移る。まず、第四のSiO2膜33の配線用開口33dを通
して第二の有機絶縁膜32をエッチングすると、開口3
2cを吸収する位置に配線用開口32dが形成される。
この場合、第二の有機絶縁膜32のエッチングとして酸
素含有ガスを有する異方性のプラズマエッチング法を用
い、これによりフォトレジスト45が同時にエッチング
され、除去される。なお、第四のSiO2膜33の配線用開
口33dと第二の有機絶縁膜32の配線用開口32dと
によって配線溝37が構成される。
After that, the step moves to the step shown in FIG. First, when the second organic insulating film 32 is etched through the wiring opening 33d of the fourth SiO 2 film 33, the opening 3
A wiring opening 32d is formed at a position where 2c is absorbed.
In this case, an anisotropic plasma etching method using an oxygen-containing gas is used for etching the second organic insulating film 32, whereby the photoresist 45 is simultaneously etched and removed. A wiring groove 37 is formed by the wiring opening 33d of the fourth SiO 2 film 33 and the wiring opening 32d of the second organic insulating film 32.

【0052】続いて、第三のSiO2膜31の開口31cを
通して窒化シリコン膜30をエッチングすることにより
コンタクトヴィアホール38となる開口30cが形成さ
れる。これによって、コンタクトヴィアホール38から
は第一の配線29の一部が露出する。その後に、第1の
実施の形態と同様な工程を経て、図11(c) に示すよう
に、コンタクトヴィアホール38内に銅よりなるヴィア
43を埋め込み、配線溝37内に第二の配線42を埋め
込む。
Subsequently, the silicon nitride film 30 is etched through the opening 31c of the third SiO 2 film 31 to form the opening 30c to be the contact via hole 38. As a result, a part of the first wiring 29 is exposed from the contact via hole 38. After that, through the same steps as in the first embodiment, as shown in FIG. 11C, a via 43 made of copper is embedded in the contact via hole 38, and the second wiring 42 is formed in the wiring groove 37. Embed.

【0053】第2実施形態は、第1実施形態とは異な
り、第四のSiO2膜33にコンタクトヴィアに相当する開
口を形成するためのマスクとして、金属膜ではなくフォ
トレジストを用いている。このために、第1実施形態に
用いた中間金属膜34の形成工程とそのパターニングの
工程は不要になり、工数が第1実施形態よりも少なくて
形成プロセスが容易である。しかし、図10(b) で行な
うフォトレジスト45の露光の際に起こる位置ズレが開
口30c,31cの径に影響し、位置ズレした分だけ開
口の径が小さくなるので、本実施形態の工程はフォトリ
ソグラフィーの位置ズレ精度にそれほど依存しない上層
部の配線用開口の形成に有効である。
Unlike the first embodiment, the second embodiment uses a photoresist instead of a metal film as a mask for forming an opening corresponding to a contact via in the fourth SiO 2 film 33. Therefore, the step of forming the intermediate metal film 34 used in the first embodiment and the step of patterning the intermediate metal film 34 are unnecessary, and the number of steps is smaller than that in the first embodiment, and the forming process is easy. However, the positional deviation that occurs when the photoresist 45 is exposed in FIG. 10B affects the diameters of the openings 30c and 31c, and the diameter of the opening is reduced by the amount of positional deviation. It is effective for forming the wiring opening in the upper layer portion that does not depend so much on the positional deviation accuracy of photolithography.

【0054】この実施形態では、図1に示す従来技術と
は異なり、第四のSiO2膜33にコンタクトヴィアホール
形成用の開口33cを形成した後に、第二の配線を形成
するための開口33dを形成し、しかも、第四のSiO2
33上に塗布された2つのフォトレジスト44,45を
それぞれ除去する際に第二の有機絶縁膜32の開口部3
2c,32dを形成している。このため、第四のSiO2
33上に形成されるフォトレジストを酸素プラズマによ
り除去する際に、第二の有機絶縁膜32に悪影響を及ぼ
すことはない。
In this embodiment, unlike the prior art shown in FIG. 1, after forming an opening 33c for forming a contact via hole in the fourth SiO 2 film 33, an opening 33d for forming a second wiring is formed. And removing the two photoresists 44 and 45 applied on the fourth SiO 2 film 33 respectively, the opening 3 of the second organic insulating film 32 is removed.
2c and 32d are formed. Therefore, when the photoresist formed on the fourth SiO 2 film 33 is removed by oxygen plasma, the second organic insulating film 32 is not adversely affected.

【0055】ところで、上述した第1の実施の形態と第
2の実施の形態により形成されたコンタクトヴィアの直
径と歩留りの関係を実験により調査したところ、図12
に示す結果が得られた。図12は、第1及び第2の実施
の形態を用いて作成した2層配線において1層目と2層
目の配線を緒ぶヴィアプラグの接触抵抗を測定し、理論
値から10%以上大きいものを不良とし、その歩留まり
をまとめたものである。同図の横軸をヴィアコンタクト
径とした。この結果が示す様に、いずれのヴィア径にお
いても97%以上の高い歩留まりを得ることができた。
By the way, when the relationship between the diameter and the yield of the contact vias formed according to the first and second embodiments described above was investigated by an experiment, FIG.
The results shown in are obtained. FIG. 12 shows that the contact resistance of the via plug including the wirings of the first layer and the wiring of the second layer in the two-layer wiring formed by using the first and second embodiments is measured, and is 10% or more larger than the theoretical value. It is a collection of the yields of items. The horizontal axis of the figure is the via contact diameter. As shown by these results, a high yield of 97% or more could be obtained at any via diameter.

【0056】次に、上述した第1の実施の形態と第2の
実施の形態によりそれぞれ形成された配線同士の横方向
の間隔と配線の横方向の容量比を実験により調査したと
ころ図13に示すような結果が得られた。図13は、第
1及び第2の実施の形態によって作成した2層配線にお
いて、同層の配線間容量の測定を行い、従来のSiO2膜だ
けを用いた場合と比較したときの配線容量比を示してい
る。同図の横軸を配線間距離とした。この結果が示すよ
うに、配線容量比を60%から65%まで低下させるこ
とができた。この比率は、SiO2の誘電率4.3と有機絶
縁膜の誘電率2.8の比率とほぼ同じであり、配線形成
が上手く行われたことを示している。 (第3の実施の形態)第1又は第2の実施の形態におい
て、窒化シリコン膜30と第三のSiO2膜31の間に別の
有機絶縁膜を形成してもよい。
Next, when the lateral distance between the wirings formed in each of the above-described first and second embodiments and the lateral capacitance ratio of the wirings were investigated by an experiment, the result is shown in FIG. The results shown were obtained. FIG. 13 shows the wiring capacitance ratio when the inter-wiring capacitance of the same layer in the two-layer wiring created by the first and second embodiments is measured and compared with the case where only the conventional SiO 2 film is used. Is shown. The horizontal axis of the figure is the wiring distance. As the result shows, the wiring capacity ratio could be reduced from 60% to 65%. This ratio is almost the same as the ratio between the dielectric constant 4.3 of SiO 2 and the dielectric constant 2.8 of the organic insulating film, which shows that the wiring was successfully formed. (Third Embodiment) In the first or second embodiment, another organic insulating film may be formed between the silicon nitride film 30 and the third SiO 2 film 31.

【0057】これによれば、窒化シリコン30に開口を
形成する前に、図14に示すように、第二の有機絶縁膜
32に配線溝となる開口32b,32dを形成すると同
時に、第三のSiO2膜31の開口31a,31cを通して
その別の有機絶縁膜50にコンタクトヴィアホールとな
る開口50aが形成されることになる。開口50aを形
成した後に、開口50aを通して窒化シリコン膜30を
エッチングして第一の配線の一部を露出し、その後に、
第1及び第2の実施形態と同様にヴィアホール内と配線
溝内に導電膜を埋め込んでヴィアと第二の配線を形成す
ることになる。
According to this, as shown in FIG. 14, before forming the opening in the silicon nitride 30, the openings 32b and 32d to be wiring grooves are formed in the second organic insulating film 32, and at the same time, the third organic insulating film 32 is formed. Through the openings 31a and 31c of the SiO 2 film 31, the opening 50a to be a contact via hole is formed in the other organic insulating film 50. After forming the opening 50a, the silicon nitride film 30 is etched through the opening 50a to expose a part of the first wiring, and thereafter,
Similar to the first and second embodiments, the conductive film is buried in the via hole and the wiring groove to form the via and the second wiring.

【0058】なお、図14において、図6(c) 、図11
(a) と同じ符号は同じ要素を示している。 (第4の実施の形態)第1、第2実施形態では、第2の
銅配線とヴィア(プラグ)が埋め込まれる絶縁層は有機
絶縁膜のみならずシリコン酸化膜を有している。そこ
で、本実施形態では、有機絶縁膜に第2の銅配線とヴィ
ア(プラグ)を埋込む多層配線構造の形成方法について
説明する。
Incidentally, in FIG. 14, FIG. 6 (c) and FIG.
The same symbols as in (a) indicate the same elements. (Fourth Embodiment) In the first and second embodiments, the insulating layer in which the second copper wiring and the via (plug) are embedded has not only the organic insulating film but also the silicon oxide film. Therefore, in this embodiment, a method of forming a multilayer wiring structure in which the second copper wiring and the via (plug) are embedded in the organic insulating film will be described.

【0059】まず、図15(a) に示すように、シリコン
基板51の上に第一の酸化シリコン(SiO2)膜52と第
一の窒化シリコン(Si3N4 )膜53をプラズマCVD法
によりそれぞれ500nm、50nmの厚さに順に形成す
る。なお、本実施形態では、酸化シリコンの成長のため
のソースガスとして、シラン(SiH4 )と一酸化窒素(N2
O )を使用し、窒化シリコン膜の成長のためのソースガ
スとしてシランとアンモニア(NH3)を使用する。また、
酸化シリコンのエッチングは、第1実施形態と同様に、
CF4 ガス、又は、CH2F2 とArの混合ガスを用いるプラズ
マエッチング法によってなされる。また、窒化シリコン
のエッチングは、CHF3とArの混合ガスを使用してプラズ
マエッチング法によってなされる。
First, as shown in FIG. 15A, a first silicon oxide (SiO 2 ) film 52 and a first silicon nitride (Si 3 N 4 ) film 53 are formed on a silicon substrate 51 by a plasma CVD method. Are sequentially formed to have a thickness of 500 nm and 50 nm, respectively. In this embodiment, silane (SiH 4 ) and nitric oxide (N 2 ) are used as a source gas for growing silicon oxide.
O 2) and silane and ammonia (NH 3 ) as source gas for the growth of the silicon nitride film. Also,
The etching of silicon oxide is similar to that of the first embodiment.
It is performed by a plasma etching method using CF 4 gas or a mixed gas of CH 2 F 2 and Ar. Further, the etching of silicon nitride is performed by a plasma etching method using a mixed gas of CHF 3 and Ar.

【0060】さらに、第一の窒化シリコン膜53の上に
低誘電率の第一の有機絶縁膜54を形成する。その第一
の有機絶縁膜54として、例えば芳香族を含む炭化水素
系の低誘電率有機絶縁材料を第一の窒化シリコン膜53
の上に300nmの厚さにスピンコートし、これを窒素
(N2)雰囲気中で温度400℃、30分間の条件で熱ア
ニールを行って硬化させたものがある。芳香族を含む炭
化水素系の低誘電率有機絶縁材料として、例えばダウケ
ミカル社製の商品名「SiLK」があり、その誘電率は約
2.7である。
Further, a first organic insulating film 54 having a low dielectric constant is formed on the first silicon nitride film 53. As the first organic insulating film 54, for example, a hydrocarbon-based low dielectric constant organic insulating material containing aromatic is used as the first silicon nitride film 53.
There is one in which spin coating is performed to a thickness of 300 nm on the above, and this is cured by thermal annealing in a nitrogen (N 2 ) atmosphere at a temperature of 400 ° C. for 30 minutes. As a hydrocarbon-based low dielectric constant organic insulating material containing an aromatic, there is, for example, a product name “SiLK” manufactured by Dow Chemical Company, and its dielectric constant is about 2.7.

【0061】続いて、第一の有機絶縁膜54の上に第二
のSiO2膜55をプラズマCVD法により100nmの厚さ
に形成する。次に、図15(b) に示すように、第二のSi
O2膜55の上にフォトレジスト56を塗布し、これを露
光、現像することにより配線パターンを持つ窓56aを
形成する。なお、フォトレジストの材料としては、本実
施形態では、例えばポリビニルフェノール系を用いるこ
とにする。
Then, a second SiO 2 film 55 is formed on the first organic insulating film 54 by plasma CVD to a thickness of 100 nm. Next, as shown in FIG. 15 (b), the second Si
A photoresist 56 is applied onto the O 2 film 55, and the photoresist 56 is exposed and developed to form a window 56a having a wiring pattern. In this embodiment, for example, polyvinylphenol is used as the material of the photoresist.

【0062】その後に、図15(c) に示すように、フォ
トレジスト56をマスクに使用して窓56aから露出し
た第二のSiO2膜55の一部を異方性のプラズマエッチン
グ法により除去して開口55aを形成し、続いて、図1
6(a) に示すように、開口55aを通して第一の有機絶
縁膜54の一部をプラズマエッチング法により除去する
ことにより開口54aを形成する。この場合、第一の有
機絶縁膜54のエッチングガスとして窒素(N2)と水素
(H2)を用いる。そのエッチングガスによれば第一の有
機絶縁膜54のエッチングと同時にフォトレジスト56
もエッチングされて除去される。
After that, as shown in FIG. 15C, a part of the second SiO 2 film 55 exposed from the window 56a is removed by anisotropic plasma etching using the photoresist 56 as a mask. To form the opening 55a, and then, as shown in FIG.
As shown in 6 (a), the opening 54a is formed by removing a part of the first organic insulating film 54 through the opening 55a by the plasma etching method. In this case, nitrogen (N 2 ) and hydrogen (H 2 ) are used as the etching gas for the first organic insulating film 54. According to the etching gas, the photoresist 56 is etched simultaneously with the etching of the first organic insulating film 54.
Is also etched away.

【0063】第二のSiO2膜55の開口55aと第一の有
機絶縁膜54の開口54aによって第一の配線溝57が
構成される。次に、図16(b) に示すように、第一の配
線溝57の内面と第二のSiO2膜55の上面の上に、高融
点金属として窒化タンタル(TaN )よりなる第一のバリ
アメタル膜58をスパッタリングにより20nmの厚さで
形成し、続いて、第一のバリアメタル膜58上に第一の
銅(Cu)膜59をスパッタリングにより800nmの厚さ
で成膜した。
A first wiring groove 57 is formed by the opening 55a of the second SiO 2 film 55 and the opening 54a of the first organic insulating film 54. Next, as shown in FIG. 16B, a first barrier made of tantalum nitride (TaN) as a refractory metal is formed on the inner surface of the first wiring groove 57 and the upper surface of the second SiO 2 film 55. The metal film 58 was formed to a thickness of 20 nm by sputtering, and then a first copper (Cu) film 59 was formed to a thickness of 800 nm on the first barrier metal film 58 by sputtering.

【0064】そのCu膜59の上面には凹凸が生じるの
で、窒素と酸素の混合ガスの雰囲気中においてCu膜59
を400℃、15分間の条件でアニールしてその上面を
平坦化する。このアニール処理後には、第一の配線溝5
7内にはCu膜59が完全に埋め込まれた状態となる。続
いて、図16(c) に示すように、化学機械的研磨法(C
MP法)を用いてCu膜59と第一のバリアメタル膜58
を研磨し、第一の配線溝57内のみにCu膜59及び第一
のバリアメタル膜58を残し、これらを第一の配線60
として使用する。
Since unevenness is generated on the upper surface of the Cu film 59, the Cu film 59 is formed in an atmosphere of a mixed gas of nitrogen and oxygen.
Is annealed at 400 ° C. for 15 minutes to flatten its upper surface. After this annealing treatment, the first wiring groove 5
The Cu film 59 is completely embedded in the inside 7. Then, as shown in FIG. 16 (c), a chemical mechanical polishing method (C
Cu film 59 and the first barrier metal film 58 by using the MP method)
Is polished to leave the Cu film 59 and the first barrier metal film 58 only in the first wiring groove 57, and these are used as the first wiring 60.
To use as.

【0065】次に、図17(a) に示すように、第一の配
線60と第二のSiO2膜55の上に以下に述べるような複
数の絶縁膜、金属膜などを形成する。まず、プラズマC
VD法により膜厚50nmの第二の窒化シリコン(Si3N4
膜61を第一の配線60と第二のSiO2膜55の上に形成
する。続いて、厚さ約1000nmの第二の有機絶縁膜6
2を第二のSi3N4 膜61の上に形成する。その第二の有
機絶縁膜62は、「SiLK」のような絶縁材料を使用して
第一の有機絶縁膜54と同じ方法で形成される。
Next, as shown in FIG. 17A, a plurality of insulating films, metal films and the like as described below are formed on the first wiring 60 and the second SiO 2 film 55. First, plasma C
Second silicon nitride (Si 3 N 4 ) with a film thickness of 50 nm by VD method
The film 61 is formed on the first wiring 60 and the second SiO 2 film 55. Then, the second organic insulating film 6 having a thickness of about 1000 nm is formed.
2 is formed on the second Si 3 N 4 film 61. The second organic insulating film 62 is formed in the same manner as the first organic insulating film 54 using an insulating material such as “SiLK”.

【0066】さらに、第二の有機絶縁膜62の上に第三
のSiO2膜63をプラズマCVD法により100nmの厚さ
に形成する。次に、図17(b) に示すように、第三のSi
O2膜63の上にフォトレジスト64を塗布し、これを露
光、現像してヴィアホール形状の窓64aを形成する。
そして、図18(a) に示すように、フォトレジスト64
の窓64aを通して第三のSiO2膜63の一部をプラズマ
エッチング法により除去してその第三のSiO2膜63に開
口63aを形成する。続いて、その開口63aを通して
第二の有機絶縁膜62をエッチングすることにより第二
の有機絶縁膜62に開口62aを形成する。第三のSiO2
膜63の開口63aと第二の有機絶縁膜62の開口62
aは、コンタクトホール(ヴィアホール)65として使
用される。
Further, a third SiO 2 film 63 is formed on the second organic insulating film 62 by plasma CVD to a thickness of 100 nm. Next, as shown in FIG. 17 (b), the third Si
A photoresist 64 is applied on the O 2 film 63, and this is exposed and developed to form a via-hole-shaped window 64a.
Then, as shown in FIG.
A part of the third SiO 2 film 63 is removed by a plasma etching method through the window 64a to form an opening 63a in the third SiO 2 film 63. Subsequently, the opening 62a is formed in the second organic insulating film 62 by etching the second organic insulating film 62 through the opening 63a. Third SiO 2
The opening 63a of the film 63 and the opening 62 of the second organic insulating film 62
a is used as a contact hole (via hole) 65.

【0067】第二の有機絶縁膜62のエッチングの際
に、エッチングガスとして窒素と水素の混合ガスを用い
て異方性のプラズマエッチング法を使用すると、フォト
レジスト64も同時にエッチングされるので、フォトレ
ジスト64を別工程で除去する必要がなく、フォトレジ
ストが除去された後は、第三のSiO2膜63がマスクとな
って第二の有機絶縁膜62がエッチングされる。即ち、
SiO2膜、Si3N4 膜は、そのようなエッチングガスによる
エッチング速度が極めて小さく、第二の有機絶縁膜62
は高選択比でエッチングされる。
When the anisotropic plasma etching method using a mixed gas of nitrogen and hydrogen as an etching gas is used at the time of etching the second organic insulating film 62, the photoresist 64 is also etched at the same time. It is not necessary to remove the resist 64 in a separate step, and after the photoresist is removed, the second organic insulating film 62 is etched using the third SiO 2 film 63 as a mask. That is,
The SiO 2 film and the Si 3 N 4 film have an extremely low etching rate with such an etching gas, and thus the second organic insulating film 62
Is etched with high selectivity.

【0068】なお、第二の有機絶縁膜62のエッチング
後に、フォトレジスト64が残る場合には、ヒドロキシ
ルアミン系の溶剤を用いて除去してもよい。そのヒドロ
キシルアミン系溶剤は「SiLK」よりなる第二の有機絶縁
膜62をエッチングすることはない。次に、図18(b)
に示すように、フォトレジスト66を第三のSiO2膜63
の上に塗布し、これを露光、現像して配線パターンを有
する窓66aを形成する。このフォトレジスト66は、
種類によっては、現像後にコンタクトホール65の底に
溜まってしまい、除去が困難なことがしばしばある。コ
ンタクトホール65内のフォトレジスト66をフォトレ
ジスト用の溶媒で除去しようとすると、第三のSiO2膜6
3上のフォトレジスト66も同時にエッチングされて窓
66aが変形してしまうので、これ以降の工程で除去す
ることにする。
If the photoresist 64 remains after the etching of the second organic insulating film 62, it may be removed by using a hydroxylamine-based solvent. The hydroxylamine-based solvent does not etch the second organic insulating film 62 made of "SiLK". Next, FIG. 18 (b)
As shown in, the photoresist 66 third SiO 2 film 63
Is applied to the above, and this is exposed and developed to form a window 66a having a wiring pattern. This photoresist 66 is
Depending on the type, it is often difficult to remove it because it accumulates at the bottom of the contact hole 65 after development. If the photoresist 66 in the contact hole 65 is removed with a photoresist solvent, the third SiO 2 film 6 is removed.
Since the photoresist 66 on 3 is also etched at the same time and the window 66a is deformed, it will be removed in the subsequent steps.

【0069】続いて、図19(a) に示すように、フォト
レジスト66をマスクに使用して第三のSiO2膜63をエ
ッチングし、さらに、第二の有機絶縁膜62を配線の厚
さに相当する深さまでプラズマ雰囲気中でエッチングす
る。これにより、第三のSiO2膜63と第二の有機絶縁膜
62の上部に第二の配線溝67が形成される。第二の有
機絶縁膜62のエッチングガスとして、上記したように
水素と窒素を使用すると、フォトレジスト66も同時に
エッチングされる。
Then, as shown in FIG. 19A, the third SiO 2 film 63 is etched by using the photoresist 66 as a mask, and the second organic insulating film 62 is further formed to the wiring thickness. Etching is performed in a plasma atmosphere to a depth corresponding to. As a result, the second wiring groove 67 is formed on the third SiO 2 film 63 and the second organic insulating film 62. When hydrogen and nitrogen are used as the etching gas for the second organic insulating film 62, the photoresist 66 is also etched at the same time.

【0070】第二の配線溝67を形成した後であって、
コンタクトホール65の底部にフォトレジスト66が残
っている場合、又は第三のSiO2膜63の上にフォトレジ
スト66が残っている場合には、図19(b) に示すよう
に、ヒドロキシルアミン系の良溶媒によってそのフォト
レジスト66を除去する。コンタクトホール65の底部
に溜まったフォトレジスト66は、第二の有機絶縁膜6
2のエッチングの際にプラズマに曝されており、その表
面が改質されているので、フォトレジスト用の良溶媒で
は除去が難しく、ヒドロキシルアミン系が有効である。
After forming the second wiring groove 67,
When the photoresist 66 remains on the bottom of the contact hole 65, or when the photoresist 66 remains on the third SiO 2 film 63, as shown in FIG. The photoresist 66 is removed with a good solvent. The photoresist 66 accumulated at the bottom of the contact hole 65 is formed by the second organic insulating film 6
Since it was exposed to plasma during the etching of No. 2 and its surface was modified, it was difficult to remove it with a good solvent for photoresist, and a hydroxylamine system was effective.

【0071】次に、図20(a) に示すように、コンタク
トホール65の直下にある第二のSi 3N4 膜をC4F8とO2
用いるプラズマエッチング法により除去し、これにより
第1の配線60の一部を露出させる。続いて、図20
(b) に示すように、第二の配線溝67とその下のコンタ
クトホール65の内面と第三のSiO2膜63の上面に沿っ
て、バリアメタルとしてスパッタリングによりTaN 膜6
8を20nmの厚さに形成し、続いてスパッタリングによ
り銅シード膜69をTaN 膜68の上に150nmの厚さに
形成する。
Next, as shown in FIG.
The second Si directly under the toru 65 3NFourMembrane CFourF8And O2To
Removed by the plasma etching method used,
A part of the first wiring 60 is exposed. Then, FIG.
As shown in (b), the second wiring groove 67 and the contact
Inner surface of the cut hole 65 and the third SiO2Along the top surface of the membrane 63
As a barrier metal, TaN film 6 by sputtering
8 to a thickness of 20 nm, and then by sputtering.
A copper seed film 69 on the TaN film 68 to a thickness of 150 nm.
Form.

【0072】続いて、図21(a) に示すように、電解メ
ッキ法により銅シード層69の上に厚さ800nmの銅膜
70を形成する。そして、図21(b) に示すように、第
三のSiO2膜63の上に存在する銅膜70、銅シード膜6
9及びTaN 膜68はCMP法によって研磨されて除去さ
れる。この研磨後にコンタクトホール65内に残ったそ
れらの金属膜はプラグ(ヴィア)71として使用され、
さらにその上の第二の配線溝67内に残ったそれらの金
属膜は、第二の配線72として適用される。
Subsequently, as shown in FIG. 21A, a copper film 70 having a thickness of 800 nm is formed on the copper seed layer 69 by electrolytic plating. Then, as shown in FIG. 21B, the copper film 70 and the copper seed film 6 existing on the third SiO 2 film 63 are formed.
9 and the TaN film 68 are polished and removed by the CMP method. Those metal films remaining in the contact holes 65 after this polishing are used as plugs (vias) 71,
Further, those metal films remaining in the second wiring groove 67 thereon are applied as the second wiring 72.

【0073】以上のように、本実施形態では、第二の配
線72とプラグ71の周囲に誘電率の高い材料、例えば
無機材料であるSiO2やSi3N4 を配置する必要のないプロ
セスを用いているので、他のデュアルダマシン法に比べ
て効果的に配線容量を低下させることが可能になる。ま
た、レジストを除去する工程を独立に確保する必要もな
く、プラグ及び第二の配線が埋め込まれる絶縁膜の層数
も従来よりも少なくなっていいるので工程数も少なくな
る。
As described above, in the present embodiment, a process which does not require disposing a material having a high dielectric constant, such as SiO 2 or Si 3 N 4 , which is an inorganic material, around the second wiring 72 and the plug 71 is performed. Since it is used, the wiring capacitance can be effectively reduced as compared with the other dual damascene method. In addition, it is not necessary to separately secure the step of removing the resist, and the number of insulating film layers in which the plug and the second wiring are embedded is smaller than in the conventional case, so the number of steps is reduced.

【0074】なお、本実施形態では、低誘電率の有機絶
縁膜54,62として「SiLK」を用いたが、他の有機絶
縁膜、例えばダウケミカル社の商品名「BCB」、アラ
イドシグナル社の商品名「FLARE」、シューマッカ
ー社の商品名「VELOX」を用いてもよい。ところ
で、上記した実施形態は以下の発明に基づくものであ
る。 (1)半導体基板上に第一の絶縁膜、第一の有機絶縁
膜、第二の絶縁膜、金属膜を順に形成する工程と、前記
金属膜を部分的にエッチングして配線パターン形状をも
つ第一の開口を形成する工程と、前記第二の絶縁膜のう
ち前記第一の開口の一部に重なる部分をエッチングして
ヴィアパターン形状をもつ第二の開口を形成する工程
と、前記第二の絶縁膜をマスクに使用して、前記第二の
開口を通して前記第一の有機絶縁膜をエッチングして前
記ヴィアパターン形状をもつ第三の開口を前記第一の有
機絶縁膜に形成する工程と、前記金属膜の前記第一の開
口を通して前記第二の絶縁膜をエッチングすることによ
り、前記配線パターン形状を有する第四の開口を前記第
二の絶縁膜に形成すると同時に、前記第一の有機絶縁膜
の前記第三の開口を通して前記第一の絶縁膜をエッチン
グすることにより前記ヴィアパターン形状を持つ第五の
開口を前記第二の絶縁膜に形成して、該第五の開口をヴ
ィアホールとして適用する工程と、前記第二の絶縁膜の
前記第四の開口を通して前記第一の有機絶縁膜をエッチ
ングして前記配線パターン形状をもつ第六の開口を前記
第一の有機絶縁膜に形成し、該第六の開口と前記第四の
開口を配線溝として適用する工程と、前記ヴィアホール
と前記配線溝に同時に導電体を埋め込むことにより、前
記ヴィアホール内にヴィアを形成するとともに前記配線
溝内に配線を形成する工程と、前記金属膜を除去する工
程とを有することを特徴とする半導体装置の製造方法。 (2)前記第一の絶縁膜の下に第三の絶縁膜を形成する
工程と、前記第六の開口を通して前記第三の絶縁膜をエ
ッチングすることにより第七の開口を形成して前記ヴィ
アホールの一部とする工程とをさらに有することを特徴
とする(1)に記載の半導体装置の製造方法。 (3)前記第一の絶縁膜と前記第三の絶縁膜の間に第二
の有機絶縁膜を形成する工程をさらに有し、前記第二の
有機絶縁膜には、前記第一の有機絶縁膜に前記第六の開
口を形成すると同時に、前記第一の絶縁膜の前記第五の
開口を通してエッチングされて第八の開口が形成され
て、前記第八の開口は前記第五の開口と前記第七の開口
とともに前記ヴィアホールとして適用する工程を有する
ことを特徴とする(2)に記載の半導体装置の製造方
法。 (4)前記導電膜は前記第二の絶縁膜の上にも形成さ
れ、前記第二の絶縁膜の上の前記導電膜は、前記金属膜
よりも前に除去されることを特徴とする(1)に記載の
半導体装置の製造方法。 (5)前記第一の絶縁膜及び前記第二の絶縁膜は、無機
絶縁材料から構成されていることを特徴とする(1)に
記載の半導体装置の製造方法。 (6)前記金属膜は、高融点金属又は高融点金属化合物
から構成されることを特徴とする(1)に記載の半導体
装置の製造方法。 (7)前記導電膜は、バリアメタル膜と銅膜の二層構造
から構成されていることを特徴とする(1)に記載の半
導体装置の製造方法。 (8)前記金属膜に第一の開口を形成する際にレジスト
マスクを使用し、該レジストマスクは前記第二の開口を
形成する前に除去されることを特徴とする(1)に記載
の半導体装置の製造方法。 (9)前記第二の絶縁膜に第二の開口を形成する際にレ
ジストマスクを使用し、前記第三の開口を形成するため
に前記第一の有機絶縁膜の一部をエッチングする際に前
記レジストマスクは同時にエッチングされることを特徴
とする(1)に記載の半導体装置の製造方法。 (10)半導体基板上に、第一の絶縁膜、第一の有機絶
縁膜及び第二の絶縁膜を順に形成する工程と、前記第二
の絶縁膜を部分的にエッチングしてヴィアパターン形状
をもつ第一の開口を形成する工程と、前記第二の絶縁膜
の前記第一の開口を通して前記第一の有機絶縁膜をエッ
チングして、前記ヴィアパターン形状をもつ第二の開口
を前記第一の有機絶縁膜に形成する工程と、前記第二の
絶縁膜のうち前記第一の開口を含む領域をエッチングし
て配線パターン形状をもつ第三の開口を形成するととも
に、前記第一の有機絶縁膜の前記第二の開口を通してそ
の下の前記第一の絶縁膜をエッチングして、第四の開口
を前記第一の絶縁膜に形成し、該第4の開口をヴィアホ
ールとして適用する工程と、前記第二の絶縁膜の前記第
三の開口を通して前記第一の有機絶縁膜をエッチングし
て第五の開口を前記第一の有機絶縁膜に形成し、該第五
の開口と前記第三の開口を配線溝として適用する工程
と、前記ヴィアホールと前記配線溝に同時に導電体を埋
め込むことにより、前記ヴィアホール内にはヴィアを形
成するとともに前記配線溝内には配線を形成する工程と
を有することを特徴とする半導体装置の製造方法。 (11)前記第一の絶縁膜の下に第三の絶縁膜を形成す
る工程と、前記第四の開口を通して前記第三の絶縁膜を
エッチングすることにより第六の開口を形成して前記ヴ
ィアホールの一部とする工程とをさらに有することを特
徴とする(10)に記載の半導体装置の製造方法。 (12)前記第一の絶縁膜と前記第三の絶縁膜の間に第
二の有機絶縁膜を形成する工程をさらに有し、前記第二
の有機絶縁膜には、前記第一の有機絶縁膜に前記第五の
開口を形成すると同時に、前記第一の絶縁膜の前記第四
の開口を通してエッチングされて第七の開口が形成され
て、前記第七の開口は前記第四の開口と前記第六の開口
とともに前記ヴィアホールとして適用する工程を有する
ことを特徴とする(11)に記載の半導体装置の製造方
法。 (13)前記導電膜は前記第二の絶縁膜の上にも形成さ
れ、前記第二の絶縁膜の上の前記導電膜は研磨法により
除去されることを特徴とする(10)に記載の半導体装
置の製造方法。 (14)前記第一の絶縁膜及び前記第二の絶縁膜は、無
機絶縁材料から構成されていることを特徴とする(1
0)に記載の半導体装置の製造方法。 (15)前記導電膜は、バリアメタル膜と銅膜の二層構
造から構成されていることを特徴とする(10)に記載
の半導体装置の製造方法。 (16)前記第二の絶縁膜の前記第一の開口は、レジス
トをマスクに使用して前記第二の絶縁膜をエッチングす
ることにより形成され、前記レジストは、前記第二の開
口を形成するために前記第一の有機絶縁膜をエッチング
することと並行して除去されることを特徴とする(1
0)に記載の半導体装置の製造方法。 (17)半導体基板上に第一の絶縁膜と第二の絶縁膜を
順に形成する工程と、ヴィアパターン形状をもつ第一の
窓を有する第一のフォトレジストを前記第二の絶縁膜上
に形成する工程と、前記第一のフォトレジストをマスク
に使用して前記第二の絶縁膜をエッチンすることにより
前記ヴィアパターン形状をもつ第一の開口を形成する工
程と、前記第一の開口を通して前記第一の絶縁膜をエッ
チングすることにより前記ヴィアパターン形状をもつ第
二の開口を形成する工程と、配線パターンをもつ第二の
フォトレジストを前記第二の絶縁膜の上に形成する工程
と、前記第二のフォトレジストをマスクに使用して前記
第二の絶縁膜をエッチングして前記配線パターンをもつ
第三の開口を形成する工程と、前記第三の開口を通して
前記第一の絶縁膜の上部をエッチングすることにより、
前記配線パターンをもつ第四の開口を形成する工程と、
前記第二の開口と前記第三の開口と前記第四の開口内に
導電膜を埋め込んで、前記第三及び第四の開口の中に配
線を形成するとともに、前記第2の開口内にヴィアを形
成する工程とを有することを特徴とする半導体装置の製
造方法。 (18)前記第二の開口を形成した後に、前記第一のフ
ォトレジストは、ヒドロキシルアミン系の剥離液を用い
て除去されることを特徴とする(17)に記載の半導体
装置の製造方法。 (19)前記第4の開口を形成した後に、前記第二のフ
ォトレジストは、ヒドロキシルアミン系の剥離液を用い
て除去されることを特徴とする(17)に記載の半導体
装置の製造方法。 (20)前記第一の絶縁膜は炭化水素系絶縁材から構成
され、前記第二の絶縁膜はシリコン含有絶縁材料から構
成されていることを特徴とする(17)に記載の半導体
装置の製造方法。 (21)前記炭化水素系絶縁材は、芳香族を含むことを
特徴とする(20)に記載の半導体装置の製造方法。 (22)前記シリコン含有絶縁材料は、酸化シリコン、
窒化シリコン、酸化窒化シリコン又は炭化シリコンであ
ることを特徴とする(20)に記載の半導体装置の製造
方法。 (23)前記第一の絶縁膜をエッチングして前記第二の
開口を形成すると同時に、前記第一のフォトレジストは
エッチングされることを特徴とする(20)に記載の半
導体装置の製造方法。 (24)前記第一の絶縁膜をエッチングして前記第四の
開口を形成すると同時に、前記第二のフォトレジストは
エッチングされることを特徴とする(20)に記載の半
導体装置の製造方法。
In this embodiment, “SiLK” is used as the low dielectric constant organic insulating films 54 and 62, but other organic insulating films such as the product name “BCB” of Dow Chemical Co., Allied Signal Co. The product name “FLARE” and the product name “VELOX” of Shoe Macker Company may be used. By the way, the above-described embodiment is based on the following invention. (1) A step of sequentially forming a first insulating film, a first organic insulating film, a second insulating film, and a metal film on a semiconductor substrate, and having a wiring pattern shape by partially etching the metal film. Forming a first opening, etching a portion of the second insulating film overlapping a portion of the first opening to form a second opening having a via pattern shape, Using the second insulating film as a mask, etching the first organic insulating film through the second opening to form a third opening having the via pattern shape in the first organic insulating film. And etching the second insulating film through the first opening of the metal film to form a fourth opening having the wiring pattern shape in the second insulating film, and at the same time, the first opening is formed. Through the third opening of the organic insulation film Etching the first insulating film to form a fifth opening having the via pattern shape in the second insulating film, and applying the fifth opening as a via hole. Etching the first organic insulating film through the fourth opening of the second insulating film to form a sixth opening having the wiring pattern shape in the first organic insulating film; and A step of applying the fourth opening as a wiring groove, and a step of forming a via in the via hole and forming a wiring in the wiring groove by simultaneously embedding a conductor in the via hole and the wiring groove And a step of removing the metal film, a method of manufacturing a semiconductor device. (2) A step of forming a third insulating film under the first insulating film, and a step of forming the seventh opening by etching the third insulating film through the sixth opening to form the via The method for manufacturing a semiconductor device according to (1), further including the step of forming a part of the hole. (3) The method further includes the step of forming a second organic insulating film between the first insulating film and the third insulating film, wherein the second organic insulating film has the first organic insulating film. Simultaneously with forming the sixth opening in the film, the eighth opening is formed by etching through the fifth opening of the first insulating film, and the eighth opening is formed with the fifth opening and the fifth opening. The method of manufacturing a semiconductor device according to (2), further comprising the step of applying the via hole together with the seventh opening. (4) The conductive film is also formed on the second insulating film, and the conductive film on the second insulating film is removed before the metal film ( The method of manufacturing a semiconductor device according to 1). (5) The method for manufacturing a semiconductor device according to (1), wherein the first insulating film and the second insulating film are made of an inorganic insulating material. (6) The method for manufacturing a semiconductor device according to (1), wherein the metal film is made of a refractory metal or a refractory metal compound. (7) The method of manufacturing a semiconductor device according to (1), wherein the conductive film has a two-layer structure of a barrier metal film and a copper film. (8) A resist mask is used when forming the first opening in the metal film, and the resist mask is removed before forming the second opening. Manufacturing method of semiconductor device. (9) Using a resist mask when forming the second opening in the second insulating film, and etching a part of the first organic insulating film to form the third opening. The method of manufacturing a semiconductor device according to (1), wherein the resist mask is simultaneously etched. (10) A step of sequentially forming a first insulating film, a first organic insulating film, and a second insulating film on a semiconductor substrate, and partially etching the second insulating film to form a via pattern shape. Forming a first opening having the second opening, and etching the first organic insulating film through the first opening of the second insulating film to form the second opening having the via pattern shape. And forming a third opening having a wiring pattern shape by etching a region of the second insulating film including the first opening, and forming the third opening having the wiring pattern shape. Etching the underlying first insulating film through the second opening of the film to form a fourth opening in the first insulating film and applying the fourth opening as a via hole; , Through the third opening in the second insulating film The step of etching the first organic insulating film to form a fifth opening in the first organic insulating film, and applying the fifth opening and the third opening as wiring trenches; and the via hole. And a step of forming a via in the via hole and forming a wiring in the wiring groove by simultaneously embedding a conductor in the wiring groove, and a method of manufacturing a semiconductor device. (11) A step of forming a third insulating film below the first insulating film, and a sixth opening is formed by etching the third insulating film through the fourth opening to form the via. The method for manufacturing a semiconductor device according to (10), further including the step of forming a part of the hole. (12) The method further includes the step of forming a second organic insulating film between the first insulating film and the third insulating film, wherein the second organic insulating film has the first organic insulating film. At the same time when the fifth opening is formed in the film, a seventh opening is formed by etching through the fourth opening of the first insulating film, and the seventh opening is formed as the fourth opening and the fourth opening. (11) The method for manufacturing a semiconductor device according to (11), further comprising a step of applying the via hole together with a sixth opening. (13) The conductive film is also formed on the second insulating film, and the conductive film on the second insulating film is removed by a polishing method. Manufacturing method of semiconductor device. (14) The first insulating film and the second insulating film are made of an inorganic insulating material (1)
0) The method for manufacturing a semiconductor device described in 0). (15) The method of manufacturing a semiconductor device according to (10), wherein the conductive film has a two-layer structure of a barrier metal film and a copper film. (16) The first opening of the second insulating film is formed by etching the second insulating film using a resist as a mask, and the resist forms the second opening. Therefore, it is removed in parallel with the etching of the first organic insulating film (1)
0) The method for manufacturing a semiconductor device described in 0). (17) A step of sequentially forming a first insulating film and a second insulating film on a semiconductor substrate, and a first photoresist having a first window having a via pattern shape is formed on the second insulating film. Forming a first opening having the via pattern shape by etching the second insulating film using the first photoresist as a mask, and through the first opening Forming a second opening having the via pattern shape by etching the first insulating film, and forming a second photoresist having a wiring pattern on the second insulating film. Etching the second insulating film using the second photoresist as a mask to form a third opening having the wiring pattern; and the first insulating film through the third opening. By etching the upper,
Forming a fourth opening having the wiring pattern,
A conductive film is embedded in the second opening, the third opening, and the fourth opening to form a wiring in the third and fourth openings, and a via is formed in the second opening. And a step of forming a semiconductor device. (18) The method of manufacturing a semiconductor device according to (17), wherein after the second opening is formed, the first photoresist is removed by using a hydroxylamine-based stripping solution. (19) The method of manufacturing a semiconductor device according to (17), wherein after the fourth opening is formed, the second photoresist is removed using a hydroxylamine-based stripping solution. (20) The manufacturing of the semiconductor device according to (17), wherein the first insulating film is made of a hydrocarbon-based insulating material and the second insulating film is made of a silicon-containing insulating material. Method. (21) The method for manufacturing a semiconductor device according to (20), wherein the hydrocarbon insulating material contains an aromatic. (22) The silicon-containing insulating material is silicon oxide,
The method for manufacturing a semiconductor device according to (20), which is silicon nitride, silicon oxynitride, or silicon carbide. (23) The method of manufacturing a semiconductor device according to (20), wherein the first photoresist is etched at the same time when the first insulating film is etched to form the second opening. (24) The method of manufacturing a semiconductor device according to (20), wherein the second photoresist is etched at the same time when the first insulating film is etched to form the fourth opening.

【0075】[0075]

【発明の効果】以上述べたように本発明によれば、層間
絶縁膜に無機材料と低誘電有機材料を用い、且つ配線に
銅を用いたデュアルダマシン法による多層配線形成工程
において、最上の無機絶縁膜にヴィアホール形状の開口
を形成した後に最上の無機絶縁膜をマスクに使用してそ
の下の有機絶縁膜にヴィアホール形状の開口を形成し、
ついで、最上の無機絶縁膜に配線形状の開口を形成した
後に最上の無機絶縁膜をマスクに使用してその下の有機
絶縁膜に配線形状の開口を形成したので、最上の無機絶
縁膜の開口を形成する際に使用したフォトレジストは次
の有機絶縁膜の開口を形成する際に同時に除去すること
ができ、フォトレジストを除去する際に有機絶縁膜の開
口に悪影響を及ぼすことを防止できる。
As described above, according to the present invention, in the multilayer damascene process by the dual damascene method using the inorganic material and the low dielectric organic material for the interlayer insulating film and copper for the wiring, the best inorganic After forming a via-hole-shaped opening in the insulating film, use the uppermost inorganic insulating film as a mask to form a via-hole-shaped opening in the organic insulating film below it.
Then, after forming the wiring-shaped opening in the uppermost inorganic insulating film, the uppermost inorganic insulating film was used as a mask to form the wiring-shaped opening in the organic insulating film thereunder, so that the opening of the uppermost inorganic insulating film was formed. The photoresist used for forming the film can be removed at the same time when the opening of the next organic insulating film is formed, and it is possible to prevent the opening of the organic insulating film from being adversely affected when removing the photoresist.

【0076】また、本発明によれば、最上の無機絶縁膜
に形成した配線用の開口と有機絶縁膜に形成したヴィア
ホールの形状をそれらの下にある絶縁膜に順次転写する
ようにしたので、層間絶縁膜を構成する無機絶縁膜と有
機絶縁膜を各々最適な条件でエッチングすることができ
る。したがって、本発明を用いることにより、効果的な
配線間容量の低減と良好なヴィアコンタクト抵抗が得ら
れ、半導体装置の性能と信頼性の向上が図れる。
Further, according to the present invention, the shapes of the wiring opening formed in the uppermost inorganic insulating film and the via hole formed in the organic insulating film are sequentially transferred to the insulating film below them. The inorganic insulating film and the organic insulating film forming the interlayer insulating film can be etched under optimum conditions. Therefore, by using the present invention, it is possible to effectively reduce the inter-wiring capacitance, obtain a good via contact resistance, and improve the performance and reliability of the semiconductor device.

【0077】さらに本発明によれば、デュアルダマシン
法によりビアと配線を有機絶縁膜内に形成しているの
で、酸化シリコンや窒化シリコンを用いる多層配線構造
に比べて効果的に配線容量を低下させることが可能にな
るし、また、絶縁膜にヴィアホールや配線溝を形成する
ためのエッチングガスの交換回数が少なくなって安価な
多層配線形成が可能になる。
Further, according to the present invention, since the via and the wiring are formed in the organic insulating film by the dual damascene method, the wiring capacitance can be effectively reduced as compared with the multilayer wiring structure using silicon oxide or silicon nitride. In addition, the number of times of exchanging the etching gas for forming the via hole and the wiring groove in the insulating film is reduced, and the inexpensive multilayer wiring can be formed.

【図面の簡単な説明】[Brief description of drawings]

【図1】図1(a) 〜図1(d) は、第1の従来のデュアル
ダマシン法により多層配線構造を形成する工程を示す断
面図である。
FIG. 1A to FIG. 1D are cross-sectional views showing a process of forming a multilayer wiring structure by a first conventional dual damascene method.

【図2】図2(a) 〜図2(c) は、第2の従来のデュアル
ダマシン法により多層配線構造を形成する工程を示す断
面図である。
2 (a) to 2 (c) are cross-sectional views showing a step of forming a multilayer wiring structure by a second conventional dual damascene method.

【図3】図3(a) 〜図3(d) は、本発明の第1の実施の
形態に係るデュアルダマシン法による多層配線構造を形
成する工程を示す断面図(その1)である。
3 (a) to 3 (d) are cross-sectional views (No. 1) showing a step of forming a multilayer wiring structure by a dual damascene method according to the first embodiment of the present invention.

【図4】図4(a) 〜図4(c) は、本発明の第1の実施の
形態に係るデュアルダマシン法による多層配線構造を形
成する工程を示す断面図(その2)である。
FIGS. 4A to 4C are cross-sectional views (No. 2) showing a step of forming a multilayer wiring structure by the dual damascene method according to the first embodiment of the present invention.

【図5】図5(a),(b) は、本発明の第1の実施の形態に
係るデュアルダマシン法による多層配線構造を形成する
工程を示す断面図(その3)である。
5A and 5B are cross-sectional views (3) showing a process of forming a multilayer wiring structure by the dual damascene method according to the first embodiment of the present invention.

【図6】図6(a) 〜(c) は、本発明の第1の実施の形態
に係るデュアルダマシン法による多層配線構造を形成す
る工程を示す断面図(その4)である。
6A to 6C are cross-sectional views (No. 4) showing a step of forming a multilayer wiring structure by the dual damascene method according to the first embodiment of the present invention.

【図7】図7(a),(b) は、本発明の第1の実施の形態に
係るデュアルダマシン法による多層配線構造を形成する
工程を示す断面図(その5)である。
7A and 7B are cross-sectional views (No. 5) showing a step of forming a multilayer wiring structure by the dual damascene method according to the first embodiment of the present invention.

【図8】図8(a),(b) は、本発明の第1の実施の形態に
係るデュアルダマシン法による多層配線構造を形成する
工程を示す断面図(その6)である。
8A and 8B are cross-sectional views (No. 6) showing a step of forming a multilayer wiring structure by the dual damascene method according to the first embodiment of the present invention.

【図9】図9(a),(b) は、本発明の第2の実施の形態に
係るデュアルダマシン法による多層配線構造を形成する
工程を示す断面図(その1)である。
9A and 9B are cross-sectional views (No. 1) showing a process of forming a multilayer wiring structure by a dual damascene method according to the second embodiment of the present invention.

【図10】図10(a),(b) は、本発明の第2の実施の形
態に係るデュアルダマシン法による多層配線構造を形成
する工程を示す断面図(その2)である。
FIGS. 10A and 10B are cross-sectional views (No. 2) showing a step of forming a multilayer wiring structure by the dual damascene method according to the second embodiment of the present invention.

【図11】図11(a) 〜(c) は、本発明の第2の実施の
形態に係るデュアルダマシン法による多層配線構造を形
成する工程を示す断面図(その3)である。
11 (a) to 11 (c) are cross-sectional views (No. 3) showing a step of forming a multilayer wiring structure by the dual damascene method according to the second embodiment of the present invention.

【図12】図12は、第1の実施の形態と第2の実施の
形態により形成されたコンタクトヴィアの直径と歩留り
の関係を示す図である。
FIG. 12 is a diagram showing a relationship between a diameter and a yield of contact vias formed according to the first embodiment and the second embodiment.

【図13】図13は、第1の実施の形態と第2の実施の
形態により形成された配線の間隔と容量を従来との関係
と比較した図である。
FIG. 13 is a diagram comparing the spacing and capacitance of the wirings formed according to the first embodiment and the second embodiment with the relationship with the related art.

【図14】図14は、本発明の第3の実施の形態に係る
デュアルダマシン法における有機絶縁膜への開口を形成
する工程を示す断面図である。
FIG. 14 is a cross-sectional view showing a step of forming an opening to an organic insulating film in a dual damascene method according to the third embodiment of the present invention.

【図15】図15(a) 〜(c) は、本発明の第4の実施の
形態に係るデュアルダマシン法による多層配線構造を形
成する工程を示す断面図(その1)である。
15A to 15C are cross-sectional views (No. 1) showing a step of forming a multilayer wiring structure by a dual damascene method according to the fourth embodiment of the present invention.

【図16】図16(a) 〜(c) は、本発明の第4の実施の
形態に係るデュアルダマシン法による多層配線構造を形
成する工程を示す断面図(その2)である。
16A to 16C are cross-sectional views (No. 2) showing a step of forming a multilayer wiring structure by the dual damascene method according to the fourth embodiment of the present invention.

【図17】図17(a),(b) は、本発明の第4の実施の形
態に係るデュアルダマシン法による多層配線構造を形成
する工程を示す断面図(その3)である。
17 (a) and 17 (b) are cross-sectional views (3) showing a process of forming a multilayer wiring structure by a dual damascene method according to the fourth embodiment of the present invention.

【図18】図18(a),(b) は、本発明の第4の実施の形
態に係るデュアルダマシン法による多層配線構造を形成
する工程を示す断面図(その4)である。
18A and 18B are cross-sectional views (No. 4) showing a process of forming a multilayer wiring structure by the dual damascene method according to the fourth embodiment of the present invention.

【図19】図19(a),(b) は、本発明の第4の実施の形
態に係るデュアルダマシン法による多層配線構造を形成
する工程を示す断面図(その5)である。
19A and 19B are cross-sectional views (No. 5) showing a step of forming a multilayer wiring structure by the dual damascene method according to the fourth embodiment of the present invention.

【図20】図20(a),(b) は、本発明の第4の実施の形
態に係るデュアルダマシン法による多層配線構造を形成
する工程を示す断面図(その6)である。
FIGS. 20 (a) and 20 (b) are cross-sectional views (No. 6) showing a step of forming a multilayer wiring structure by the dual damascene method according to the fourth embodiment of the present invention.

【図21】図21(a),(b) は、本発明の第4の実施の形
態に係るデュアルダマシン法による多層配線構造を形成
する工程を示す断面図(その7)である。
21A and 21B are cross-sectional views (No. 7) showing a step of forming a multilayer wiring structure by the dual damascene method according to the fourth embodiment of the present invention.

【符号の説明】[Explanation of symbols]

21…シリコン(半導体)基板、22…第一のSiO2膜、
23…第一の有機絶縁膜、24…第二のSiO2膜、25…
フォトレジスト膜、26…第一の配線溝、27…第一の
バリアメタル膜、28…Cu膜、29…第一の配線、30
…窒化シリコン、31…第三のSiO2膜、32…第二の有
機絶縁膜、33…第四のSiO2膜、34…中間金属膜、3
5…フォトレジスト、36…フォトレジスト、37…第
二の配線溝、38…コンタクトヴィアホール、39…Ti
N 膜、40…銅膜、41…銅膜、42…第二の配線、4
3…ヴィア、44…フォトレジスト、45…フォトレジ
スト、50…有機絶縁膜。51…シリコン(半導体)基
板、61…窒化シリコン膜、62…有機絶縁膜、63…
酸化シリコン膜、64…フォトレジスト、65…コンタ
クト(ヴィア)ホール、66…フォトレジスト、67…
配線溝、68…TaN膜、69…銅シード膜、70…銅
膜、71…プラグ(ヴィア)、72…第二の配線。
21 ... Silicon (semiconductor) substrate, 22 ... First SiO 2 film,
23 ... First organic insulating film, 24 ... Second SiO 2 film, 25 ...
Photoresist film, 26 ... First wiring groove, 27 ... First barrier metal film, 28 ... Cu film, 29 ... First wiring, 30
... silicon nitride, 31 ... third SiO 2 film, 32 ... second organic insulating film, 33 ... fourth SiO 2 film, 34 ... intermediate metal film, 3
5 ... Photoresist, 36 ... Photoresist, 37 ... Second wiring groove, 38 ... Contact via hole, 39 ... Ti
N film, 40 ... Copper film, 41 ... Copper film, 42 ... Second wiring, 4
3 ... Via, 44 ... Photoresist, 45 ... Photoresist, 50 ... Organic insulating film. 51 ... Silicon (semiconductor) substrate, 61 ... Silicon nitride film, 62 ... Organic insulating film, 63 ...
Silicon oxide film, 64 ... Photoresist, 65 ... Contact (via) hole, 66 ... Photoresist, 67 ...
Wiring groove, 68 ... TaN film, 69 ... Copper seed film, 70 ... Copper film, 71 ... Plug (via), 72 ... Second wiring.

───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/768 H01L 21/28 H01L 21/3205 ─────────────────────────────────────────────────── ─── Continuation of front page (58) Fields surveyed (Int.Cl. 7 , DB name) H01L 21/768 H01L 21/28 H01L 21/3205

Claims (7)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】半導体基板上に、第三の絶縁膜、第一の絶
縁膜、第一の有機絶縁膜、第二の絶縁膜、金属膜を順に
形成する工程と、 前記金属膜を部分的にエッチングして配線パターン形状
をもつ第一の開口を形成する工程と、 前記第二の絶縁膜のうち前記第一の開口の一部に重なる
部分をエッチングしてヴィアパターン形状をもつ第二の
開口を形成する工程と、 前記第二の絶縁膜をマスクに使用して、前記第二の開口
を通して前記第一の有機絶縁膜をエッチングして前記ヴ
ィアパターン形状をもつ第三の開口を前記第一の有機絶
縁膜に形成する工程と、 前記金属膜の前記第一の開口を通して前記第二の絶縁膜
をエッチングすることにより、前記配線パターン形状を
有する第四の開口を前記第二の絶縁膜に形成すると同時
に、前記第一の有機絶縁膜の前記第三の開口を通して前
記第一の絶縁膜をエッチングすることにより前記ヴィア
パターン形状を持つ第五の開口を前記第二の絶縁膜に形
成して、該第五の開口をヴィアホールの一部とする工程
と、 前記第二の絶縁膜の前記第四の開口を通して前記第一の
有機絶縁膜をエッチングして前記配線パターン形状をも
つ第六の開口を前記第一の有機絶縁膜に形成し、該第六
の開口と前記第四の開口を配線溝として適用する工程
と、 前記第六の開口を通して前記第三の絶縁膜をエッチング
することにより第七の開口を形成して前記ヴィアホール
の一部とする工程とを有する半導体装置の製造方法であ
って、 前記第一の絶縁膜と前記第三の絶縁膜の間に第二の有機
絶縁膜を形成する工程をさらに有し、 前記第二の有機絶縁膜には、前記第一の有機絶縁膜に前
記第六の開口を形成すると同時に、前記第一の絶縁膜の
前記第五の開口を通してエッチングされて第八の開口が
形成されて、前記第八の開口は前記第五の開口と前記第
七の開口とともに前記ヴィアホールとして適用する工程
と、 前記ヴィアホールと前記配線溝に同時に導電体を埋め込
むことにより、前記ヴィアホール内にヴィアを形成する
とともに前記配線溝内に配線を形成する工程と、 前記金属膜を除去する工程とを有することを特徴とする
半導体装置の製造方法。
1. A step of sequentially forming a third insulating film, a first insulating film, a first organic insulating film, a second insulating film, and a metal film on a semiconductor substrate, and the metal film is partially formed. To form a first opening having a wiring pattern shape, and etching a portion of the second insulating film overlapping a part of the first opening to form a second opening having a via pattern shape. Forming an opening; and using the second insulating film as a mask, etching the first organic insulating film through the second opening to form a third opening having the via pattern shape. Forming a first organic insulating film, and etching the second insulating film through the first opening of the metal film to form a fourth opening having the wiring pattern shape in the second insulating film. At the same time as forming into A fifth opening having the via pattern shape is formed in the second insulating film by etching the first insulating film through the third opening of the edge film, and the fifth opening is formed as a via hole. And a step of forming a sixth opening having the wiring pattern shape by etching the first organic insulating film through the fourth opening of the second insulating film. And applying the sixth opening and the fourth opening as wiring trenches, and forming the seventh opening by etching the third insulating film through the sixth opening And a step of forming a second organic insulating film between the first insulating film and the third insulating film. The first organic insulating film is formed on the second organic insulating film. A sixth opening is formed in the machine insulating film, and at the same time, an eighth opening is formed by etching through the fifth opening of the first insulating film, and the eighth opening is the fifth opening. And a step of applying as a via hole together with the seventh opening, a conductor is simultaneously embedded in the via hole and the wiring groove to form a via in the via hole and a wiring in the wiring groove. And a step of removing the metal film, a method of manufacturing a semiconductor device.
【請求項2】半導体基板上に、第三の絶縁膜、第一の絶
縁膜、第一の有機絶縁膜及び第二の絶縁膜を順に形成す
る工程と、 前記第二の絶縁膜を部分的にエッチングしてヴィアパタ
ーン形状をもつ第一の開口を形成する工程と、 前記第二の絶縁膜の前記第一の開口を通して前記第一の
有機絶縁膜をエッチングして、前記ヴィアパターン形状
をもつ第二の開口を前記第一の有機絶縁膜に形成する工
程と、 前記第二の絶縁膜のうち前記第一の開口を含む領域をエ
ッチングして配線パターン形状をもつ第三の開口を形成
するとともに、前記第一の有機絶縁膜の前記第二の開口
を通してその下の前記第一の絶縁膜をエッチングして、
第四の開口を前記第一の絶縁膜に形成し、該第4の開口
をヴィアホールの一部とする工程と、 前記第二の絶縁膜の前記第三の開口を通して前記第一の
有機絶縁膜をエッチングして第五の開口を前記第一の有
機絶縁膜に形成し、該第五の開口と前記第三の開口を配
線溝として適用する工程と、 前記第四の開口を通して前記第三の絶縁膜をエッチング
することにより第六の開口を形成して前記ヴィアホール
の一部とする工程とを有する半導体装置の製造方法であ
って、 前記第一の絶縁膜と前記第三の絶縁膜の間に第二の有機
絶縁膜を形成する工程をさらに有し、 前記第二の有機絶縁膜には、前記第一の有機絶縁膜に前
記第五の開口を形成すると同時に、前記第一の絶縁膜の
前記第四の開口を通してエッチングされて第七の開口が
形成されて、前記第七の開口は前記第四の開口と前記第
六の開口とともに前記ヴィアホールとして適用する工程
と、 前記ヴィアホールと前記配線溝に同時に導電体を埋め込
むことにより、前記ヴィアホール内にはヴィアを形成す
るとともに前記配線溝内には配線を形成する工程とを有
することを特徴とする半導体装置の製造方法。
2. A step of sequentially forming a third insulating film, a first insulating film, a first organic insulating film and a second insulating film on a semiconductor substrate, and the second insulating film is partially formed. Forming a first opening having a via pattern shape by etching, and etching the first organic insulating film through the first opening of the second insulating film to have the via pattern shape. Forming a second opening in the first organic insulating film; and etching a region of the second insulating film including the first opening to form a third opening having a wiring pattern shape. Together with, etching the first insulating film thereunder through the second opening of the first organic insulating film,
Forming a fourth opening in the first insulating film and making the fourth opening part of a via hole; and the first organic insulating film through the third opening in the second insulating film. Etching the film to form a fifth opening in the first organic insulating film, and applying the fifth opening and the third opening as wiring grooves; and the third opening through the fourth opening. A step of forming a sixth opening by etching the insulating film to form a part of the via hole, wherein the first insulating film and the third insulating film are formed. And a step of forming a second organic insulating film between, the second organic insulating film, at the same time as forming the fifth opening in the first organic insulating film, at the same time as the first The insulating film is etched through the fourth opening to form a seventh opening, and The seventh opening is applied as the via hole together with the fourth opening and the sixth opening, and a conductor is simultaneously embedded in the via hole and the wiring groove to form a via hole in the via hole. And a step of forming a wiring in the wiring groove, the method for manufacturing a semiconductor device.
【請求項3】半導体基板上に第一の絶縁膜と第二の絶縁
膜を順に形成する工程と、 ヴィアパターン形状をもつ第一の窓を有する第一のフォ
トレジストを前記第二の絶縁膜上に形成する工程と、 前記第一のフォトレジストをマスクに使用して前記第二
の絶縁膜をエッチンすることにより前記ヴィアパターン
形状をもつ第一の開口を形成する工程と、 前記第一の開口を通して前記第一の絶縁膜をエッチング
することにより前記ヴィアパターン形状をもつ第二の開
口を形成する工程と、 配線パターンをもつ第二のフォトレジストを前記第二の
絶縁膜の上に形成する工程と、 前記第二のフォトレジストをマスクに使用して前記第二
の絶縁膜をエッチングして前記配線パターンをもつ第三
の開口を形成する工程と、 前記第三の開口を通して前記第一の絶縁膜の上部をエッ
チングすることにより、前記配線パターンをもつ第四の
開口を形成する工程と、 前記第二の開口と前記第三の開口と前記第四の開口内に
導電膜を埋め込んで、前記第三及び第四の開口の中に配
線を形成するとともに、前記第二の開口内にヴィアを形
成する工程とを有する半導体装置の製造方法であって、 前記第二の開口を形成した後に、前記第一のフォトレジ
ストは、ヒドロキシルアミン系の剥離液を用いて除去さ
れることを特徴とする半導体装置の製造方法。
3. A step of sequentially forming a first insulating film and a second insulating film on a semiconductor substrate, and a step of forming a first photoresist having a first window having a via pattern shape on the second insulating film. Forming a first opening having the via pattern shape by etching the second insulating film using the first photoresist as a mask; Forming a second opening having the via pattern shape by etching the first insulating film through the opening; and forming a second photoresist having a wiring pattern on the second insulating film. A step of forming a third opening having the wiring pattern by etching the second insulating film using the second photoresist as a mask, and the first opening through the third opening A step of forming a fourth opening having the wiring pattern by etching the upper part of the insulating film; and filling a conductive film in the second opening, the third opening and the fourth opening, Forming a wiring in the third and fourth openings and forming a via in the second opening, the method comprising: The method of manufacturing a semiconductor device, wherein the first photoresist is removed using a hydroxylamine-based stripping solution.
【請求項4】半導体基板上に第一の絶縁膜と第二の絶縁
膜を順に形成する工程と、 ヴィアパターン形状をもつ第一の窓を有する第一のフォ
トレジストを前記第二の絶縁膜上に形成する工程と、 前記第一のフォトレジストをマスクに使用して前記第二
の絶縁膜をエッチンすることにより前記ヴィアパターン
形状をもつ第一の開口を形成する工程と、 前記第一の開口を通して前記第一の絶縁膜をエッチング
することにより前記ヴィアパターン形状をもつ第二の開
口を形成する工程と、 配線パターンをもつ第二のフォトレジストを前記第二の
絶縁膜の上に形成する工程と、 前記第二のフォトレジストをマスクに使用して前記第二
の絶縁膜をエッチングして前記配線パターンをもつ第三
の開口を形成する工程と、 前記第三の開口を通して前記第一の絶縁膜の上部をエッ
チングすることにより、前記配線パターンをもつ第四の
開口を形成する工程と、 前記第二の開口と前記第三の開口と前記第四の開口内に
導電膜を埋め込んで、前記第三及び第四の開口の中に配
線を形成するとともに、前記第二の開口内にヴィアを形
成する工程とを有する半導体装置の製造方法であって、 前記第4の開口を形成した後に、前記第二のフォトレジ
ストは、ヒドロキシルアミン系の剥離液を用いて除去さ
れることを特徴とする半導体装置の製造方法。
4. A step of sequentially forming a first insulating film and a second insulating film on a semiconductor substrate, and a step of forming a first photoresist having a first window having a via pattern shape on the second insulating film. Forming a first opening having the via pattern shape by etching the second insulating film using the first photoresist as a mask; Forming a second opening having the via pattern shape by etching the first insulating film through the opening; and forming a second photoresist having a wiring pattern on the second insulating film. A step of forming a third opening having the wiring pattern by etching the second insulating film using the second photoresist as a mask, and the first opening through the third opening A step of forming a fourth opening having the wiring pattern by etching the upper part of the insulating film; and filling a conductive film in the second opening, the third opening and the fourth opening, Forming a wiring in the third and fourth openings and forming a via in the second opening, the method comprising: The method for manufacturing a semiconductor device, wherein the second photoresist is removed using a hydroxylamine-based stripping solution.
【請求項5】半導体基板上に第一の絶縁膜と第二の絶縁
膜を順に形成する工程と、 ヴィアパターン形状をもつ第一の窓を有する第一のフォ
トレジストを前記第二の絶縁膜上に形成する工程と、 前記第一のフォトレジストをマスクに使用して前記第二
の絶縁膜をエッチンすることにより前記ヴィアパターン
形状をもつ第一の開口を形成する工程と、 前記第一の開口を通して前記第一の絶縁膜をエッチング
することにより前記ヴィアパターン形状をもつ第二の開
口を形成する工程と、 配線パターンをもつ第二のフォトレジストを前記第二の
絶縁膜の上に形成する工程と、 前記第二のフォトレジストをマスクに使用して前記第二
の絶縁膜をエッチングして前記配線パターンをもつ第三
の開口を形成する工程と、 前記第三の開口を通して前記第一の絶縁膜の上部をエッ
チングすることにより、前記配線パターンをもつ第四の
開口を形成する工程と、 前記第二の開口と前記第三の開口と前記第四の開口内に
導電膜を埋め込んで、前記第三及び第四の開口の中に配
線を形成するとともに、前記第二の開口内にヴィアを形
成する工程とを有する半導体装置の製造方法であって、 前記第一の絶縁膜は炭化水素を含む有機絶縁材料から構
成され、 前記第二の絶縁膜はシリコン含有絶縁材料から構成され
ていることを特徴とする半導体装置の製造方法。
5. A step of sequentially forming a first insulating film and a second insulating film on a semiconductor substrate, and a step of forming a first photoresist having a first window having a via pattern shape on the second insulating film. Forming a first opening having the via pattern shape by etching the second insulating film using the first photoresist as a mask; Forming a second opening having the via pattern shape by etching the first insulating film through the opening; and forming a second photoresist having a wiring pattern on the second insulating film. A step of forming a third opening having the wiring pattern by etching the second insulating film using the second photoresist as a mask, and the first opening through the third opening A step of forming a fourth opening having the wiring pattern by etching the upper part of the insulating film; and filling a conductive film in the second opening, the third opening and the fourth opening, Forming a wiring in the third and fourth openings and forming a via in the second opening, wherein the first insulating film is a hydrocarbon. And a second insulating film formed of a silicon-containing insulating material.
【請求項6】前記第一の絶縁膜をエッチングして前記第
二の開口を形成すると同時に、前記第一のフォトレジス
トはエッチングされることを特徴とする請求項5に記載
の半導体装置の製造方法。
6. The manufacturing of a semiconductor device according to claim 5, wherein the first photoresist is etched at the same time when the first insulating film is etched to form the second opening. Method.
【請求項7】前記第一の絶縁膜をエッチングして前記第
四の開口を形成すると同時に、前記第二のフォトレジス
トはエッチングされることを特徴とする請求項5に記載
の半導体装置の製造方法。
7. The semiconductor device manufacturing method according to claim 5, wherein the second photoresist is etched at the same time when the first insulating film is etched to form the fourth opening. Method.
JP23952899A 1998-08-31 1999-08-26 Manufacturing method of semiconductor device Expired - Lifetime JP3501280B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP23952899A JP3501280B2 (en) 1998-08-31 1999-08-26 Manufacturing method of semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP24574198 1998-08-31
JP10-245741 1998-08-31
JP23952899A JP3501280B2 (en) 1998-08-31 1999-08-26 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2000150519A JP2000150519A (en) 2000-05-30
JP3501280B2 true JP3501280B2 (en) 2004-03-02

Family

ID=26534295

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23952899A Expired - Lifetime JP3501280B2 (en) 1998-08-31 1999-08-26 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP3501280B2 (en)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000294631A (en) * 1999-04-05 2000-10-20 Mitsubishi Electric Corp Semiconductor device and manufacture of the same
KR100439111B1 (en) * 1999-12-30 2004-07-05 주식회사 하이닉스반도체 Method for forming metal line in semiconductor device
JP2001338924A (en) * 2000-05-29 2001-12-07 Sony Corp Method of manufacturing semiconductor device
KR100376976B1 (en) * 2000-06-22 2003-03-26 주식회사 하이닉스반도체 Method for forming metal line
JP4858895B2 (en) * 2000-07-21 2012-01-18 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
JP4377040B2 (en) * 2000-07-24 2009-12-02 Necエレクトロニクス株式会社 Semiconductor manufacturing method
JP4850332B2 (en) 2000-10-18 2012-01-11 東京エレクトロン株式会社 Etching method of dual damascene structure
JP3568158B2 (en) * 2000-12-20 2004-09-22 東京応化工業株式会社 Protective film forming material
US6537908B2 (en) * 2001-02-28 2003-03-25 International Business Machines Corporation Method for dual-damascence patterning of low-k interconnects using spin-on distributed hardmask
US6518166B1 (en) * 2001-04-23 2003-02-11 Taiwan Semiconductor Manufacturing Company Liquid phase deposition of a silicon oxide layer for use as a liner on the surface of a dual damascene opening in a low dielectric constant layer
JP5023413B2 (en) * 2001-05-11 2012-09-12 ソニー株式会社 Semiconductor device and manufacturing method thereof
JP2002368081A (en) * 2001-06-06 2002-12-20 Sony Corp Method of manufacturing semiconductor device
US6734096B2 (en) * 2002-01-17 2004-05-11 International Business Machines Corporation Fine-pitch device lithography using a sacrificial hardmask
JP4293752B2 (en) 2002-02-28 2009-07-08 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP4340729B2 (en) 2002-06-10 2009-10-07 富士通マイクロエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
KR20030095100A (en) * 2002-06-11 2003-12-18 동부전자 주식회사 The dual damascene process
JP2004055781A (en) 2002-07-19 2004-02-19 Sony Corp Method for manufacturing semiconductor device
KR100447325B1 (en) * 2002-12-13 2004-09-07 주식회사 하이닉스반도체 Method of forming a metal wiring in a semiconductor device
JP2005203672A (en) 2004-01-19 2005-07-28 Sony Corp Method of manufacturing semiconductor device
JP4250584B2 (en) * 2004-11-30 2009-04-08 アンリツ株式会社 Manufacturing method of semiconductor device
KR100833201B1 (en) * 2007-06-15 2008-05-28 삼성전자주식회사 Semiconductor device having fine patterns of wiring line integrated with contact plug and method of manufacturing the same
WO2007043634A1 (en) * 2005-10-06 2007-04-19 Nec Corporation Method for manufacturing multilayer wiring
US7365025B2 (en) 2006-02-06 2008-04-29 Samsung Electronics Co., Ltd. Methods of forming dual-damascene interconnect structures on semiconductor substrates using multiple planarization layers having different porosity characteristics
JP4550786B2 (en) * 2006-08-21 2010-09-22 株式会社東芝 Manufacturing method of semiconductor device
JP5213013B2 (en) * 2007-07-04 2013-06-19 次世代半導体材料技術研究組合 Semiconductor device
JP5104924B2 (en) * 2010-08-23 2012-12-19 富士通セミコンダクター株式会社 Semiconductor device
JP6225453B2 (en) * 2012-05-24 2017-11-08 日亜化学工業株式会社 Semiconductor device
WO2014069662A1 (en) 2012-11-05 2014-05-08 大日本印刷株式会社 Wiring structure
TWI828539B (en) 2016-09-30 2024-01-01 日商富士軟片股份有限公司 Method for manufacturing semiconductor chip, kit

Also Published As

Publication number Publication date
JP2000150519A (en) 2000-05-30

Similar Documents

Publication Publication Date Title
JP3501280B2 (en) Manufacturing method of semiconductor device
US8298943B1 (en) Self aligning via patterning
US6184142B1 (en) Process for low k organic dielectric film etch
JP3721275B2 (en) Method of forming metal wiring by dual damascene process using photosensitive polymer
US8586478B2 (en) Method of making a semiconductor device
US6420261B2 (en) Semiconductor device manufacturing method
JP4193438B2 (en) Manufacturing method of semiconductor device
KR100333382B1 (en) Method for forming multi-level metal interconnection of semiconductor device
JP4293752B2 (en) Manufacturing method of semiconductor device
JP3214475B2 (en) Method of forming dual damascene wiring
US6645864B1 (en) Physical vapor deposition of an amorphous silicon liner to eliminate resist poisoning
JP3279276B2 (en) Method for manufacturing semiconductor device
US7196002B2 (en) Method of making dual damascene with via etch through
JP2006179515A (en) Method for manufacturing semiconductor element and etching method
KR100909174B1 (en) How to form a dual damascene pattern
JP2003234401A (en) Method for manufacturing semiconductor device
JP2001168191A (en) Semiconductor device and its manufacturing method
KR100382615B1 (en) Method for forming via hole
JP3166912B2 (en) Method for manufacturing semiconductor device
JP2000357743A (en) Semiconductor device and manufacture thereof
JPH10163316A (en) Formation of buried wiring in semiconductor device
KR20010058563A (en) Method for forming pattern of semiconductor memory device by using dual damascene
KR100866121B1 (en) Method for forming metal line of semiconductor device
JP3958071B2 (en) Semiconductor device manufacturing method
KR100571408B1 (en) Dual damascene wiring manufacturing method of semiconductor device

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20031125

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20031126

R150 Certificate of patent or registration of utility model

Ref document number: 3501280

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071212

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081212

Year of fee payment: 5

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081212

Year of fee payment: 5

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091212

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091212

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101212

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111212

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111212

Year of fee payment: 8

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111212

Year of fee payment: 8

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111212

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121212

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121212

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131212

Year of fee payment: 10

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term