JP3214475B2 - Method of forming dual damascene wiring - Google Patents

Method of forming dual damascene wiring

Info

Publication number
JP3214475B2
JP3214475B2 JP36355598A JP36355598A JP3214475B2 JP 3214475 B2 JP3214475 B2 JP 3214475B2 JP 36355598 A JP36355598 A JP 36355598A JP 36355598 A JP36355598 A JP 36355598A JP 3214475 B2 JP3214475 B2 JP 3214475B2
Authority
JP
Japan
Prior art keywords
film
interlayer insulating
forming
insulating film
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP36355598A
Other languages
Japanese (ja)
Other versions
JP2000188330A (en
Inventor
真義 池田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP36355598A priority Critical patent/JP3214475B2/en
Priority to GB9930205A priority patent/GB2345189A/en
Priority to KR1019990059830A priority patent/KR20000048294A/en
Priority to CN 99126604 priority patent/CN1258097A/en
Publication of JP2000188330A publication Critical patent/JP2000188330A/en
Application granted granted Critical
Publication of JP3214475B2 publication Critical patent/JP3214475B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は多層配線技術等に使
用されるデュアルダマシン配線の形成方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for forming a dual damascene wiring used for a multilayer wiring technique or the like.

【0002】[0002]

【従来の技術】半導体集積回路装置の多層配線を形成す
る方法として埋め込み配線(Damascene)技術が有効で
ある。その中でも、上層の配線が形成される配線溝と、
前記上層配線と下層配線又は基板とを接続するビアホー
ル又はコンタクトホール(以下、両者を含めてビアホー
ルという)とを絶縁膜に形成した後、前記配線溝とビア
ホールとに同時に金属膜を埋め込んで配線とビアとを同
時に形成するデュアルダマシン技術は、製造工程の簡略
化及びTAT(Turn-and-Around-Time)の迅速化によ
り、製造コストを著しく低減することができるという利
点がある。
2. Description of the Related Art An embedded wiring (Damascene) technique is effective as a method for forming a multilayer wiring in a semiconductor integrated circuit device. Among them, a wiring groove where an upper layer wiring is formed,
After a via hole or a contact hole (hereinafter, referred to as a via hole including both) connecting the upper wiring and the lower wiring or the substrate is formed in an insulating film, a metal film is simultaneously buried in the wiring groove and the via hole to form a wiring. The dual damascene technology for simultaneously forming vias has an advantage that manufacturing costs can be significantly reduced due to simplification of a manufacturing process and rapid TAT (Turn-and-Around-Time).

【0003】図15はこの従来のデュアルダマシン技術
による配線形成方法を工程順に示す断面図である。先
ず、図15(a)に示すように、シリコン基板1上に層
間絶縁膜としてシリコン酸化(SiO2)膜2を形成
し、更にその上にシリコン窒化(SiN)膜3を形成
し、このシリコン窒化膜3上にレジスト膜4を形成し、
このレジスト膜4をフォトリソグラフィによりビアホー
ルのパターンにパターニングする。その後、このレジス
ト膜4をマスクとしてシリコン窒化膜3をプラズマエッ
チングし、シリコン窒化膜3にビアホールパターン5を
形成する。
FIG. 15 is a cross-sectional view showing a wiring forming method using the conventional dual damascene technique in the order of steps. First, as shown in FIG. 15A, a silicon oxide (SiO 2 ) film 2 is formed as an interlayer insulating film on a silicon substrate 1, and a silicon nitride (SiN) film 3 is further formed thereon. Forming a resist film 4 on the nitride film 3;
This resist film 4 is patterned into a via hole pattern by photolithography. Thereafter, using the resist film 4 as a mask, the silicon nitride film 3 is plasma-etched to form a via hole pattern 5 in the silicon nitride film 3.

【0004】その後、図15(b)に示すように、全面
にシリコン酸化膜6を形成する。次いで、このシリコン
酸化膜6の表面をCMP(Chemical mechanical Polishi
ng;化学的機械的研磨)して平坦化する。
Thereafter, as shown in FIG. 15B, a silicon oxide film 6 is formed on the entire surface. Next, the surface of the silicon oxide film 6 is cleaned by CMP (Chemical Mechanical Polishing).
ng; chemical mechanical polishing).

【0005】次いで、図15(c)に示すように、シリ
コン酸化膜6上にレジスト膜7を形成し、このレジスト
膜7を配線溝のパターン9にパターニングする。その
後、レジスト膜7及びシリコン窒化膜3をマスクとして
エッチングすることにより、シリコン酸化膜2にビアホ
ールを開口し、シリコン酸化膜6に配線溝を形成する。
この場合に、シリコン酸化膜とシリコン窒化膜とのエッ
チング選択比(シリコン酸化膜のエッチング速度/シリ
コン窒化膜のエッチング速度)が高いエッチング方法で
エッチングすることにより、ビアホールの開口が終了す
るまで、シリコン窒化膜3はマスクとして残存する。
Then, as shown in FIG. 15C, a resist film 7 is formed on the silicon oxide film 6, and the resist film 7 is patterned into a wiring groove pattern 9. Thereafter, by etching using the resist film 7 and the silicon nitride film 3 as a mask, a via hole is opened in the silicon oxide film 2 and a wiring groove is formed in the silicon oxide film 6.
In this case, etching is performed by an etching method having a high etching selectivity between the silicon oxide film and the silicon nitride film (etching speed of the silicon oxide film / etching speed of the silicon nitride film). The nitride film 3 remains as a mask.

【0006】その後、レジスト膜7を除去し、ビアホー
ル及び配線溝に導電材料を埋め込み、ビア及び配線を形
成する。
After that, the resist film 7 is removed, and a conductive material is buried in the via hole and the wiring groove to form a via and a wiring.

【0007】この従来のデュアルダマシン配線の形成方
法においては、図15(c)に示すように、ビアホール
パターン5と配線溝パターン9との間にずれが生じた場
合、配線とビアとの間の接触面積が小さくなり、接触抵
抗が高くなるという難点がある。また、ビアホールのア
スペクト比が高くなると、即ちビアホールの幅に対する
深さの比が大きくなると、ストッパとなるシリコン窒化
膜3に対するシリコン酸化膜2のエッチング選択比を極
めて高くする必要があるが、そのようなエッチング条件
を実施することは工程上困難である。更に、図15
(c)に示すように、エッチング後のビアホールの上縁
部が大きくエッチングされてしまい、所謂肩落ちが生じ
る。
In this conventional method for forming a dual damascene wiring, as shown in FIG. 15C, when a shift occurs between the via hole pattern 5 and the wiring groove pattern 9, the gap between the wiring and the via is formed. There is a disadvantage that the contact area is small and the contact resistance is high. Also, as the aspect ratio of the via hole increases, that is, as the ratio of the depth to the width of the via hole increases, the etching selectivity of the silicon oxide film 2 to the silicon nitride film 3 serving as a stopper needs to be extremely high. It is difficult to perform a proper etching condition in the process. Further, FIG.
As shown in (c), the upper edge of the via hole after etching is largely etched, so-called shoulder drop occurs.

【0008】このような図15に示す従来技術の欠点を
解消した従来のデュアルダマシン配線の形成方法として
図16に示すものがある(特開平8−335634号公
報及び特開平10−223755号公報)。図16
(a)乃至(d)はこの従来の他のデュアルダマシン配
線の形成方法を工程順に示す断面図である。図16
(a)に示すように、シリコン基板11上にシリコン酸
化膜12を形成し、このシリコン酸化膜12をフォトリ
ソグラフィによりエッチングしてビアホール13を形成
する。
FIG. 16 shows a conventional method of forming a dual damascene wiring which has solved the disadvantages of the prior art shown in FIG. 15 (JP-A-8-335634 and JP-A-10-223755). . FIG.
3A to 3D are cross-sectional views showing another conventional method of forming a dual damascene wiring in the order of steps. FIG.
As shown in FIG. 1A, a silicon oxide film 12 is formed on a silicon substrate 11, and the silicon oxide film 12 is etched by photolithography to form a via hole 13.

【0009】次いで、図16(b)に示すように、全面
に有機化合物を塗布してビアホール13を有機化合物膜
14で埋め込むと共に、シリコン酸化膜12及び有機化
合物膜14の上にレジスト膜15を形成し、このレジス
ト膜15をフォトリソグラフィにより配線溝のパターン
16にパターニングする。この有機化合物は層間絶縁膜
であるシリコン酸化膜に対するエッチング選択比が1/
2以下である。
Next, as shown in FIG. 16B, an organic compound is applied to the entire surface to fill the via holes 13 with the organic compound film 14, and a resist film 15 is formed on the silicon oxide film 12 and the organic compound film 14. Then, the resist film 15 is patterned into a wiring groove pattern 16 by photolithography. This organic compound has an etching selectivity to a silicon oxide film as an interlayer insulating film of 1 /
2 or less.

【0010】次いで、図16(c)に示すように、この
レジスト膜15の配線パターンをマスクとして、有機化
合物膜14及びシリコン酸化膜12をプラズマエッチン
グすることにより、配線溝16を形成する。この場合
に、ビアホール13内に埋め込まれた有機化合物膜14
よりもシリコン酸化膜12の方がエッチング選択比が高
いので、配線溝16をエッチングしている間、有機化合
物膜14はビアホール13内にとどまる。
Next, as shown in FIG. 16C, the wiring groove 16 is formed by plasma etching the organic compound film 14 and the silicon oxide film 12 using the wiring pattern of the resist film 15 as a mask. In this case, the organic compound film 14 embedded in the via hole 13
Since the silicon oxide film 12 has a higher etching selectivity than the silicon oxide film 12, the organic compound film 14 remains in the via hole 13 while the wiring groove 16 is being etched.

【0011】その後、レジスト膜15及び有機化合物膜
14を除去することにより、配線溝16と共に、ビアホ
ール13が形成される。そして、これらの配線溝16及
びビアホール13を導電材料で埋め込むことにより、配
線及びビアが形成される。
Thereafter, by removing the resist film 15 and the organic compound film 14, a via hole 13 is formed together with the wiring groove 16. By burying these wiring grooves 16 and via holes 13 with a conductive material, wirings and vias are formed.

【0012】このように構成された従来方法において
は、図16(c)に示すように、ビアホールと配線溝と
の間にずれが生じても、図16(d)に示すように、ビ
アホール13に埋め込まれたビアと配線溝16埋め込ま
れた配線との間の接触面積は小さくならない。このた
め、両者の接触抵抗は十分に低いものである。また、図
15に示す従来技術においては、ビアホールのアスペク
ト比が大きくなると、シリコン窒化膜3に対するシリコ
ン酸化膜のエッチング選択比を極めて高くする必要があ
ったが、図16に示す従来技術においては、そのような
エッチング条件をとる必要がない。
In the conventional method configured as described above, as shown in FIG. 16C, even if a deviation occurs between the via hole and the wiring groove, as shown in FIG. The contact area between the via embedded in the wiring and the wiring embedded in the wiring groove 16 is not reduced. Therefore, the contact resistance between the two is sufficiently low. Further, in the prior art shown in FIG. 15, when the aspect ratio of the via hole increases, the etching selectivity of the silicon oxide film with respect to the silicon nitride film 3 needs to be extremely high. However, in the prior art shown in FIG. It is not necessary to take such etching conditions.

【0013】[0013]

【発明が解決しようとする課題】しかしながら、この従
来のデュアルダマシンの形成方法においては、以下に示
す問題点がある。即ち、図16(a)に示すように、ビ
アホール13をエッチングにより形成する際、エッチン
グの特性上、ビアホール13は上部開口部の方が横断面
積が広く、底部の方が横断面積が狭くなる。このため、
ビアホール13の側面は上方が後方に反るように若干傾
斜している。
However, the conventional method of forming a dual damascene has the following problems. That is, as shown in FIG. 16A, when the via hole 13 is formed by etching, due to the etching characteristics, the via hole 13 has a larger cross-sectional area at the upper opening and a smaller cross-sectional area at the bottom. For this reason,
The side surface of the via hole 13 is slightly inclined so that the upper side is warped backward.

【0014】このように、ビアホール13の側面が傾斜
しているため、図16(c)の工程で、エッチングによ
り配線溝16を形成しようとすると、有機化合物膜14
のエッチング選択比がシリコン酸化膜12のエッチング
選択比よりも小さいために、この有機化合物膜14の上
端部の側縁に張り出している部分がシリコン酸化膜12
に対するエッチングマスクとなり、その直下に、シリコ
ン酸化膜12のエッチング残りが生じる。このため、図
16(d)に示すように、有機化合物膜14を除去した
後も、ビアホール13の上端部にシリコン酸化膜からな
る突起物17が残存する。この突起物17が配線内に混
在し、配線の導電不良等を生じさせる。
As described above, since the side surface of the via hole 13 is inclined, when the wiring groove 16 is formed by etching in the step of FIG.
Since the etching selectivity of the organic compound film 14 is smaller than the etching selectivity of the silicon oxide film 12,
And an etching residue of the silicon oxide film 12 is generated immediately below the etching mask. For this reason, as shown in FIG. 16D, even after the organic compound film 14 is removed, a protrusion 17 made of a silicon oxide film remains at the upper end of the via hole 13. The projections 17 are mixed in the wiring, and cause poor conductivity of the wiring.

【0015】本発明はかかる問題点に鑑みてなされたも
のであって、エッチング工程において層間絶縁膜のエッ
チング残りに起因して配線内に異物が残存することがな
く、配線不良を生じることがないデュアルダマシン配線
の形成方法を提供することを目的とする。
The present invention has been made in view of such a problem, and no foreign matter remains in a wiring due to an etching residue of an interlayer insulating film in an etching process, and a wiring failure does not occur. An object is to provide a method for forming a dual damascene wiring.

【0016】[0016]

【課題を解決するための手段】本願第1発明に係るデュ
アルダマシン配線の形成方法は、下層導体層上に層間絶
縁膜を形成する工程と、この層間絶縁膜上にホールパタ
ーンの開口を有する第1レジスト膜を形成する工程と、
前記第1レジスト膜をマスクとして前記層間絶縁膜をエ
ッチングしてホールを形成する工程と、前記ホールを前
記層間絶縁膜よりもエッチング速度が速い材料で埋め込
むことにより埋込膜を形成する工程と、前記埋込膜上に
配線溝パターンの開口を有する第2レジスト膜を形成す
る工程と、この第2レジスト膜をマスクとして前記埋込
膜及び層間絶縁膜をエッチングして前記層間絶縁膜に配
線溝を形成する工程とを有し、前記ホールを形成するた
めのエッチング工程は、前記層間絶縁膜を一部残存させ
てエッチングを停止するものであることを特徴とする。
According to a first aspect of the present invention, there is provided a method of forming a dual damascene wiring, comprising: forming an interlayer insulating film on a lower conductive layer; and forming a hole pattern opening on the interlayer insulating film. (1) forming a resist film;
Forming a hole by etching the interlayer insulating film using the first resist film as a mask, and forming a buried film by filling the hole with a material having a higher etching rate than the interlayer insulating film; Forming a second resist film having an opening of a wiring groove pattern on the buried film; and etching the buried film and the interlayer insulating film by using the second resist film as a mask to form a wiring groove in the interlayer insulating film. Forming a hole, and forming the hole.
In the etching step to leave a part of the interlayer insulating film.
Etching to stop the etching .

【0017】本願第2発明に係るデュアルダマシン配線
の形成方法は、下層導体層上に第1層間絶縁膜を形成す
る工程と、この第1層間絶縁膜上にホールパターンの開
口を有する第1レジスト膜を形成する工程と、前記第1
レジスト膜をマスクとして前記第1層間絶縁膜をエッチ
ングしてホールを形成する工程と、前記ホールを前記
層間絶縁膜よりもエッチング速度が速い材料で埋め込
むことにより埋込膜を形成する工程と、全面に第2層間
絶縁膜を形成する工程と、前記第2層間絶縁膜上に配線
パターンの開口を有する第2レジスト膜を形成する工
程と、前記第2レジスト膜をマスクとして前記第2層間
絶縁膜をエッチングすることにより配線溝を形成する工
程と、を有することを特徴とする。
In the method for forming a dual damascene wiring according to the second invention of the present application, a step of forming a first interlayer insulating film on a lower conductive layer, and a first resist having a hole pattern opening on the first interlayer insulating film are provided. Forming a film;
Forming a hole by etching the first interlayer insulating film using the resist film as a mask, the said hole first
And forming a buried layer by embedding etching rate than first interlayer insulating film at a fast material, a second interlayer on the entire surface
Forming an insulating film; and forming a wiring on the second interlayer insulating film.
Forming a second resist film having an opening of the groove pattern, the second interlayer said second resist film as a mask
And having a step of forming a wiring groove of the insulating film by etching to Rukoto.

【0018】本願第3発明に係るデュアルダマシン配線
の形成方法は、下層導体上に第1層間絶縁膜を形成す
る工程と、この第1層間絶縁膜上に第2の層間絶縁膜
を形成する工程と、前記第2の層間絶縁膜上にホールパ
ターンの開口を有する第1レジスト膜を形成する工程
と、前記第1レジスト膜をマスクとして前記第1及び第
2の層間絶縁膜をエッチングしてホールを形成する工程
と、前記ホールに埋込膜を充填する工程と、前記埋込膜
上に配線溝パターンの開口を有する第2レジスト膜を形
成する工程と、この第2レジスト膜をマスクとして前記
第2層間絶縁膜をエッチングすることにより配線溝を形
成する工程とを有し、前記第2の層間絶縁膜は前記埋込
膜よりもエッチング速度が速く、前記埋込膜は前記第1
の層間絶縁膜よりもエッチング速度が速いことを特徴と
する。
[0018] The present method of forming a third invention according dual damascene wiring includes the steps of: forming a first interlayer insulating film on the lower conductor, the second interlayer insulating film on the first interlayer insulating film
Forming a first resist film having an opening of a hole pattern on the second interlayer insulating film; and forming the first and second resist films using the first resist film as a mask .
Forming a hole by etching the second interlayer insulating film; filling the hole with a buried film;
Includes a step of forming a second resist film having an opening of the wiring trench pattern above and forming a wiring groove by etching the second interlayer insulating film the second resist film as a mask, the The second interlayer insulating film is embedded
The etching rate is faster than the film, and the buried film is
The etching rate is faster than that of the interlayer insulating film.
I do.

【0019】本願発明においては、ホール内に埋込膜を
埋め込んだ後、配線溝形成のためのエッチングを行う
が、前記埋込膜は前記層間絶縁膜(第3発明の場合は第
1の層間絶縁膜)よりもエッチング選択比が高い材料を
使用し、前記埋込膜のエッチング速度は前記層間絶縁膜
のエッチング速度よりも速いので、配線溝形成後、ホー
ル内に残存する埋込膜の表面はホールの上端縁よりも低
い。このため、配線溝形成のための層間絶縁膜のエッチ
ング工程において、前記埋込膜がエッチングマスクとな
って層間絶縁膜のエッチング残りが生じるということが
なく、従来の突起物の残存を回避することができる。
In the present gun onset Ming, after embedding the embedded film in the hole, performs the etching for forming wiring grooves, the embedded film in the case of the interlayer insulating film (third invention first
1) , and a material having an etching selectivity higher than that of the first interlayer insulating film is used, and the etching rate of the buried film is higher than that of the interlayer insulating film. The surface of the film is lower than the top edge of the hole. Therefore, in the step of etching the interlayer insulating film for forming the wiring groove, the buried film does not serve as an etching mask, so that the etching residue of the interlayer insulating film does not occur, and the conventional protrusion remains is avoided. Can be.

【0020】[0020]

【0021】[0021]

【発明の実施の形態】以下、本発明の実施例について添
付の図面を参照して具体的に説明する。図1(a)乃至
(c)及び図2(a)乃至(c)は本発明の実施例に係
るデュアルダマシン配線の形成方法を工程順に示す断面
図である。図1(a)に示すように、導体層21上に、
シリコン酸化膜等の層間絶縁膜22を形成し、この層間
絶縁膜22上にレジスト膜23を塗布した後、レジスト
膜23を露光現像することにより、ビアホール形成用の
開口パターン31を形成する。導体層21は、多層配線
構造の下層配線又は半導体素子が形成された基板であ
る。層間絶縁膜22の厚さは、配線溝とビアホール(以
下、コンタクトホールも含めてビアホールという)とを
形成するのに十分な厚さを有する。レジスト膜23はビ
アホール形成用の感光性有機膜である。なお、導体層2
1が多層配線の下層配線である場合は、その上の層間絶
縁膜に形成されるホールはスルーホールといわれ、導体
層21が半導体基板である場合は、その上の層間絶縁膜
に形成されるホールはコンタクトホールといわれるが、
本発明においては、両者を含めてビアホールという。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Embodiments of the present invention will be specifically described below with reference to the accompanying drawings. 1A to 1C and 2A to 2C are cross-sectional views showing a method of forming a dual damascene wiring according to an embodiment of the present invention in the order of steps. As shown in FIG. 1A, on the conductor layer 21,
After an interlayer insulating film 22 such as a silicon oxide film is formed, a resist film 23 is applied on the interlayer insulating film 22, and then the resist film 23 is exposed and developed to form an opening pattern 31 for forming a via hole. The conductor layer 21 is a substrate on which a lower layer wiring of a multilayer wiring structure or a semiconductor element is formed. The interlayer insulating film 22 has a thickness sufficient to form a wiring groove and a via hole (hereinafter, also referred to as a via hole including a contact hole). The resist film 23 is a photosensitive organic film for forming a via hole. The conductor layer 2
When 1 is a lower layer wiring of a multilayer wiring, a hole formed in an interlayer insulating film thereabove is called a through hole, and when conductor layer 21 is a semiconductor substrate, it is formed in an interlayer insulating film thereabove. The hole is called a contact hole,
In the present invention, both are referred to as via holes.

【0022】そして、レジスト膜23をマスクとして層
間絶縁膜22をエッチングすることにより、層間絶縁膜
22にビアホール32を形成する。このとき、層間絶縁
膜22はビアホール32内に若干残存している。
Then, a via hole 32 is formed in the interlayer insulating film 22 by etching the interlayer insulating film 22 using the resist film 23 as a mask. At this time, the interlayer insulating film 22 slightly remains in the via hole 32.

【0023】次いで、図1(b)に示すように、レジス
ト膜23を除去し、ビアホール32に層間絶縁膜22と
は異なる材料を埋め込み、埋込膜24を形成する。この
埋込膜24は、例えば、Si34、SiON(SixO
yNz)、酸化膜(SiO2)、SiOF(SixOy
Fz)等の無機膜、又は、ポリシリコン、有機系酸化物
(塗布膜)、無機系酸化物(塗布膜)等がある。これら
の材料はシリコン酸化物等の層間絶縁膜22を構成する
材料よりもエッチング速度が速く、層間絶縁膜22と埋
込膜24とのエッチング選択比が1未満である。通常の
無機材料は酸化物よりもエッチング速度が高いので、こ
の埋込膜24としては種々の材料を使用することができ
る。この埋込膜24はCVD(化学的気相成長法)又は
塗布等により形成することができる。例えば、この埋込
膜24はCVDSi34膜である。
Next, as shown in FIG. 1B, the resist film 23 is removed, a material different from the interlayer insulating film 22 is buried in the via hole 32, and a buried film 24 is formed. The buried film 24 is made of, for example, Si 3 N 4 , SiON (SixO
yNz), oxide film (SiO 2 ), SiOF (SixOy)
Fz) or the like, or polysilicon, an organic oxide (coating film), an inorganic oxide (coating film), or the like. These materials have a higher etching rate than the material constituting the interlayer insulating film 22 such as silicon oxide, and the etching selectivity between the interlayer insulating film 22 and the buried film 24 is less than 1. Since ordinary inorganic materials have a higher etching rate than oxides, various materials can be used as the buried film 24. The buried film 24 can be formed by CVD (chemical vapor deposition), coating, or the like. For example, the buried film 24 is a CVD Si 3 N 4 film.

【0024】次いで、この埋込膜24の上に感光性有機
膜からなるレジスト膜25を塗布し、このレジスト膜2
5をフォトリソグラフィによりパターニングして、配線
溝のパターン33を形成する。層間絶縁膜(シリコン酸
化膜)22とレジスト膜(感光性有機膜)25とのエッ
チング選択比は例えば5以上である。
Next, a resist film 25 made of a photosensitive organic film is applied on the buried film 24,
5 is patterned by photolithography to form a wiring groove pattern 33. The etching selectivity between the interlayer insulating film (silicon oxide film) 22 and the resist film (photosensitive organic film) 25 is, for example, 5 or more.

【0025】その後、図1(c)に示すように、レジス
ト膜25をマスクとして埋込膜24及び層間絶縁膜22
をエッチングし、配線溝34を形成する。この場合に、
前述の如く、層間絶縁膜22はレジスト膜25よりもエ
ッチング速度が速い(エッチング速度比が5以上)の
で、層間絶縁膜22はレジスト膜25をマスクとして配
線溝形状にエッチングされると共に、層間絶縁膜22と
埋込膜24とのエッチング選択比が1未満であり、埋込
膜24は層間絶縁膜22よりもエッチング速度が速いた
め、埋込膜24のエッチングが層間絶縁膜22よりも進
む。このため、図1(c)に示すように、配線溝34の
エッチングが終了した時点でビアホール32内の埋込膜
24の上面はビアホール32の上端縁よりも下方に位置
する。エッチング方法としては、例えば、CHF3
ス、O2ガス及びArガスの混合ガスを使用したプラズ
マエッチングを使用することができる。この場合の層間
絶縁膜と埋込膜とのエッチング選択比は1以下となる。
Thereafter, as shown in FIG. 1C, the buried film 24 and the interlayer insulating film 22 are formed using the resist film 25 as a mask.
Is etched to form a wiring groove 34. In this case,
As described above, since the etching rate of the interlayer insulating film 22 is higher than the etching rate of the resist film 25 (the etching rate ratio is 5 or more), the interlayer insulating film 22 is etched into a wiring groove shape using the resist film 25 as a mask, Since the etching selectivity between the film 22 and the buried film 24 is less than 1, and the buried film 24 has a higher etching rate than the interlayer insulating film 22, the etching of the buried film 24 proceeds more than the interlayer insulating film 22. Therefore, as shown in FIG. 1C, the upper surface of the buried film 24 in the via hole 32 is located lower than the upper edge of the via hole 32 when the etching of the wiring groove 34 is completed. As an etching method, for example, plasma etching using a mixed gas of CHF 3 gas, O 2 gas and Ar gas can be used. In this case, the etching selectivity between the interlayer insulating film and the buried film is 1 or less.

【0026】次いで、図2(a)に示すように、層間絶
縁膜22が殆ど削れないようにして配線溝形成用感光性
有機膜であるレジスト膜25と埋込膜24を除去する。
この埋込膜24の除去には、Cl2ガス及びHBrガス
の混合ガスを使用したプラズマエッチングを使用するこ
とができ、この場合の埋込膜と層間絶縁膜とのエッチン
グ選択比は10以上である。また、埋込膜24の除去に
は、ウエットエッチング、等方性ドライエッチング及び
異方性ドライエッチング等のいずれを使用してもよい。
この場合に、等方性ドライエッチングのプロセスガスに
xyzガス、O2ガス、Cl2ガス、HBrガス、S
6ガス等を使用することができる。また、異方性ドラ
イエッチングのプロセスガスも、Cxyzガス、O2
ス、Cl 2ガス、HBrガス、SF6ガス等を使用するこ
とができる。
Next, as shown in FIG.
Photosensitivity for forming wiring trenches by hardly removing the edge film 22
The resist film 25 and the buried film 24, which are organic films, are removed.
To remove the buried film 24, ClTwoGas and HBr gas
Plasma etching using a mixed gas of
In this case, etching of the buried film and the interlayer insulating film is performed.
The selection ratio is 10 or more. Also, for removing the buried film 24
Are wet etching, isotropic dry etching and
Any of anisotropic dry etching and the like may be used.
In this case, the process gas for isotropic dry etching
CxFyHzGas, OTwoGas, ClTwoGas, HBr gas, S
F6Gas or the like can be used. In addition, anisotropic
Etching process gas is also CxFyHzGas, OTwoMoth
Su, Cl TwoGas, HBr gas, SF6Use gas, etc.
Can be.

【0027】また、この埋込膜24の除去と同時又は埋
込膜24の除去後に、ビアホール32の底部に残存して
いる層間絶縁膜22を除去する。これにより、ビアホー
ル32と配線溝34が形成される。
At the same time as the removal of the buried film 24 or after the removal of the buried film 24, the interlayer insulating film 22 remaining at the bottom of the via hole 32 is removed. As a result, a via hole 32 and a wiring groove 34 are formed.

【0028】その後、図2(b)に示すように、このビ
アホール32及び配線溝34内に配線材料26(導電材
料)を埋込む。
Thereafter, as shown in FIG. 2B, a wiring material 26 (conductive material) is embedded in the via holes 32 and the wiring grooves 34.

【0029】次いで、図2(c)に示すように、配線材
料26の表面をCMPにより研磨し、層間絶縁膜22の
表面を露出させる。これにより、ビアホール32内にビ
ア35が形成され、配線溝34内に配線36が形成され
る。
Next, as shown in FIG. 2C, the surface of the wiring material 26 is polished by CMP to expose the surface of the interlayer insulating film 22. As a result, a via 35 is formed in the via hole 32, and a wiring 36 is formed in the wiring groove 34.

【0030】上述の如く構成された本実施例方法におい
ては、図1(a)に示す工程で形成されたビアホール3
2の壁面が上方の開口幅が広がるように傾斜していたと
しても、図1(c)に示すように、配線溝34の形成工
程で埋込膜24の方が層間絶縁膜22よりも速くエッチ
ングされるので、埋込膜24が層間絶縁膜22のエッチ
ングに際してそのエッチングを阻止するマスクとはなら
ない。このため、層間絶縁膜のエッチング残りが発生せ
ず、従来のように突起物が配線中に混在してしまうこと
がない。
In the method of the present embodiment configured as described above, the via hole 3 formed in the step shown in FIG.
1C, the buried film 24 is faster than the interlayer insulating film 22 in the step of forming the wiring groove 34 as shown in FIG. Since the buried film 24 is etched, the buried film 24 does not serve as a mask for preventing the etching when the interlayer insulating film 22 is etched. For this reason, the etching residue of the interlayer insulating film does not occur, and the protrusions do not mix in the wiring unlike the related art.

【0031】また、本実施例においては、図1(a)に
示すように、ビアホール32を形成するエッチング工程
において、このエッチングを途中で停止して、ビアホー
ル32の底部に層間絶縁膜22を若干残存させているの
で、層間絶縁膜22の大部分のエッチング及びビアホー
ル32に残存した埋込膜24のエッチングには高エネル
ギのドライエッチングを使用して処理時間を短くし、後
で、図2(a)に示す工程でビアホール32内の薄い層
間絶縁膜22を除去する場合にウエットエッチング又は
弱いエネルギのエッチング方法を使用することにより、
導体層21が基板である場合の拡散層のダメージ等を防
止することができる。
In this embodiment, as shown in FIG. 1A, in the etching step of forming the via hole 32, this etching is stopped halfway, and the interlayer insulating film 22 is slightly placed on the bottom of the via hole 32. Since a large part of the interlayer insulating film 22 and the buried film 24 remaining in the via hole 32 are etched, the processing time is shortened by using high-energy dry etching. When the thin interlayer insulating film 22 in the via hole 32 is removed in the step shown in FIG.
It is possible to prevent damage to the diffusion layer when the conductor layer 21 is a substrate.

【0032】次に、本発明の第2実施例方法について図
3(a)乃至(c)を参照して説明する。先ず、図3
(a)に示すように、シリコン基板21上にエッチング
選択比が埋込材料のそれよりも小さい絶縁材料からなる
第1層間絶縁膜22aを形成し、この第1層間絶縁膜2
2a上にエッチング選択比が埋込材料のそれより大きな
絶縁材料からなる第2層間絶縁膜22bを形成する。こ
のように、本実施例においては、層間絶縁膜は異なる材
料を積層したものである。そして、第2層間絶縁膜22
b上にレジスト膜23を塗布し、これをビアホールのパ
ターン31にパターニングする。次いで、レジスト膜2
3をマスクとして第1及び第2層間絶縁膜221,22
bをエッチングし、ビアホール32を形成する。
Next, a method according to a second embodiment of the present invention will be described with reference to FIGS. First, FIG.
As shown in FIG. 1A, a first interlayer insulating film 22a made of an insulating material having an etching selectivity smaller than that of a buried material is formed on a silicon substrate 21.
A second interlayer insulating film 22b made of an insulating material having an etching selectivity higher than that of the buried material is formed on 2a. As described above, in this embodiment, the interlayer insulating film is formed by stacking different materials. Then, the second interlayer insulating film 22
b, a resist film 23 is applied, and is patterned into a via hole pattern 31. Next, the resist film 2
3 as a mask, the first and second interlayer insulating films 221 and 22
b is etched to form a via hole 32.

【0033】次いで、図3(b)に示すように、レジス
ト膜23を除去した後、ビアホール32に層間絶縁膜と
は異なる材料を埋め込み、埋込膜24を形成する。その
後、この埋込膜24上にレジスト膜25を形成し、この
レジスト膜25に配線溝パターン33を形成する。
Next, as shown in FIG. 3B, after removing the resist film 23, a material different from the interlayer insulating film is buried in the via hole 32 to form a buried film 24. Thereafter, a resist film 25 is formed on the buried film 24, and a wiring groove pattern 33 is formed in the resist film 25.

【0034】その後、図3(c)に示すように、レジス
ト膜25をマスクとして埋込膜24及び第2層間絶縁膜
22bをエッチングする。この場合のエッチング条件は
第2層間絶縁膜22bのエッチング選択比が埋込膜24
のエッチング選択比よりも大きくなり、第1層間絶縁膜
22aのエッチング選択比が埋込膜24のエッチング選
択比よりも小さくなるものである。従って、このエッチ
ング工程において、第2層間絶縁膜22b上の埋込膜2
4の部分がエッチングされた後、第2層間絶縁膜22b
の部分ではレジスト膜25の配線溝パターン33に基づ
いて第2層間絶縁膜22b及び埋込膜24がエッチング
除去される。その後、エッチングは第1層間絶縁膜22
aに至り、第1層間絶縁膜22aでは埋込膜24の方が
エッチング選択比が大きいので、第1層間絶縁膜22a
は殆どエッチングされず、ビアホール32内の埋込膜2
4が優先的にエッチングされる。このビアホール32内
の埋込膜24が若干エッチングされた時点で、エッチン
グを停止する。
Thereafter, as shown in FIG. 3C, the buried film 24 and the second interlayer insulating film 22b are etched using the resist film 25 as a mask. The etching conditions in this case are such that the etching selectivity of the second interlayer insulating film 22b is
And the etching selectivity of the first interlayer insulating film 22a is smaller than the etching selectivity of the buried film 24. Therefore, in this etching step, the buried film 2 on the second interlayer insulating film 22b is formed.
After the portion 4 is etched, the second interlayer insulating film 22b is formed.
In the part, the second interlayer insulating film 22b and the buried film 24 are removed by etching based on the wiring groove pattern 33 of the resist film 25. Thereafter, etching is performed on the first interlayer insulating film 22.
a, the buried film 24 has a higher etching selectivity in the first interlayer insulating film 22a, so that the first interlayer insulating film 22a
Is hardly etched, and the buried film 2 in the via hole 32 is not etched.
4 is preferentially etched. When the buried film 24 in the via hole 32 is slightly etched, the etching is stopped.

【0035】以後の工程は、図2(a)乃至(c)に示
す第1実施例と同様である。即ち、レジスト膜25及び
埋込膜24を除去することにより、配線溝34及びビア
ホール32が形成される。その後、導電材料をこれらの
配線溝34及びビアホール32に埋め込み、導電材料の
表面をCMP研磨して図2(c)と同様の配線及びビア
ホールが形成される。
The subsequent steps are the same as in the first embodiment shown in FIGS. 2 (a) to 2 (c). That is, by removing the resist film 25 and the buried film 24, the wiring groove 34 and the via hole 32 are formed. Thereafter, a conductive material is buried in these wiring grooves 34 and via holes 32, and the surface of the conductive material is polished by CMP to form the same wiring and via holes as in FIG. 2C.

【0036】本実施例においては、層間絶縁膜としてエ
ッチング選択比が相違する材料からなる積層膜を使用
し、エッチングが第2層間絶縁膜から第層間絶縁膜に
移行する際に、エッチング選択比が変動することを基準
にしてエッチングを停止することにより、配線溝とビア
ホールとを明確に区別することができる。このため、本
実施例は、配線溝の深さ及びビアホールの深さを第1層
間絶縁膜22a及び第2層間絶縁膜22bの厚さにより
制御することができ、第1実施例のように、エッチング
時間等により配線溝及びビアホールの深さを制御する場
合に比して、高精度でこれらの配線溝深さ等を制御する
ことができる。
In this embodiment, a laminated film made of materials having different etching selectivity is used as the interlayer insulating film, and when the etching is transferred from the second interlayer insulating film to the first interlayer insulating film, the etching selective ratio is changed. By stopping the etching on the basis of the variation of the wiring groove, the wiring groove and the via hole can be clearly distinguished. Therefore, in the present embodiment, the depth of the wiring groove and the depth of the via hole can be controlled by the thicknesses of the first interlayer insulating film 22a and the second interlayer insulating film 22b. Compared to the case where the depths of the wiring grooves and the via holes are controlled by the etching time and the like, the depths of the wiring grooves and the like can be controlled with higher precision.

【0037】次に、図4(a)乃至(c)及び図5
(a)乃至(c)を参照して本発明の第3実施例につい
て説明する。本実施例においては、図4(a)に示すよ
うに、シリコン基板21上に層間絶縁膜22とは異なる
材料をエッチングストッパ層27として形成し、このエ
ッチングストッパ層27上に層間絶縁膜及びレジスト膜
23を形成する。その後、レジスト膜23にビアホール
パターン31を形成し、このレジスト膜23をマスクと
して層間絶縁膜22をエッチングする。この場合に、エ
ッチングは層間絶縁膜22の下層のストッパ層27で停
止される。これにより、ビアホール32が形成され、導
体層21の表面がエッチングにより損傷することはな
い。
Next, FIGS. 4A to 4C and FIG.
A third embodiment of the present invention will be described with reference to (a) to (c). In this embodiment, as shown in FIG. 4A, a material different from the interlayer insulating film 22 is formed on the silicon substrate 21 as the etching stopper layer 27, and the interlayer insulating film and the resist are formed on the etching stopper layer 27. A film 23 is formed. Thereafter, a via hole pattern 31 is formed in the resist film 23, and the interlayer insulating film 22 is etched using the resist film 23 as a mask. In this case, the etching is stopped by the stopper layer 27 below the interlayer insulating film 22. Thereby, the via hole 32 is formed, and the surface of the conductor layer 21 is not damaged by the etching.

【0038】次いで、図4(b)に示すように、ビアホ
ール32内に層間絶縁膜とは異なる材料を埋め込み、埋
込膜24を形成した後、図4(c)に示すように、配線
溝パターン33が形成されたレジスト膜25をマスクと
して埋込膜24及び層間絶縁膜22を所定の深さだけエ
ッチングする。これにより、配線溝34が形成される。
Next, as shown in FIG. 4B, a material different from the interlayer insulating film is buried in the via hole 32 to form a buried film 24, and then, as shown in FIG. Using the resist film 25 on which the pattern 33 is formed as a mask, the buried film 24 and the interlayer insulating film 22 are etched to a predetermined depth. Thereby, the wiring groove 34 is formed.

【0039】次いで、図5(a)に示すように、レジス
ト膜25及び埋込膜24を除去すると共に、埋込膜24
の除去と同時又はその後に、ビアホール32内のエッチ
ングストッパ層27を除去する。
Next, as shown in FIG. 5A, the resist film 25 and the buried film 24 are removed and the buried film 24 is removed.
Simultaneously with or after the removal of the etching stopper layer 27 in the via hole 32 is removed.

【0040】その後、図5(b)に示すように、ビアホ
ール32及び配線溝34に配線材料26を埋め込み、配
線材料26の表面をCMP研磨して、配線36及びビア
35を形成する。
Thereafter, as shown in FIG. 5B, the wiring material 26 is buried in the via hole 32 and the wiring groove 34, and the surface of the wiring material 26 is polished by CMP to form the wiring 36 and the via 35.

【0041】本実施例においては、最下層の導体層1上
にエッチングストッパ層27が形成されているので、導
体層1がエッチングにより損傷する虞がなく、この導体
層1がシリコン基板である場合は基板に作り込まれた素
子のエッチング損傷が防止される。
In this embodiment, since the etching stopper layer 27 is formed on the lowermost conductive layer 1, there is no possibility that the conductive layer 1 is damaged by etching. In this case, etching damage of elements formed on the substrate is prevented.

【0042】次に、図6(a)乃至(c)を参照して本
発明の第4実施例について説明する。本実施例において
は、図6(a)に示すように、図1に示す第1実施例と
同様に、レジスト膜23を使用して層間絶縁膜22をエ
ッチングすることにより、層間絶縁膜22にビアホール
32を形成する。
Next, a fourth embodiment of the present invention will be described with reference to FIGS. In this embodiment, as shown in FIG. 6A, as in the first embodiment shown in FIG. 1, the interlayer insulating film 22 is etched by using the resist film 23 to form the interlayer insulating film 22. A via hole 32 is formed.

【0043】次いで、図6(b)に示すように、ビアホ
ール32に層間絶縁膜とは異なる材料を埋め込んで埋込
膜24を形成する。この埋込膜24をビアホール32に
埋め込むと、図1(b)に示すように、層間絶縁膜22
の上にも埋込膜24が形成されるが、本実施例において
は、エッチバック、CMP、又はウエットエッチング等
の手段により、ビアホール32内にのみ埋込膜24を残
存させ、層間絶縁膜22上の埋込膜24を全て除去す
る。
Next, as shown in FIG. 6B, a material different from the interlayer insulating film is buried in the via hole 32 to form a buried film 24. When the buried film 24 is buried in the via hole 32, as shown in FIG.
In this embodiment, the buried film 24 is formed only in the via hole 32 by means of etch back, CMP, wet etching, or the like. All the upper embedded film 24 is removed.

【0044】その後、層間絶縁膜22上にレジスト膜2
5を塗布し、このレジスト膜25に配線溝のパターン3
3を形成する。
Thereafter, the resist film 2 is formed on the interlayer insulating film 22.
5 is applied to the resist film 25 to form a wiring groove pattern 3
Form 3

【0045】次いで、図6(c)に示すように、レジス
ト膜25をマスクとして層間絶縁膜22をエッチング
し、配線溝34を形成する。そして、この配線溝34の
深さ分エッチングした後、エッチングを停止する。その
後、レジスト膜25及び埋込膜24を除去した後、図2
(a)乃至(c)と同様の工程で配線及びビアを形成す
る。
Next, as shown in FIG. 6C, the interlayer insulating film 22 is etched using the resist film 25 as a mask to form a wiring groove 34. After the etching is performed to the depth of the wiring groove 34, the etching is stopped. Then, after removing the resist film 25 and the buried film 24, FIG.
Wirings and vias are formed in the same steps as in (a) to (c).

【0046】本実施例においては、層間絶縁膜上のエッ
チング選択比が高い埋込膜を除去した後、配線溝のエッ
チングを行っているので、レジスト膜25と層間絶縁膜
22との間で横方向にエッチングが進行することがな
い。このため、エッチングにより、配線溝34の上縁で
角部がだれてしまうことがなく、レジスト膜25の配線
溝パターン33により規定される形状に高精度で一致す
る配線溝34を形成することができる。
In this embodiment, after the buried film having a high etching selectivity on the interlayer insulating film is removed, the wiring groove is etched, so that the horizontal direction is formed between the resist film 25 and the interlayer insulating film 22. The etching does not proceed in the direction. For this reason, it is possible to form the wiring groove 34 that matches the shape defined by the wiring groove pattern 33 of the resist film 25 with high precision without causing corners at the upper edge of the wiring groove 34 due to etching. it can.

【0047】次に、図7(a)乃至(c)及び図8
(a)乃至(c)を参照して本発明の参考例について説
明する。先ず、図7(a)に示すように、導体層1上に
層間絶縁膜22を形成し、この層間絶縁膜22上にレジ
スト膜25を形成する。このレジスト膜25には配線溝
のパターン33を形成する。その後、レジスト膜25を
マスクとして層間絶縁膜22の厚さ方向の一部をエッチ
ングすることにより、層間絶縁膜22上部に配線溝34
を形成する。この配線溝34の深さ分エッチングした時
点で、エッチングを停止する。
Next, FIGS. 7A to 7C and FIG.
A reference example of the present invention will be described with reference to (a) to (c). First, as shown in FIG. 7A, an interlayer insulating film 22 is formed on the conductor layer 1, and a resist film 25 is formed on the interlayer insulating film 22. A wiring groove pattern 33 is formed in the resist film 25. Thereafter, a part of the interlayer insulating film 22 in the thickness direction is etched using the resist film 25 as a mask, so that the wiring groove 34 is formed above the interlayer insulating film 22.
To form When the etching is performed for the depth of the wiring groove 34, the etching is stopped.

【0048】次いで、図7(b)に示すように、この配
線溝34を埋込材料で埋め込んで埋込膜24を形成す
る。この埋込膜24はエッチング選択比が層間絶縁膜2
2よりも高いものである。
Next, as shown in FIG. 7B, the wiring groove 34 is buried with a burying material to form a buried film 24. This buried film 24 has an etching selectivity of the interlayer insulating film 2.
It is higher than 2.

【0049】その後、埋込膜24上にレジスト膜23を
形成する。次いで、レジスト膜23にビアホールのパタ
ーン31を形成する。また、レジスト膜23は層間絶縁
膜22よりもエッチング選択比が極めて低く、エッチン
グ速度が遅いものである。
After that, a resist film 23 is formed on the buried film 24. Next, a via hole pattern 31 is formed in the resist film 23. The resist film 23 has an etching selectivity much lower than that of the interlayer insulating film 22 and has a lower etching rate.

【0050】その後、図7(c)に示すように、レジス
ト膜23をマスクとして埋込膜24をエッチングすると
共に、更に層間絶縁膜22を導体層21が露出するま
で、エッチングして、ビアホール32を形成する。この
場合のエッチング条件は、埋込膜24のエッチング速度
が最も高く、次いで層間絶縁膜22のエッチング速度が
高く、レジスト膜23のエッチング速度が最も低いもの
である。
Thereafter, as shown in FIG. 7C, the buried film 24 is etched using the resist film 23 as a mask, and the interlayer insulating film 22 is further etched until the conductor layer 21 is exposed, thereby forming a via hole 32. To form The etching conditions in this case are such that the etching rate of the buried film 24 is the highest, then the etching rate of the interlayer insulating film 22 is the highest, and the etching rate of the resist film 23 is the lowest.

【0051】次いで、図8(a)に示すように、レジス
ト膜23及び埋込膜24を除去して、配線溝34及びビ
アホール32を形成する。
Next, as shown in FIG. 8A, the resist film 23 and the buried film 24 are removed, and a wiring groove 34 and a via hole 32 are formed.

【0052】その後、図8(b)に示すように、配線溝
34及びビアホール32を配線材料26で埋め込み、図
8(c)に示すように、この配線材料26の表面をCM
P研磨して層間絶縁膜22を露出させる。これにより、
配線36及びビア35が形成される。
After that, as shown in FIG. 8B, the wiring groove 34 and the via hole 32 are filled with the wiring material 26, and as shown in FIG.
The interlayer insulating film 22 is exposed by P polishing. This allows
The wiring 36 and the via 35 are formed.

【0053】本参考例においては、層間絶縁膜22にお
けるビアホール32の上縁上には、エッチング選択比が
高い埋込膜24が形成されているので、エッチングによ
りこの埋込膜24の部分が横方向に若干除去され、ビア
ホール32の上端縁には突起物が残存することはない。
[0053] In this reference example, on the upper edge of the via hole 32 in the interlayer insulating film 22 is higher embedded film 24 is etch selectivity is formed by etching the portion of the embedded film 24 horizontal Direction, and no protrusion remains on the upper edge of the via hole 32 .

【0054】次に、図9(a)乃至(c)及び図10
(a)乃至(c)を参照して本発明の第2参考例につい
て説明する。本第2参考例においては、図7及び図8に
示す参考例に対し、エッチングストッパ層27を設けた
点のみ異なる。本第2参考例においては、図9(a)に
示すように、導体層21上にエッチングストッパ層27
を形成した後、レジスト膜25をマスクとして使用して
層間絶縁膜22に配線溝34を形成し、図9(b)に示
すように、配線溝34に埋込膜24を埋め込み、図9
(c)に示すように、レジスト膜23をマスクとして使
用して埋込膜24及び層間絶縁膜22をエッチングす
る。このエッチングはエッチングストッパ層27で停止
する。
Next, FIGS. 9A to 9C and FIG.
A second reference example of the present invention will be described with reference to (a) to (c). The second reference example is different from the reference example shown in FIGS. 7 and 8 only in that an etching stopper layer 27 is provided. In the second reference example, as shown in FIG. 9A, the etching stopper layer 27 is formed on the conductor layer 21.
Is formed, a wiring groove 34 is formed in the interlayer insulating film 22 using the resist film 25 as a mask, and the buried film 24 is buried in the wiring groove 34 as shown in FIG.
As shown in (c), the buried film 24 and the interlayer insulating film 22 are etched using the resist film 23 as a mask. This etching stops at the etching stopper layer 27.

【0055】次いで、図10(a)に示すように、ビア
ホール32内に残るエッチングストッパ層27をエッチ
ングにより除去し、図10(b)に示すように、配線材
料26を埋め込み、図10(c)に示すように、配線材
料26をCMP研磨して配線36及びビアホール35を
形成する。
Next, as shown in FIG. 10A, the etching stopper layer 27 remaining in the via hole 32 is removed by etching, and a wiring material 26 is buried as shown in FIG. 2), the wiring material 26 is polished by CMP to form the wiring 36 and the via hole 35.

【0056】本第2参考例においては、図及びに示
参考例と同様の効果を奏するのに加え、図4及び図5
に示す実施例と同様に、導体層21が基板であった場合
にその損傷を防止できるという効果を奏する。
[0056] In this second reference example, in addition to the same effects as the reference example shown in FIGS. 7 and 8, FIGS. 4 and 5
As in the embodiment shown in FIG. 7, when the conductor layer 21 is a substrate, it is possible to prevent the damage.

【0057】また、図7及び8に示す参考例の応用とし
て、図1及び図2に示す実施例と同様に、ビアホールを
エッチングにより形成する工程において、エッチングを
途中で停止し、埋込膜の除去と同時又は埋込膜の除去後
に残りの層間絶縁膜の部分を除去することとしても良
い。更に、図3に示す実施例と同様に、層間絶縁膜とし
て異なる材料の積層膜を使用しても良い。更にまた、図
6に示す実施例と同様にして、ビアホール内に埋込膜を
埋め込んだ後に、ビアホール内にのみ埋込膜を残し、そ
れ以外の部分は全面除去することとしても良い。
As an application of the reference example shown in FIGS. 7 and 8, as in the embodiment shown in FIGS. 1 and 2, in the step of forming a via hole by etching, the etching is stopped halfway and the embedded film is removed. The remaining portion of the interlayer insulating film may be removed simultaneously with the removal or after the removal of the buried film. Further, as in the embodiment shown in FIG. 3, a laminated film of a different material may be used as the interlayer insulating film. Further, similarly to the embodiment shown in FIG. 6, after the buried film is buried in the via hole, the buried film may be left only in the via hole, and the other portions may be entirely removed.

【0058】次に、図11(a)乃至(c)及び図12
(a)乃至(c)を参照して本発明の第実施例につい
て説明する。本実施例においては、先ず、図11(a)
に示すように、導体層21上にビアホールを形成できる
程度の厚さの第1の層間絶縁膜22を形成し、この第1
層間絶縁膜22上にレジスト膜23を形成し、このレジ
スト膜23にビアホールパターン31を形成する。そし
て、このレジスト膜23をマスクとして第1層間絶縁膜
22をエッチングすることにより、ビアホール32を形
成する。
Next, FIGS. 11A to 11C and FIG.
A fifth embodiment of the present invention will be described with reference to (a) to (c). In this embodiment, first, FIG.
As shown in FIG. 1, a first interlayer insulating film 22 having a thickness enough to form a via hole on the conductor layer 21 is formed.
A resist film 23 is formed on the interlayer insulating film 22, and a via hole pattern 31 is formed in the resist film 23. Then, via hole 32 is formed by etching first interlayer insulating film 22 using resist film 23 as a mask.

【0059】次いで、図11(b)に示すように、ビア
ホール32を埋込膜24で埋め込み、この埋込膜24の
上に配線溝を形成するのに十分な厚さの第2層間絶縁膜
28を形成する。
Next, as shown in FIG. 11B, the via hole 32 is buried with a buried film 24, and a second interlayer insulating film having a thickness sufficient to form a wiring groove on the buried film 24. 28 are formed.

【0060】その後、図11(c)に示すように、第2
層間絶縁膜28上にレジスト膜25を形成し、このレジ
スト膜25に配線溝パターン33を形成する。そして、
この配線溝パターン33により第2層間絶縁膜28をエ
ッチングし、配線溝34を形成する。この場合のエッチ
ング条件は、埋込膜24のエッチング速度が第1層間絶
縁膜22及び第2層間絶縁膜28のエッチング速度より
も速く、層間絶縁膜28のエッチング速度がレジスト膜
25のエッチング速度よりも速くなるものである。ま
た、このエッチングは第1層間絶縁膜22が露出した時
点で停止する。
Thereafter, as shown in FIG.
A resist film 25 is formed on the interlayer insulating film 28, and a wiring groove pattern 33 is formed in the resist film 25. And
The second interlayer insulating film 28 is etched by the wiring groove pattern 33 to form a wiring groove 34. In this case, the etching conditions are such that the etching speed of the buried film 24 is higher than the etching speed of the first interlayer insulating film 22 and the second interlayer insulating film 28, and the etching speed of the interlayer insulating film 28 is higher than the etching speed of the resist film 25. Is also faster. This etching stops when the first interlayer insulating film 22 is exposed.

【0061】その後、図12(a)に示すように、第1
層間絶縁膜22及び第2層間絶縁膜28が殆ど削られな
いようにして、レジスト膜25及びビアホール32内の
埋込膜24を除去する。
Thereafter, as shown in FIG.
The resist film 25 and the buried film 24 in the via hole 32 are removed so that the interlayer insulating film 22 and the second interlayer insulating film 28 are hardly removed.

【0062】次いで、図12(b)に示すように、配線
溝34及びビアホール32に配線材料26を埋め込み、
これをCMP研磨して、図12(c)に示す配線36及
びビア35を形成する。
Next, as shown in FIG. 12B, the wiring material 26 is buried in the wiring groove 34 and the via hole 32,
This is subjected to CMP polishing to form wirings 36 and vias 35 shown in FIG.

【0063】本実施例においても、図11(c)に示す
ように、埋込膜24の方が第1層間絶縁膜22よりもエ
ッチング速度が速いので、ビアホール32内に残存する
埋込膜24は第1層間絶縁膜22よりも低く、このた
め、埋込膜24の上端縁が第1層間絶縁膜22のエッチ
ングに際してマスクとなることがない。従って、第1層
間絶縁膜22のエッチング残りが生じることがない。
Also in this embodiment, as shown in FIG. 11C, since the buried film 24 has a higher etching rate than the first interlayer insulating film 22, the buried film 24 remaining in the via hole 32 is formed. Is lower than the first interlayer insulating film 22. Therefore, the upper edge of the buried film 24 does not serve as a mask when the first interlayer insulating film 22 is etched. Therefore, the first interlayer insulating film 22 is not left unetched.

【0064】次に、図13(a)乃至(c)及び図14
(a)乃至(c)を参照して本発明の第実施例につい
て説明する。本実施例が図11及び図12に示す実施例
と異なる点は、図13(b)に示すように、ビアホール
32内にのみ埋込膜24を残存させ、その他の埋込膜2
4を除去することである。
Next, FIGS. 13A to 13C and FIG.
A sixth embodiment of the present invention will be described with reference to (a) to (c). This embodiment is different from the embodiments shown in FIGS. 11 and 12 in that the buried film 24 is left only in the via hole 32 and the other buried films 2 are formed as shown in FIG.
4 is to be removed.

【0065】このため、図11(c)及び図12(a)
乃至(c)と図13(c)及び図14(a)乃至(c)
との比較から明らかなように、本実施例においては、第
1の層間絶縁膜22と第2の層間絶縁膜28との間に、
埋込膜24が残存することがなく、埋込膜の材料として
選択の範囲が広いという利点がある。
For this reason, FIGS. 11C and 12A
To (c), FIG. 13 (c) and FIGS. 14 (a) to (c)
As is clear from the comparison with the first embodiment, in the present embodiment, between the first interlayer insulating film 22 and the second interlayer insulating film 28,
There is an advantage that the buried film 24 does not remain and the range of selection as the material of the buried film is wide.

【0066】なお、図11及び12に示す実施例の応用
として、図4及び5に示す実施例と同様に、エッチング
ストッパ層を導体層21と第1層間絶縁膜22との間に
設けても良い。また、図1及び2に示すように。ビアホ
ール32の形成に際し、若干層間絶縁膜22を残してお
いて、埋込膜24の除去と同時又は埋込膜24の除去後
に残存した層間絶縁膜22を除去しても良い。
As an application of the embodiment shown in FIGS. 11 and 12, similarly to the embodiment shown in FIGS. 4 and 5, an etching stopper layer may be provided between the conductor layer 21 and the first interlayer insulating film 22. good. Also, as shown in FIGS. When the via hole 32 is formed, the interlayer insulating film 22 may be left slightly, and the interlayer insulating film 22 remaining at the same time as the removal of the buried film 24 or after the removal of the buried film 24 may be removed.

【0067】[0067]

【発明の効果】以上詳述したように、本発明によれば、
層間絶縁膜に配線溝とホールを形成するエッチング工程
において、層間絶縁膜のエッチング残りが発生せず、従
って層間絶縁膜の残存突起物が配線内に混在することが
なく、配線品質を向上させることができる。
As described in detail above, according to the present invention,
In the etching step of forming wiring grooves and holes in the interlayer insulating film, no etching residue of the interlayer insulating film is generated, and therefore, the remaining protrusions of the interlayer insulating film are not mixed in the wiring, and the wiring quality is improved. Can be.

【図面の簡単な説明】[Brief description of the drawings]

【図1】(a)乃至(c)は本発明の第1実施例に係る
デュアルダマシン配線の形成方法を工程順に示す断面図
である。
FIGS. 1A to 1C are sectional views showing a method of forming a dual damascene wiring according to a first embodiment of the present invention in the order of steps.

【図2】(a)乃至(c)は同じく本第1実施例におい
て、図1の次の工程を工程順に示す図である。
FIGS. 2 (a) to 2 (c) are views showing steps subsequent to FIG. 1 in the order of steps in the first embodiment.

【図3】(a)乃至(c)は本発明の第2実施例に係る
デュアルダマシン配線の形成方法を工程順に示す断面図
である。
FIGS. 3A to 3C are cross-sectional views illustrating a method of forming a dual damascene wiring according to a second embodiment of the present invention in the order of steps.

【図4】(a)乃至(c)は本発明の第3実施例に係る
デュアルダマシン配線の形成方法を工程順に示す断面図
である。
FIGS. 4A to 4C are cross-sectional views illustrating a method of forming a dual damascene wiring according to a third embodiment of the present invention in the order of steps.

【図5】(a)乃至(c)は同じく本第3実施例におい
て、図4の次の工程を工程順に示す図である。
FIGS. 5A to 5C are views showing the next step of FIG. 4 in the order of steps in the third embodiment.

【図6】(a)乃至(c)は本発明の第4実施例に係る
デュアルダマシン配線の形成方法を工程順に示す断面図
である。
FIGS. 6A to 6C are sectional views showing a method of forming a dual damascene wiring according to a fourth embodiment of the present invention in the order of steps.

【図7】(a)乃至(c)は本発明の参考例に係るデュ
アルダマシン配線の形成方法を工程順に示す断面図であ
る。
FIGS. 7A to 7C are cross-sectional views illustrating a method of forming a dual damascene wiring according to a reference example of the present invention in the order of steps.

【図8】(a)乃至(c)は同じく本参考例において、
図7の次の工程を工程順に示す図である。
FIGS. 8 (a) to 8 (c) are the same in this reference example.
FIG. 8 is a diagram illustrating a step next to FIG. 7 in the order of steps.

【図9】(a)乃至(c)は本発明の第2参考例に係る
デュアルダマシン配線の形成方法を工程順に示す断面図
である。
FIGS. 9A to 9C are cross-sectional views illustrating a method of forming a dual damascene wiring according to a second reference example of the present invention in the order of steps.

【図10】(a)乃至(c)は同じく本第2参考例にお
いて、図9の次の工程を工程順に示す図である。
FIGS. 10 (a) to (c) are views showing the steps next to FIG. 9 in the same order as in the second reference example.

【図11】(a)乃至(c)は本発明の第実施例に係
るデュアルダマシン配線の形成方法を工程順に示す断面
図である。
FIGS. 11A to 11C are sectional views showing a method of forming a dual damascene wiring according to a fifth embodiment of the present invention in the order of steps.

【図12】(a)乃至(c)は同じく本第実施例にお
いて、図11の次の工程を工程順に示す図である。
12 (a) to 12 (c) are views showing steps subsequent to FIG. 11 in the same fifth embodiment in the order of steps.

【図13】(a)乃至(c)は本発明の第実施例に係
るデュアルダマシン配線の形成方法を工程順に示す断面
図である。
13A to 13C are cross-sectional views illustrating a method of forming a dual damascene wiring according to a sixth embodiment of the present invention in the order of steps.

【図14】(a)乃至(c)は同じく本第実施例にお
いて、図13の次の工程を工程順に示す図である。
FIGS. 14 (a) to (c) are views showing the next step of FIG. 13 in the same order as in the sixth embodiment.

【図15】(a)乃至(c)は従来のデュアルダマシン
配線の形成方法を工程順に示す断面図である。
15A to 15C are cross-sectional views showing a conventional method of forming a dual damascene wiring in the order of steps.

【図16】(a)乃至(d)は従来の他のデュアルダマ
シン配線の形成方法を工程順に示す断面図である。
16 (a) to (d) are cross-sectional views showing another conventional method of forming a dual damascene wiring in the order of steps.

【符号の説明】[Explanation of symbols]

1:シリコン基板 2:シリコン酸化膜 3:シリコン窒化膜 4:レジスト膜 5:ビアホールパターン 11:シリコン基板 12:層間絶縁膜 13:ビアホール 14:埋込膜 15:レジスト膜 16:配線溝 17:突起物 21:導体層 22、22a、22b、28:層間絶縁膜 23、25:レジスト膜 24:埋込膜 26:配線材料 27:エッチングストッパ層 31:ビアホールパターン 32:ビアホール 33:配線溝パターン 34:配線溝 35:ビア 36:配線 1: silicon substrate 2: silicon oxide film 3: silicon nitride film 4: resist film 5: via hole pattern 11: silicon substrate 12: interlayer insulating film 13: via hole 14: buried film 15: resist film 16: wiring groove 17: protrusion Object 21: Conductive layer 22, 22a, 22b, 28: Interlayer insulating film 23, 25: Resist film 24: Embedded film 26: Wiring material 27: Etching stopper layer 31: Via hole pattern 32: Via hole 33: Wiring groove pattern 34: Wiring groove 35: Via 36: Wiring

───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/3205 - 21/3213 H01L 21/768 ──────────────────────────────────────────────────の Continued on the front page (58) Field surveyed (Int.Cl. 7 , DB name) H01L 21/3205-21/3213 H01L 21/768

Claims (10)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 下層導体層上に層間絶縁膜を形成する工
程と、この層間絶縁膜上にホールパターンの開口を有す
る第1レジスト膜を形成する工程と、前記第1レジスト
膜をマスクとして前記層間絶縁膜をエッチングしてホー
ルを形成する工程と、前記ホールを前記層間絶縁膜より
もエッチング速度が速い材料で埋め込むことにより埋込
膜を形成する工程と、前記埋込膜上に配線溝パターンの
開口を有する第2レジスト膜を形成する工程と、この第
2レジスト膜をマスクとして前記埋込膜及び層間絶縁膜
をエッチングして前記層間絶縁膜に配線溝を形成する工
とを有し、前記ホールを形成するためのエッチング工
程は、前記層間絶縁膜を一部残存させてエッチングを停
止するものであることを特徴とするデュアルダマシン配
線の形成方法。
A step of forming an interlayer insulating film on the lower conductor layer, a step of forming a first resist film having an opening of a hole pattern on the interlayer insulating film, and using the first resist film as a mask. Forming a hole by etching the interlayer insulating film, forming a buried film by filling the hole with a material having a higher etching rate than the interlayer insulating film, and forming a wiring groove pattern on the buried film. Forming a second resist film having an opening, and using the second resist film as a mask, etching the buried film and the interlayer insulating film to form a wiring groove in the interlayer insulating film ; Etching process for forming the hole
The etching is stopped by leaving a part of the interlayer insulating film.
A method of forming a dual damascene wiring, characterized in that the wiring is stopped .
【請求項2】 下層導体層上に第1層間絶縁膜を形成す
る工程と、この第1層間絶縁膜上にホールパターンの開
口を有する第1レジスト膜を形成する工程と、前記第1
レジスト膜をマスクとして前記第1層間絶縁膜をエッチ
ングしてホールを形成する工程と、前記ホールを前記第
1層間絶縁膜よりもエッチング速度が速い材料で埋め込
むことにより埋込膜を形成する工程と、全面に第2層間
絶縁膜を形成する工程と、前記第2層間絶縁膜上に配線
溝パターンの開口を有する第2レジスト膜を形成する工
程と、前記第2レジスト膜をマスクとして前記第2層間
絶縁膜をエッチングすることにより配線溝を形成する工
程と、を有することを特徴とするデュアルダマシン配線
の形成方法。
2. A step of forming a first interlayer insulating film on the lower conductor layer, a step of forming a first resist film having an opening of a hole pattern on the first interlayer insulating film,
Forming a hole by etching the first interlayer insulating film using a resist film as a mask, and forming a buried film by filling the hole with a material having a higher etching rate than the first interlayer insulating film. Forming a second interlayer insulating film over the entire surface, forming a second resist film having an opening of a wiring groove pattern on the second interlayer insulating film, and forming the second resist film using the second resist film as a mask. Forming a wiring groove by etching an interlayer insulating film.
【請求項3】 前記ホール内の埋込膜を除去する工程
と、前記配線溝及びホール内に導電材料を埋め込んで配
線及びコンタクト又はビアを同時に形成する工程とを有
することを特徴とする請求項1又はに記載のデュアル
ダマシン配線の形成方法。
3. The method according to claim 1, further comprising a step of removing a buried film in the hole and a step of burying a conductive material in the wiring groove and the hole to simultaneously form a wiring and a contact or a via. 3. The method for forming a dual damascene wiring according to 1 or 2 .
【請求項4】 前記ホール内に残存した層間絶縁膜を、
前記埋込膜の除去と同時又は前記埋込膜を除去した後に
除去することを特徴とする請求項に記載のデュアルダ
マシン配線の形成方法。
4. An interlayer insulating film remaining in the hole,
4. The method according to claim 3 , wherein the removal is performed simultaneously with or after the removal of the buried film.
【請求項5】 下層導体上に第1の層間絶縁膜を形成す
る工程と、この第1の層間絶縁膜上に第2の層間絶縁膜
を形成する工程と、この第2の層間絶縁膜上 にホールパ
ターンの開口を有する第1レジスト膜を形成する工程
と、前記第1レジスト膜をマスクとして前記第1及び第
2の層間絶縁膜をエッチングしてホールを形成する工程
と、前記ホールに埋込膜を充填する工程と、前記埋込膜
上に配線溝パターンの開口を有する第2レジスト膜を形
成する工程と、この第2レジスト膜をマスクとして前記
第2層間絶縁膜をエッチングすることにより配線溝を形
成する工程とを有し、前記第2の層間絶縁膜は前記埋込
膜よりもエッチング速度が速く、前記埋込膜は前記第1
の層間絶縁膜よりもエッチング速度が速いことを特徴と
するデュアルダマシン配線の形成方法。
5. A first interlayer insulating film is formed on a lower conductor.
And a second interlayer insulating film on the first interlayer insulating film.
Forming a hole , and forming a hole pattern on the second interlayer insulating film.
Forming a first resist film having a turn opening
And the first and second resists using the first resist film as a mask.
Forming a hole by etching the second interlayer insulating film
Filling the hole with a buried film;
Forming a second resist film having a wiring groove pattern opening thereon
Forming, and using the second resist film as a mask,
Wiring grooves are formed by etching the second interlayer insulating film.
Forming the second interlayer insulating film with the embedded layer.
The etching rate is faster than the film, and the buried film is
The etching rate is faster than that of the interlayer insulating film.
Of forming dual damascene wiring.
【請求項6】 前記下層導体層と前記層間絶縁膜との間
にエッチングストッパ層を形成する工程を有し、前記ホ
ールのエッチング工程においてエッチングは前記エッチ
ングストッパ層にて停止することを特徴とする請求項1
又は2に記載のデュアルダマシン配線の形成方法。
6. A step of forming an etching stopper layer between the lower conductor layer and the interlayer insulating film, wherein the etching is stopped at the etching stopper layer in the hole etching step. Claim 1
Or the method for forming a dual damascene wiring according to 2 .
【請求項7】 前記埋込膜を形成する工程の次工程とし
て、前記層間絶縁膜上の埋込膜を除去して前記ホール内
にのみ前記埋込膜を残存させる工程を有することを特徴
とする請求項に記載のデュアルダマシン配線の形成方
法。
7. The method according to claim 1, further comprising a step of removing the buried film on the interlayer insulating film and leaving the buried film only in the hole as a step subsequent to the step of forming the buried film. The method for forming a dual damascene wiring according to claim 1 .
【請求項8】 前記埋込膜を形成する工程の次工程とし
て、前記第1層間絶縁膜上の埋込膜を除去する工程を有
することを特徴とする請求項に記載のデュアルダマシ
ン配線の形成方法。
8. The dual damascene wiring according to claim 2 , further comprising a step of removing the buried film on the first interlayer insulating film as a step subsequent to the step of forming the buried film. Forming method.
【請求項9】 前記下層導体層は下層配線であり、前記
ホールはビアホールであることを特徴とする請求項1乃
のいずれか1項に記載のデュアルダマシン配線の形
成方法。
Wherein said lower conductor layer is the lower layer wiring, the hole forming method for a dual damascene wiring according to any one of claims 1乃<br/> optimum 8, which is a hole .
【請求項10】 前記下層導体は半導体基板であり、前
記ホールはビアホールであることを特徴とする請求項1
乃至のいずれか1項に記載のデュアルダマシン配線の
形成方法。
10. The semiconductor device according to claim 1, wherein said lower conductor is a semiconductor substrate, and said hole is a via hole.
9. The method for forming a dual damascene wiring according to any one of claims 1 to 8 .
JP36355598A 1998-12-21 1998-12-21 Method of forming dual damascene wiring Expired - Fee Related JP3214475B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP36355598A JP3214475B2 (en) 1998-12-21 1998-12-21 Method of forming dual damascene wiring
GB9930205A GB2345189A (en) 1998-12-21 1999-12-21 Process for forming dual damascene wiring
KR1019990059830A KR20000048294A (en) 1998-12-21 1999-12-21 Process for forming dual damascene wiring
CN 99126604 CN1258097A (en) 1998-12-21 1999-12-21 Method for forming double inserted wiring

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP36355598A JP3214475B2 (en) 1998-12-21 1998-12-21 Method of forming dual damascene wiring

Publications (2)

Publication Number Publication Date
JP2000188330A JP2000188330A (en) 2000-07-04
JP3214475B2 true JP3214475B2 (en) 2001-10-02

Family

ID=18479608

Family Applications (1)

Application Number Title Priority Date Filing Date
JP36355598A Expired - Fee Related JP3214475B2 (en) 1998-12-21 1998-12-21 Method of forming dual damascene wiring

Country Status (4)

Country Link
JP (1) JP3214475B2 (en)
KR (1) KR20000048294A (en)
CN (1) CN1258097A (en)
GB (1) GB2345189A (en)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3346475B2 (en) * 2000-01-18 2002-11-18 日本電気株式会社 Manufacturing method of semiconductor integrated circuit, semiconductor integrated circuit
US6861347B2 (en) * 2001-05-17 2005-03-01 Samsung Electronics Co., Ltd. Method for forming metal wiring layer of semiconductor device
JP2002373936A (en) 2001-06-14 2002-12-26 Nec Corp Wiring formation method by dual damascene method
KR100443515B1 (en) * 2001-12-24 2004-08-09 주식회사 하이닉스반도체 method for manufacturing via hole
JP4165077B2 (en) * 2002-01-28 2008-10-15 ソニー株式会社 Semiconductor imaging device
KR20030095100A (en) * 2002-06-11 2003-12-18 동부전자 주식회사 The dual damascene process
JP4681217B2 (en) * 2003-08-28 2011-05-11 株式会社アルバック Interlayer dielectric film dry etching method
JP4174412B2 (en) * 2003-11-07 2008-10-29 株式会社東芝 Semiconductor device and manufacturing method thereof
CN103560107A (en) * 2006-10-09 2014-02-05 英闻萨斯有限公司 Method of forming an interconnect structure
CN101196691B (en) * 2006-12-05 2010-04-21 中芯国际集成电路制造(上海)有限公司 Method for improving metal connection defect of through hole
JP2009111134A (en) * 2007-10-30 2009-05-21 Renesas Technology Corp Semiconductor device and its manufacturing method
CN101459123B (en) * 2007-12-13 2011-08-17 中芯国际集成电路制造(上海)有限公司 Through hole and dual damascene structure forming method
JP2008235938A (en) * 2008-06-02 2008-10-02 Sony Corp Semiconductor image pick-up device and its manufacturing method
CN101740477B (en) * 2008-11-11 2012-02-29 中芯国际集成电路制造(北京)有限公司 Method for forming through hole and double-embedded structure
JP2010153894A (en) * 2010-02-19 2010-07-08 Tokyo Electron Ltd Method of manufacturing semiconductor device
KR101318463B1 (en) * 2013-05-13 2013-10-16 현대오토에버 주식회사 System for providing information on road conditions

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5219787A (en) * 1990-07-23 1993-06-15 Microelectronics And Computer Technology Corporation Trenching techniques for forming channels, vias and components in substrates
US5187121A (en) * 1991-12-18 1993-02-16 International Business Machines Corporation Process for fabrication of a semiconductor structure and contact stud
US5705430A (en) * 1995-06-07 1998-01-06 Advanced Micro Devices, Inc. Dual damascene with a sacrificial via fill
US5702982A (en) * 1996-03-28 1997-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making metal contacts and interconnections concurrently on semiconductor integrated circuits
KR0179292B1 (en) * 1996-04-12 1999-04-15 문정환 Method for forming multi-level interconnections
US6300235B1 (en) * 1997-06-30 2001-10-09 Siemens Aktiengesellschaft Method of forming multi-level coplanar metal/insulator films using dual damascene with sacrificial flowable oxide
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US6004883A (en) * 1998-10-23 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene patterned conductor layer formation method without etch stop layer

Also Published As

Publication number Publication date
KR20000048294A (en) 2000-07-25
JP2000188330A (en) 2000-07-04
GB2345189A (en) 2000-06-28
CN1258097A (en) 2000-06-28
GB9930205D0 (en) 2000-02-09

Similar Documents

Publication Publication Date Title
JP3214475B2 (en) Method of forming dual damascene wiring
JP2007150257A (en) Method for forming storage node contact plug of semiconductor device
JP3700460B2 (en) Semiconductor device and manufacturing method thereof
US6027994A (en) Method to fabricate a dual metal-damascene structure in a substrate
JP4226699B2 (en) Manufacturing method of semiconductor device
JP3312604B2 (en) Method for manufacturing semiconductor device
JP3183238B2 (en) Method for manufacturing semiconductor device
KR100454128B1 (en) Inter Metal Dielectric Pattern And Method Of Forming The Same
JP2006100571A (en) Semiconductor device and its manufacturing method
US5427982A (en) Method for fabricating a semiconductor device
JP4201421B2 (en) Manufacturing method of semiconductor device
JP3102382B2 (en) Semiconductor device and manufacturing method thereof
US6204096B1 (en) Method for reducing critical dimension of dual damascene process using spin-on-glass process
US6989317B1 (en) Trench formation in semiconductor integrated circuits (ICs)
KR100299379B1 (en) Method for forming metal wiring in semiconductor device
JP2872086B2 (en) Method for manufacturing semiconductor device
JP3279302B2 (en) Method for manufacturing semiconductor device
JP2002319617A (en) Semiconductor device and its manufacturing method
JP3317279B2 (en) Method for manufacturing semiconductor device
JP4483116B2 (en) Manufacturing method of semiconductor device
KR100807026B1 (en) Method of fabricating semicondcucor device
JP2009054879A (en) Method of manufacturing integrated circuit
US6599825B2 (en) Method for forming wiring in semiconductor device
KR100485391B1 (en) Method for forming metal wiring in semiconductor manufacturing process
JP2000269329A (en) Manufacture for semiconductor device

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees