JP2009140222A - Power estimation method for lsi, and apparatus thereof - Google Patents

Power estimation method for lsi, and apparatus thereof Download PDF

Info

Publication number
JP2009140222A
JP2009140222A JP2007315742A JP2007315742A JP2009140222A JP 2009140222 A JP2009140222 A JP 2009140222A JP 2007315742 A JP2007315742 A JP 2007315742A JP 2007315742 A JP2007315742 A JP 2007315742A JP 2009140222 A JP2009140222 A JP 2009140222A
Authority
JP
Japan
Prior art keywords
model
power
parameter
function model
power consumption
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007315742A
Other languages
Japanese (ja)
Other versions
JP5040625B2 (en
Inventor
Yasuki Nakamura
泰基 中村
Makiko Ito
真紀子 伊藤
Toshiki Obara
俊樹 小原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2007315742A priority Critical patent/JP5040625B2/en
Publication of JP2009140222A publication Critical patent/JP2009140222A/en
Application granted granted Critical
Publication of JP5040625B2 publication Critical patent/JP5040625B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a power estimation method for estimating power consumption which corresponds to the operation of an LSI, in a short time, and to provide an apparatus. <P>SOLUTION: As an advance preparation, a function model is analyzed to extract function model parameters (a signal, a variation, or the like) affecting the power consumption, a net list model described in a net list is analyzed to extract net list parameters (a terminal name, a register name, or the like) corresponding to the function model parameters, and a correspondence relation between two sides is held. The net list model is operation-simulated to measure the power consumption, and a power model comprising a combination of the function model parameters affecting the power and the power consumption corresponding thereto is created. The function model is operation-simulated about the application program of a power estimation target, the power is extracted, in reference to the power model about a log of the function model parameters, when executing the application program, and the power consumption of the function model is estimated. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は,LSIの電力見積方法及びその装置に関し,特に,電力見積時間を短縮した方法及び装置に関する。   The present invention relates to an LSI power estimation method and apparatus, and more particularly, to a method and apparatus that reduce power estimation time.

LSIを設計する工程において,事前に電力を見積もることが要求されている。LSIの電力見積の方法としては,トランジスタレベルでシミュレーションを行い電力を見積もる方法がある。この方法では,LSIに含まれる全てのトランジスタのON/OFF回数と,全ての信号線の充放電回数をカウントし,この回数に,個々のトランジスタのON/OFFで生じる消費電力や,信号線の充放電容量できまる充放電電力を掛け合わせて,電力を見積もる。この方法では,LSIに含まれる膨大な数のトランジスタや信号線を全てシミュレーションする必要があり,電力見積には膨大な計算時間が必要になる。   In the process of designing an LSI, it is required to estimate power in advance. As an LSI power estimation method, there is a method of estimating power by performing a simulation at a transistor level. In this method, the number of ON / OFF times of all the transistors included in the LSI and the number of charge / discharge times of all the signal lines are counted, and the power consumption generated by ON / OFF of each individual transistor, Multiply the charge / discharge power by the charge / discharge capacity and estimate the power. In this method, it is necessary to simulate all of the enormous number of transistors and signal lines included in the LSI, and enormous calculation time is required for power estimation.

LSIの電力見積方法として別の方法では,LSIに含まれる機能マクロ(IP)の電力を固定値としてあらかじめ与えておき,LSI内のIPの電力を積算することで電力を見積もる。しかし,この方法では,LSIのアプリケーションに対応した動作に依存しない一定の電力値を使用しているので,機能マクロ(IP)に動作を指令するアプリケーションプログラムによる電力の違いを見積もることはできない。   In another method for estimating the LSI power, the power of the function macro (IP) included in the LSI is given in advance as a fixed value, and the power is estimated by integrating the IP power in the LSI. However, in this method, since a constant power value that does not depend on the operation corresponding to the LSI application is used, it is not possible to estimate the power difference due to the application program that instructs the function macro (IP) to operate.

LSIの電力見積方法としては,以下の特許文献1,2,3にも種々提案されている。特許文献1によれば,LSIをクロック回路部分とそれ以外の回路部分とに分けて,クロック回路部分をゲートレベルまたはトランジスタレベルで消費電力を見積もり,それ以外の回路部分をRTレベルで消費電力を見積もり,両者を加算する。   Various LSI power estimation methods have also been proposed in the following Patent Documents 1, 2, and 3. According to Patent Document 1, an LSI is divided into a clock circuit portion and other circuit portions, power consumption is estimated at the gate level or transistor level for the clock circuit portion, and power consumption is calculated at the RT level for other circuit portions. Estimate and add both.

また,特許文献2によれば,メモリ部をトランジスタレベルで電力見積を行い,LSI全体をRTレベルでシミュレーションしたときのメモリ部のアクセス回数を反映させてLSIの平均消費電力及びピーク消費電力を計算する。   Also, according to Patent Document 2, the memory portion is estimated at the transistor level, and the average power consumption and peak power consumption of the LSI are calculated by reflecting the number of accesses of the memory portion when the entire LSI is simulated at the RT level. To do.

そして,特許文献3によれば,組み合わせ回路をブロック化して消費電力を計算してライブラリ化し,順序回路と併せてチップ全体の消費電力を計算する。
特開平2004−287669号公報 特開平2003−256495号公報 特開平2002−15022号公報
According to Patent Document 3, the combinational circuit is made into a block, the power consumption is calculated to make a library, and the power consumption of the entire chip is calculated together with the sequential circuit.
Japanese Patent Laid-Open No. 2004-287669 Japanese Patent Laid-Open No. 2003-256495 Japanese Patent Laid-Open No. 2002-15022

従来の電力見積方法は,トランジスタレベルでシミュレーションを行って動作に対応した消費電力を見積もる方法はあるものの,膨大な計算時間を要する。また,短時間での電力見積方法では,LSIの動作に対応した電力見積ができていない。   Although there is a method for estimating the power consumption corresponding to the operation by performing a simulation at the transistor level, the conventional power estimation method requires a huge calculation time. Further, the power estimation method in a short time cannot estimate the power corresponding to the operation of the LSI.

そこで,本発明の目的は,LSIの動作に対応した消費電力を短時間で見積もることができる電力見積方法とその装置を提供することにある。   SUMMARY OF THE INVENTION An object of the present invention is to provide a power estimation method and apparatus capable of estimating power consumption corresponding to LSI operation in a short time.

上記の目的を達成するために,本発明の第1の側面によれば,事前準備として,ビヘイビアレベル或いはレジスタトランスファレベルのソースコードで記述されている機能モデルを解析して消費電力に影響を与える機能モデルパラメータ(信号や変数など)を抽出し,機能モデルから生成され,ネットリストで記述されているネットリストモデルを解析して機能モデルパラメータに対応するネットリストパラメータ(端子名やレジスタ名など)を抽出し,両者の対応関係を保持しておく。さらに,事前準備として,ネットリストモデルを動作シミュレーションして消費電力を測定する。そして,測定結果から,電力に影響を与える機能モデルパラメータの組み合わせとそれに対応する消費電力とからなる電力モデルを作成する。以上が事前準備(事前モデリング)工程である。   In order to achieve the above object, according to the first aspect of the present invention, as a preliminary preparation, a function model described in a source code at a behavior level or a register transfer level is analyzed to affect power consumption. Functional model parameters (signals, variables, etc.) are extracted, netlist models (terminal names, register names, etc.) corresponding to the functional model parameters are analyzed by analyzing the netlist model generated from the functional model and described in the netlist Are extracted and the correspondence between them is maintained. Furthermore, as a preliminary preparation, operation of the netlist model is simulated to measure power consumption. Then, from the measurement result, a power model including a combination of function model parameters that affect power and the corresponding power consumption is created. The above is the preliminary preparation (preliminary modeling) process.

そして,電力見積対象のアプリケーションプログラムについて機能モデルを動作シミュレーションし,そのアプリケーションプログラムを実行したときの機能モデルパラメータのログを取得する。そして,事前モデリング工程で生成した電力モデルを参照し,ログの機能モデルパラメータの組み合わせに対応する電力を抽出し,電力見積対象のアプリケーションの動作における機能モデルの消費電力を見積もる。以上が電力見積対象アプリケーションにおける機能モデルの電力見積工程である。   Then, an operation simulation is performed on the function model for the application program targeted for power estimation, and a log of the function model parameters when the application program is executed is acquired. Then, by referring to the power model generated in the pre-modeling process, the power corresponding to the combination of the log function model parameters is extracted, and the power consumption of the function model in the operation of the power estimation target application is estimated. The above is the power estimation process of the function model in the power estimation target application.

事前モデリング工程で,機能モデルについて,ネットリストモデルで動作シミュレーションを実行して,トランジスタレベルまたはレジスタレベルでの動作シミュレーションで消費電力を求める。この工程では膨大な計算時間が必要になるが比較的正確な消費電力を求めることができる。そして,この動作シミュレーションで得た機能モデルパラメータの組み合わせと消費電力との対応を有する電力モデルを記憶しておけば,電力見積対象のアプリケーションプログラムの実行時における機能モデルについて,動作シミュレーションを実行し,そこで得られた機能モデルパラメータのログから,前述の電力モデルを参照して電力値を見積もることができる。この機能モデルでの動作シミュレーションはネットリストモデルの場合ほど長い計算時間は必要ない。よって,短時間でアプリケーションプログラムの動作に依存した機能モデルの消費電力を見積もることができる。   In the pre-modeling process, for the function model, an operation simulation is executed with a netlist model, and power consumption is obtained by an operation simulation at the transistor level or the register level. Although this process requires enormous calculation time, relatively accurate power consumption can be obtained. If the power model having the correspondence between the combination of the function model parameters obtained by the operation simulation and the power consumption is stored, the operation simulation is executed for the function model at the time of executing the application program to be estimated, From the obtained function model parameter log, the power value can be estimated with reference to the power model described above. The operation simulation with this functional model does not require a long calculation time as with the netlist model. Therefore, the power consumption of the function model depending on the operation of the application program can be estimated in a short time.

上記の目的を達成するために,本発明の第2の側面によれば,LSIの消費電力を見積もる電力見積方法は,
(a)ソースコードで記述されている機能モデルを解析して消費電力に影響を与える機能モデルパラメータを抽出し,前記機能モデルに対応し,ネットリストで記述されているネットリストモデルを解析して前記機能モデルパラメータに対応するネットリストパラメータを抽出し,前記機能モデルパラメータとネットリストパラメータの対応関係を保持する工程と,
(b)前記ネットリストモデルを動作シミュレーションして前記機能モデルパラメータの値と消費電力を測定する工程と,
(c)工程(b)で得られた機能モデルパラメータの値の組み合わせとそれに対応する消費電力との関係からなる電力モデルを作成する工程と,
(d)見積対象アプリケーションプログラムの動作における機能モデルの動作シミュレーションを実行し,その実行したときの機能モデルパラメータの値の組み合わせに対応する消費電力を,前記電力モデルを参照して抽出し,前記見積対象アプリケーションの動作における機能モデルの消費電力を見積もる工程とを有する。
In order to achieve the above object, according to the second aspect of the present invention, a power estimation method for estimating power consumption of an LSI includes:
(A) Analyzing the function model described in the source code to extract function model parameters that affect power consumption, analyzing the netlist model described in the netlist corresponding to the function model, Extracting a netlist parameter corresponding to the functional model parameter, and maintaining a correspondence between the functional model parameter and the netlist parameter;
(B) measuring the value of the functional model parameter and the power consumption by simulating the operation of the netlist model;
(C) creating a power model composed of the relationship between the combination of the values of the function model parameters obtained in step (b) and the corresponding power consumption;
(D) Execute an operation simulation of the function model in the operation of the application program to be estimated, extract the power consumption corresponding to the combination of the values of the function model parameters at the time of execution with reference to the power model, and And estimating the power consumption of the functional model in the operation of the target application.

以下,図面にしたがって本発明の実施の形態について説明する。但し,本発明の技術的範囲はこれらの実施の形態に限定されず,特許請求の範囲に記載された事項とその均等物まで及ぶものである。   Hereinafter, embodiments of the present invention will be described with reference to the drawings. However, the technical scope of the present invention is not limited to these embodiments, but extends to the matters described in the claims and equivalents thereof.

LSIの消費電力は,一般的にLSIの内部の動作に関連しているので,内部の動作が決まると消費電力も決まる。一方,内部の動作は,内部の信号線やレジスタの値などのパラメータ値に反映されている。ただし,消費電力は,全てのパラメータ値に依存しているわけではなく,消費電力に影響を与える特定のパラメータ値に依存している。   Since the power consumption of an LSI is generally related to the internal operation of the LSI, the power consumption is also determined when the internal operation is determined. On the other hand, internal operations are reflected in parameter values such as internal signal lines and register values. However, power consumption does not depend on all parameter values, but depends on specific parameter values that affect power consumption.

たとえば,DMA(ダイレクトメモリアクセス)機能を有するマクロ(IP)の消費電力は,DMA動作の有無,リードやライト時の内部パラメータ値,例えばバーストサイズなど,に依存して決まる。または,MPEGデコーダの機能を有するマクロの場合は,画像サイズや画像の種類などの内部パラメータ値に依存して電力が決まる。   For example, the power consumption of a macro (IP) having a DMA (direct memory access) function is determined depending on the presence / absence of a DMA operation and an internal parameter value at the time of reading or writing, for example, a burst size. Alternatively, in the case of a macro having an MPEG decoder function, the power is determined depending on internal parameter values such as the image size and image type.

そこで,本実施の形態では,機能モデルについて,そのネットリストモデルの動作シミュレーションを実行して機能モデルパラメータの組み合わせと消費電力との関係を電力モデルとしてあらかじめ求めておく。そして,見積対象アプリケーションプログラムを実行した場合の機能モデルの動作をシミュレーションし,そのときの機能モデルパラメータ値のログを取得する。このログの機能モデルパラメータの組み合わせについて,前述の電力モデルを参照して,対応する消費電力を求める。見積対象アプリケーションプログラムについて機能モデルをシミュレーションするだけで,ネットリストモデルのシミュレーションの精度で消費電力を見積もることができる。   Therefore, in the present embodiment, the operation simulation of the netlist model is executed for the function model, and the relationship between the combination of the function model parameters and the power consumption is obtained in advance as the power model. Then, the operation of the function model when the estimation target application program is executed is simulated, and a log of the function model parameter value at that time is acquired. With respect to the combination of the function model parameters of this log, the corresponding power consumption is obtained with reference to the power model described above. Just by simulating the functional model for the application program to be estimated, the power consumption can be estimated with the accuracy of simulation of the netlist model.

上記の機能モデルは,回路の動作や振る舞いを表現したレベルであるビヘイビアレベルの記述レベルであり,例えばSystemCなどの言語で記述される。または,機能モデルは,レジスタ間の動作を表現したレベルであるレジスタトランスファレベル(RTL)の記述レベルであってもよい。   The above functional model is a behavior level description level that expresses the operation and behavior of the circuit, and is described in a language such as SystemC. Alternatively, the functional model may be a description level of a register transfer level (RTL), which is a level representing an operation between registers.

図1は,本実施の形態における電力見積方法の概略を示す図である。この電力見積方法は,事前準備としての事前モデリング(S1,S2,S3)と,見積対象アプリケーションについての電力見積(S4)とで構成される。最初の工程は,モデルの全パラメータを抽出する工程S1である。ここでは,見積対象のモデル10を周辺マクロとして有するシステム100において,モデル10のパラメータを抽出する(S1)。   FIG. 1 is a diagram showing an outline of a power estimation method in the present embodiment. This power estimation method includes pre-modeling (S1, S2, S3) as pre-preparation and power estimation (S4) for the estimation target application. The first step is step S1 for extracting all parameters of the model. Here, in the system 100 having the model 10 to be estimated as a peripheral macro, parameters of the model 10 are extracted (S1).

具体的には,モデル10に対応するビヘイビアレベルのソースコード(例えば言語SystemC)で記述された機能モデル10Fの記述を解析して,それに含まれる機能モデルパラメータ12Fを抽出し(S11),さらに,モデル10に対応するネットリストで記述されたネットリストモデル10Nの記述を解析して,それに含まれるネットリストパラメータ12Nを抽出する(S12)。両パラメータは1対1に対応付けられることが望ましい。   Specifically, the description of the function model 10F described in the behavior level source code (for example, language SystemC) corresponding to the model 10 is analyzed, and the function model parameter 12F included in the function model 10F is extracted (S11). The description of the net list model 10N described in the net list corresponding to the model 10 is analyzed, and the net list parameter 12N included in the net list model 10N is extracted (S12). It is desirable that both parameters are associated one-to-one.

次に,ネットリストモデル10Nについて,シミュレーションシステム200により,動作シミュレーションを実行し電力を測定する(S2)。このとき,パラメータの値とそれらに対する消費電力のログを取得する。そして,電力に関係する機能モデルパラメータの値の組み合わせと消費電力との相関関係を示す電力モデル208を作成する(S3)。このとき,電力モデルは,電力値に関係する(影響を与える)機能モデルパラメータ210に絞り込むことが望ましい。以上で事前モデリングが終了する。   Next, for the netlist model 10N, the simulation system 200 performs an operation simulation and measures power (S2). At this time, a log of parameter values and power consumption for them is acquired. Then, a power model 208 is generated that indicates the correlation between the combination of power model-related function model parameter values and power consumption (S3). At this time, it is desirable to narrow down the power model to function model parameters 210 related to (influencing) the power value. This completes the pre-modeling.

そして,見積対象アプリケーションプログラムをシステム300が実行した場合の機能モデルシミュレーションにより電力見積を行う(S4)。具体的には,見積対象アプリケーションプログラムをシステム300が実行した場合における,機能モデル10Fの動作シミュレーションを実行し,その時の機能モデルパラメータの値のログを取得する(S41)。この機能モデルパラメータの値のログ(シミュレーション結果)から,前述の電力モデルを参照し,同じ値の組み合わせに対応する消費電力を抽出し,機能モデル10Fの電力を見積もる(S42)。   Then, power estimation is performed by function model simulation when the system 300 executes the application program to be estimated (S4). Specifically, an operation simulation of the function model 10F when the system 300 executes the application program to be estimated is executed, and a log of the value of the function model parameter at that time is acquired (S41). From the log of function model parameter values (simulation results), the above-described power model is referred to, the power consumption corresponding to the same value combination is extracted, and the power of the function model 10F is estimated (S42).

以下,各工程について具体例を参照しながら説明する。   Hereinafter, each process will be described with reference to specific examples.

図2は,工程S1の詳細なフローチャート図である。モデルの全パラメータを抽出する工程S1は,前述の通り機能モデル10Fのソースコードを解析して機能モデルパラメータ12Fを抽出する工程S11と,ネットリストモデルを解析してネットリストパラメータ12Nを抽出する工程S12とからなる。   FIG. 2 is a detailed flowchart of step S1. The process S1 for extracting all parameters of the model includes the process S11 for extracting the function model parameter 12F by analyzing the source code of the function model 10F as described above, and the process for extracting the netlist parameter 12N by analyzing the netlist model. S12.

図3,図4は,機能モデルの一例としてDMA(ダイレクトメモリアクセス)の機能を有するモデルを説明する図である。図3には,システム110の構成が示されている。このシステムは,CPUと,2つのメモリRAMa,RAMbと,システムバスBUSと,DMA機能を有する機能マクロ10とを有する。DMAマクロ10は,リードユニットReadとライトユニットWriteと転送バッファBufとを有する転送ユニット112と,コントローラ114と,レジスタ群116とを有する。レジスタ群116は,DMAの転送元アドレスを格納するソースアドレスレジスタSrcAdrと,DMAの転送先アドレスを格納するデスティネーションアドレスレジスタDstAdrと,DMA転送データ量を示す転送サイズを格納するサイズレジスタSizeと,1回のDMAリクエストに対する転送回数(バーストサイズ)を格納するバーストサイズレジスタBurstSizeと,DMA転送フラグを格納するアクティブレジスタActiveとを有する。   3 and 4 are diagrams for explaining a model having a DMA (direct memory access) function as an example of a function model. FIG. 3 shows the configuration of the system 110. This system includes a CPU, two memories RAMa and RAMb, a system bus BUS, and a function macro 10 having a DMA function. The DMA macro 10 includes a transfer unit 112 having a read unit Read, a write unit Write, and a transfer buffer Buf, a controller 114, and a register group 116. The register group 116 includes a source address register SrcAdr that stores a DMA transfer source address, a destination address register DstAdr that stores a DMA transfer destination address, a size register Size that stores a transfer size indicating the amount of DMA transfer data, It has a burst size register BurstSize that stores the number of transfers (burst size) for one DMA request, and an active register Active that stores a DMA transfer flag.

DMAマクロ10では,例えばCPUが,レジスタ群116内のソースアドレスレジスタSrcAdrと,デスティネーションアドレスレジスタDstAdrと,サイズレジスタSizeと,バーストサイズレジスタBurstSizeとにそれぞれ,転送元アドレスと,転送先アドレスと,転送サイズと,バーストサイズを設定した後に,CPUがアクティブレジスタを活性状態の「1」に設定して,DMAリクエストをアサートする。DMAマクロ10のコントローラ114は,アクティブレジスタに「1」が設定されたことに応答して,転送元アドレスへの読み出し動作を読み出しユニットReadに実行させ,読み出したデータを転送バッファBufに格納させ,転送先アドレスへの書き込み動作を書き込みユニットWriteに実行させる。そして,コントローラ114が,レジスタ内の転送元アドレスと転送先アドレスと転送サイズとを更新する。そして,コントローラ114は,転送サイズが「0」になるまで,上記の読み出しと書き込み動作を繰り返し,転送サイズが「0」になると,アクティブレジスタに非活性状態の「0」を設定してDMA転送を終了する。   In the DMA macro 10, for example, the CPU transfers a source address, a destination address, a destination address register DstAdr, a size register Size, and a burst size register BurstSize in the register group 116, respectively. After setting the transfer size and burst size, the CPU sets the active register to the active state “1” and asserts the DMA request. In response to the setting of “1” in the active register, the controller 114 of the DMA macro 10 causes the read unit Read to execute a read operation to the transfer source address, stores the read data in the transfer buffer Buf, The write unit Write is caused to execute the write operation to the transfer destination address. Then, the controller 114 updates the transfer source address, transfer destination address, and transfer size in the register. The controller 114 repeats the above read and write operations until the transfer size reaches “0”. When the transfer size reaches “0”, the inactive state is set to “0” in the active register and the DMA transfer is performed. Exit.

図4は,DMAマクロに対応するビヘイビアレベルのソースコードで記述されている機能モデルの例を示している。ただし,図4では,SystemCの言語やRTL言語では記述されておらず,より高度な擬似コードで記述されている。   FIG. 4 shows an example of a function model described in behavior level source code corresponding to the DMA macro. However, in FIG. 4, it is not described in the SystemC language or the RTL language, but is described in a more advanced pseudo code.

図4の左側の機能モデル10F(1)には,モデル名「DMA」の宣言,制御レジスタと外部信号線とが宣言されている。さらに,右側の機能モデル10F(2)には,DMA起動シーケンスのビヘイビアと,DMA動作のビヘイビアとが記述されている。この擬似コードで記述されたDMA起動シーケンスとDMA動作シーケンスは,前述したDMAマクロ10のDMA転送の動作シーケンスと一致している。ただし,実際の機能モデル10Fは,SystemC言語やRTL言語などの高級言語によるソースコードで記述されている。   The function model 10F (1) on the left side of FIG. 4 declares a model name “DMA”, a control register, and an external signal line. Further, the right-side function model 10F (2) describes the DMA start sequence behavior and the DMA operation behavior. The DMA start sequence and the DMA operation sequence described in the pseudo code match the DMA transfer operation sequence of the DMA macro 10 described above. However, the actual function model 10F is described by source code in a high-level language such as the SystemC language or the RTL language.

そして,そのソースコードの記述には,外部とのインターフェースになる信号線と,変数とが含まれている。図2の工程S11による機能モデルのソースコード解析(例えば構文解析)により,ソースコードの記述に含まれている信号線と変数が機能モデルパラメータとして抽出される。   The description of the source code includes a signal line that serves as an interface with the outside and a variable. Signal lines and variables included in the description of the source code are extracted as function model parameters by source code analysis (for example, syntax analysis) of the function model in step S11 of FIG.

図5は,DMA機能モデルにおける機能モデルパラメータとネットリストパラメータの例を示す図である。図4に示した機能モデル10Fのソースコードによる記述を解析して,インターフェースになる信号「address」「data」「ReadEnable」「WriteEnable」と,変数「SrcAdr」「DstAdr」「size」「burstsize」「Active」とが機能モデルパラメータ12Fとして抽出されている。   FIG. 5 is a diagram showing an example of function model parameters and net list parameters in the DMA function model. Analyzing the description in the source code of the function model 10F shown in FIG. 4, the signals “address”, “data”, “ReadEnable”, “WriteEnable” and variables “SrcAdr”, “DstAdr”, “size”, “burstsize”, “ "Active" is extracted as the function model parameter 12F.

図2に示したとおり,機能モデル10Fは,論理合成ツールなどの変換ツール14により対応するネットリスト10Nに変換される。この変換ツール14では,機能モデルの機能モデルパラメータに対応して,ネットリストのネットリストパラメータとして,機能モデルパラメータと同じ名称で,または異なるが対応する名称に変換する。したがって,機能モデルパラメータ12Fとネットワークパラメータ12Nとは1対1に対応する関係を有する。   As shown in FIG. 2, the function model 10F is converted into a corresponding netlist 10N by a conversion tool 14 such as a logic synthesis tool. The conversion tool 14 converts the netlist parameter of the netlist to the same name as the function model parameter or to a corresponding but different name corresponding to the function model parameter of the function model. Therefore, the function model parameter 12F and the network parameter 12N have a one-to-one relationship.

そこで,ネットリストモデル10Nについてネットリスト解析S12を行うことで,ネットリストパラメータ12Nが抽出される。変換ツール14が変換時に作成したパラメータ対応表などを参照することで,機能モデルパラメータ12Fとネットリストパラメータ12Nとを1対1に対応付けすることができる。   Therefore, the netlist parameter 12N is extracted by performing the netlist analysis S12 on the netlist model 10N. By referring to the parameter correspondence table created by the conversion tool 14 at the time of conversion, the function model parameters 12F and the netlist parameters 12N can be associated one-to-one.

図5の右側には,ネットリストパラメータ12Nの一例が示されている。この例では,ネットリストパラメータ12Nが,端子名として「Taddress」「Tdata」「TReadEnable」「TWriteEnable」が,レジスタ名として「RSrcAdr」「RDstAdr」「Rsize」「Rburstsize」「RActive」がそれぞれ抽出されている。そして,この例では,ネットリストパラメータの端子名とレジスタ名は,機能モデルパラメータの信号名と変数名に「T」「R」を頭文字に加えたものに変更されている。このように,図5に示されるとおり,機能モデルパラメータ12Fとネットリストパラメータ12Nとは1対1の対応関係を有している。   An example of the net list parameter 12N is shown on the right side of FIG. In this example, the netlist parameter 12N is extracted as “Taddress”, “Tdata”, “TReadEnable”, and “TWriteEnable” as terminal names, and “RSrcAdr”, “RDstAdr”, “Rsize”, “Rburstsize”, and “RActive” as register names, respectively. Yes. In this example, the terminal name and register name of the netlist parameter are changed to the signal name and variable name of the function model parameter with “T” and “R” added to the initials. Thus, as shown in FIG. 5, the function model parameter 12F and the netlist parameter 12N have a one-to-one correspondence.

図6は,ネットリストシミュレーションによる電力測定工程S2を説明する図である。ネットリストの動作シミュレーションを行って電力を測定するツールは一般に普及している。したがって,その電力測定ツールであるシミュレーションシステム200により,ネットリスト10Nに複数の入力パターンを与えて動作シミュレーションを実行する。入力パターン202は,ネットリストパラメータ12Nのパラメータ値の多数の組み合わせからなる入力データパターンであり,多数の入力パラメータ202(1)〜202(x)について動作シミュレーションを実行し,実行時のパラメータ値206と電力値204とのログを取得する。   FIG. 6 is a diagram for explaining the power measurement step S2 by netlist simulation. Tools for measuring power by performing an operation simulation of a netlist are generally popular. Therefore, the simulation system 200, which is the power measurement tool, gives a plurality of input patterns to the netlist 10N and executes an operation simulation. The input pattern 202 is an input data pattern composed of a number of combinations of parameter values of the netlist parameter 12N, and an operation simulation is performed on the number of input parameters 202 (1) to 202 (x), and parameter values 206 at the time of execution are executed. And a log of the power value 204 are acquired.

図7は,ネットリストシミュレーションで取得したパラメータ値と電力値の一例を示す図である。図7には,横軸時間に対して電力値の変化と,機能モデルパラメータに対応するパラメータ値の変化が示されている。ネットリストシミュレーションでは,図7中の複数の垂直線で示したサンプリングタイミングでの電力値と全てのパラメータの値(図中丸の位置の値)とがログとして記録される。図7の例に示されるとおり,パラメータ値の変化に対応して電力値が逐次変化していることが理解できる。特に,アクティブレジスタの変数Activeが「1」になるとDMAマクロがDMA転送を実行して電力値が上昇することが認められる。また,電力値は,それ以外のパラメータ値,例えばリードイネーブル,ライトイネーブルなどの影響を受けて変動している。   FIG. 7 is a diagram illustrating an example of parameter values and power values acquired by netlist simulation. FIG. 7 shows a change in the power value with respect to the horizontal axis time and a change in the parameter value corresponding to the function model parameter. In the netlist simulation, the power value at the sampling timing indicated by a plurality of vertical lines in FIG. 7 and the values of all parameters (values at the positions of the circles in the figure) are recorded as a log. As shown in the example of FIG. 7, it can be understood that the power value sequentially changes in response to the change of the parameter value. In particular, when the variable Active of the active register becomes “1”, it is recognized that the DMA macro executes DMA transfer and the power value increases. The power value fluctuates under the influence of other parameter values such as read enable and write enable.

図8は,図7のネットワークシミュレーションを実行して得られたパラメータ値の組み合わせと対応する電力値のログを示す図である。多数の入力パターンに対して動作シミュレーションが行われるので,得られるログのデータ量はかなりの数になる。図8には,そのごく一部のみが一例として示され,9つのパラメータ(機能モデルパラメータで示されている)の値に対する電力値がそれぞれ示されている。   FIG. 8 is a diagram showing a log of power values corresponding to combinations of parameter values obtained by executing the network simulation of FIG. Since operation simulation is performed for a large number of input patterns, the amount of log data obtained is considerable. In FIG. 8, only a small part is shown as an example, and the power values for the values of nine parameters (shown by function model parameters) are shown.

図9は,図1の工程S3の具体的なフローチャート図である。工程S3では,ネットリストシミュレーションで得られた電力とパラメータ値のデータ204,206(図8のログデータ)から,電力値に関係しない機能モデルパラメータを削除し(S32),ログデータのデータ量を低減する。そして,電力値に関係する機能モデルパラメータと電力値の対応表を作成して電力モデル208を生成する(S34)。つまり,電力モデル208は,電力値に関係する機能モデルパラメータと電力値の対応表である。   FIG. 9 is a specific flowchart of step S3 in FIG. In step S3, the function model parameters not related to the power value are deleted from the power and parameter value data 204 and 206 (log data in FIG. 8) obtained by the netlist simulation (S32), and the data amount of the log data is set. To reduce. Then, a correspondence table between the function model parameters related to the power value and the power value is created to generate the power model 208 (S34). That is, the power model 208 is a correspondence table between function model parameters related to power values and power values.

図10は,図9の上記の工程S32の処理プログラムの擬似コードを示す図である。まず,機能モデルパラメータX1〜Xnと,機能モデルパラメータX1〜Xnの組み合わせに対する電力値W(X1〜Xn)を定義する(P1)。そして,プログラムP2では,全てのパラメータについて,その指定したパラメータが電力に関係あるか否かをチェックするサブルーチンSUB1を実行し,関係がない場合はそのパラメータを削除する。   FIG. 10 is a diagram showing pseudo code of the processing program in step S32 in FIG. First, the power values W (X1 to Xn) for the combinations of the function model parameters X1 to Xn and the function model parameters X1 to Xn are defined (P1). Then, the program P2 executes a subroutine SUB1 for checking whether or not the designated parameter is related to power for all parameters, and deletes the parameter if there is no relationship.

サブルーチンSUB1では,図8の全ての測定データについて,パラメータXk(Xk=X0〜Xn)の値がNk0〜Nknの場合の電力値の平均値をそれぞれ求め,その電力値の平均値の分散を計算する。そして,この電力値の平均値の分散が規定値S以下の場合は,そのパラメータXkは電力が依存しない,つまり電力に関係しない(影響を与えない)パラメータであると判定する。電力値の平均値の分散が規定値Sを超える場合は,そのパラメータは電力が依存するパラメータと判定する。分散が規定値Sを超える場合は,パラメータXkの値に関連して電力値が変化する度合いが大きいからである。   In the subroutine SUB1, the average value of the power values when the values of the parameters Xk (Xk = X0 to Xn) are Nk0 to Nkn is obtained for all the measurement data in FIG. 8, and the variance of the average value of the power values is calculated. To do. If the variance of the average value of the power values is equal to or less than the specified value S, it is determined that the parameter Xk is a parameter that does not depend on power, that is, is not related to (does not influence) power. If the variance of the average power value exceeds the specified value S, the parameter is determined as a parameter on which power depends. This is because when the variance exceeds the specified value S, the degree of change in the power value in relation to the value of the parameter Xk is large.

図10の処理プログラムによれば,電力値に関係しないパラメータを検出することができ,測定データのログからそのパラメータを削除することができ,電力モデルのデータ量を少なくすることができる。   According to the processing program of FIG. 10, it is possible to detect a parameter that is not related to the power value, delete the parameter from the measurement data log, and reduce the data amount of the power model.

図11は,図1の工程S3の別の具体的なフローチャート図である。これによれば,工程S3では,ネットリストシミュレーションで得られた電力とパラメータ値のデータ204,206(図8のログデータ)から,電力値に関係しない機能モデルパラメータを2つのアルゴリズムにより2段階で削除し(S32,S32−1,S32−2),ログデータのデータ量を低減する。2段階でパラメータを削減することが,図9と異なる点である。そして,電力値に関係する機能モデルパラメータと電力値の対応表を作成して電力モデル208を生成する(S34)。   FIG. 11 is another specific flowchart of step S3 of FIG. According to this, in step S3, the function model parameters not related to the power value are determined in two stages by two algorithms from the power and parameter value data 204 and 206 (log data of FIG. 8) obtained by the netlist simulation. It deletes (S32, S32-1, S32-2), and reduces the data amount of log data. The difference from FIG. 9 is that the parameters are reduced in two stages. Then, a correspondence table between the function model parameters related to the power value and the power value is created to generate the power model 208 (S34).

図12は,図11の上記の工程S32−1,S32−2の処理プログラムの擬似コードを示す図である。図10と同様に,まず,機能モデルパラメータX1〜Xnと,機能モデルパラメータX1〜Xnの組み合わせに対する電力値W(X1〜Xn)を定義する(P1)。そして,プログラムP2では,全てのパラメータについて,その指定したパラメータが電力に関係あるか否かをチェックするサブルーチンSUB2を実行し,関係がない場合はそのパラメータを削除する。   FIG. 12 is a diagram showing a pseudo code of the processing program in the above steps S32-1 and S32-2 in FIG. As in FIG. 10, first, the power values W (X1 to Xn) for the combinations of the function model parameters X1 to Xn and the function model parameters X1 to Xn are defined (P1). Then, the program P2 executes a subroutine SUB2 for checking whether or not the designated parameter is related to power for all parameters, and deletes the parameter if there is no relationship.

サブルーチンSUB2では,図8の全ての測定データについて,パラメータXkの値がNk0〜Nknの場合での電力値の平均値と平均値の分散とをそれぞれ求める(P3)。そして,パラメータXkの値がNk0〜Nknの場合での電力値の分散が規定値S以下の場合は,そのパラメータ値Xk=Nk0〜Nknの時に,それ以外のパラメータには依存しないと判定する(S4)。この判定P4は,図11のアルゴリズムAL1に基づくものであり,あるパラメータXkが特定の値Nkkの時にそれ以外のパラメータは電力値に影響を与えないことが判別できれば,電力モデルからパラメータの組み合わせ数を減らすことができる。   In the subroutine SUB2, the average value of the power value and the variance of the average value when the value of the parameter Xk is Nk0 to Nkn are obtained for all the measurement data in FIG. 8 (P3). If the variance of the power value when the value of the parameter Xk is Nk0 to Nkn is equal to or less than the specified value S, it is determined that the parameter value Xk = Nk0 to Nkn is not dependent on other parameters ( S4). This determination P4 is based on the algorithm AL1 of FIG. 11, and if it can be determined that other parameters do not affect the power value when a certain parameter Xk is a specific value Nkk, the number of parameter combinations from the power model is determined. Can be reduced.

さらに,アルゴリズムAL2として,電力値の平均値の分散が規定値S以下の場合は,そのパラメータXkは電力が依存しないパラメータであると判定する。電力値の平均値の分散が規定値Sを超える場合は,そのパラメータは電力が依存するパラメータと判定する(P5)。このアルゴリズムAL2は,図10のサブルーチンSUB1と同じである。   Further, as the algorithm AL2, when the variance of the average value of the power values is equal to or less than the specified value S, it is determined that the parameter Xk is a parameter on which power does not depend. If the variance of the average power value exceeds the specified value S, the parameter is determined as a parameter on which power depends (P5). This algorithm AL2 is the same as the subroutine SUB1 in FIG.

図13は,ネットリストシミュレーションで取得した測定データの一例を示す図である。図13(A)は,横軸がバーストサイズBurstSize,縦軸が電力値であり,アクティブActive=0の場合においてバーストサイズが1,2,4,8,16と変化した時の電力値がプロットされている。白丸が測定値であり黒丸がその平均値である。これによれば,アルゴリズムAL1による判別処理により,アクティブActive=0の場合には,電力値の分散がほとんどないので,他のパラメータであるバーストサイズは電力に影響を与えないことが判別できる。つまり,アクティブActive=0の場合にバーストサイズは「ノットケア(依存せず)」になる。   FIG. 13 is a diagram illustrating an example of measurement data acquired by netlist simulation. In FIG. 13A, the horizontal axis is the burst size BurstSize, the vertical axis is the power value, and when the active active = 0, the power value when the burst size is changed to 1, 2, 4, 8, 16 is plotted. Has been. White circles are measured values, and black circles are average values. According to this, according to the determination processing by the algorithm AL1, when active Active = 0, there is almost no dispersion of the power value, so it can be determined that the burst size which is another parameter does not affect the power. That is, when active Active = 0, the burst size becomes “knot care (does not depend on)”.

図13(B)も,横軸がバーストサイズBurstSize,縦軸が電力値であり,ただし,アクティブActive=1の場合においてバーストサイズが1,2,4,8,16と変化した時の電力値がプロットされている。これによれば,アクティブActive=1の場合には,バーストサイズに依存した電力値が検出されている。これによれば,アルゴリズムAL1による判別処理により,アクティブActive=1の場合には,電力値の分散が大きく,他のパラメータであるバーストサイズは電力に影響を与えることが判別され,アクティブActive=1の場合においてバーストサイズというパラメータを削減することはできない。   FIG. 13B also shows the burst size BurstSize on the horizontal axis and the power value on the vertical axis. However, the power value when the burst size is changed to 1, 2, 4, 8, and 16 when active Active = 1. Is plotted. According to this, when active Active = 1, a power value depending on the burst size is detected. According to this, it is determined by the determination processing by the algorithm AL1 that when active Active = 1, the dispersion of the power value is large and the burst size which is another parameter affects the power, and active Active = 1. In this case, the parameter called burst size cannot be reduced.

しかも,アクティブActiveについては,「0」の場合と「1」の場合とで電力値が異なり分散しているので,アルゴリズムAL2による判別処理により,パラメータのアクティブActiveが削除されることはない。   In addition, since the active values of the active active are different depending on the case of “0” and “1”, the active active of the parameter is not deleted by the determination processing by the algorithm AL2.

図14は,図13の測定データ例を工程S32−1,S32−2の処理プログラムで生成した電力モデルの例を示す図である。この電力モデル208のパラメータでは,図8の測定データ204/206から電力値に依存しないパラメータを削除し,さらにあるパラメータが特定の値の場合に電力値に依存しないパラメータも削除している。   FIG. 14 is a diagram showing an example of a power model generated by the processing program in steps S32-1 and S32-2 as an example of measurement data in FIG. In the parameters of the power model 208, parameters that do not depend on the power value are deleted from the measurement data 204/206 in FIG. 8, and parameters that do not depend on the power value when a certain parameter is a specific value are also deleted.

例えば,M1は,アクティブActive=0の場合に他の3つのパラメータの値には電力値が依存しないことを示している。また,M2は,アクティブActive=1の場合でもリードイネーブルReadEnable=0,ライトイネーブルWriteEnable=0の場合には,バーストサイズBurstSizeに依存しないことを示している。それ以外のM3〜M8は,4つのパラメータの値の組み合わせに応じた電力値が対応付けられている。   For example, M1 indicates that the power value does not depend on the values of the other three parameters when active Active = 0. M2 indicates that even when Active Active = 1, the read enable ReadEnable = 0 and the write enable WriteEnable = 0 do not depend on the burst size BurstSize. The other M3 to M8 are associated with power values corresponding to combinations of four parameter values.

上記のモデルM2を判別するためには,アクティブActive=1,リードイネーブルReadEnable=0,ライトイネーブルWriteEnable=0の場合での,バーストサイズBurstSizeを可変にした時の電力値が分散していないことが検出される必要がある。よって,図12のプログラムP3,P4では,パラメータXkの部分集合が取りうる組み合わせについて全て電力値の平均値を分散を計算することが必要になる。   In order to determine the model M2, the power value when the burst size BurstSize is variable in the case of active Active = 1, read enable ReadEnable = 0, and write enable WriteEnable = 0 is not distributed. Need to be detected. Therefore, in the programs P3 and P4 in FIG. 12, it is necessary to calculate the variance of the average value of the power values for all the combinations that the parameter Xk subset can take.

よって,図12において,プログラムP5の処理を最初に行ってある程度のパラメータを削除し,その後,プログラムP3,P4について,単独のパラメータが特定の値をとるときの電力値の分散値,複数のパラメータが特定の値の組み合わせをとるときの電力値の分散値を共に求めて,その分散値と規定値Sとの比較から電力値との関係をチェックすることが望ましい。   Therefore, in FIG. 12, the processing of the program P5 is first performed to delete some parameters, and thereafter, for the programs P3 and P4, the dispersion value of the power value when a single parameter takes a specific value, a plurality of parameters It is desirable to obtain a dispersion value of the power value when taking a combination of specific values and check the relationship between the dispersion value and the specified value S to compare the power value.

図14に示されるとおり,電力モデル208では,測定データよりもパラメータの数が削減され,且つパラメータの値の組み合わせ数も削減される。   As shown in FIG. 14, in the power model 208, the number of parameters is reduced more than the measurement data, and the number of parameter value combinations is also reduced.

図15は,工程S4の具体的なフローチャートを示す図である。機能モデルシステム300は,CPUや他のIP(マクロ)に加えて,電力測定対象のIPマクロ(機能モデル)10Fを有する。このIPマクロ10Fは,前述の例では図3のDMAマクロ10をSystemCなどで記述した機能モデルである。ただし,機能モデルシステム300は,図1中の機能モデルシステム100とは異なる見積対象アプリケーションプログラム212を実行する。この見積対象アプリケーションプログラム212は,例えばMPEGデコードプログラムなどであり,そのアプリケーションプログラム212を実行した場合における,電力測定対象のIPマクロであるDMAマクロ10Fの消費電力を,電力モデル208を参照することで見積もることができる。   FIG. 15 is a diagram illustrating a specific flowchart of step S4. The functional model system 300 includes an IP macro (functional model) 10F that is a power measurement target in addition to the CPU and other IP (macro). The IP macro 10F is a functional model in which the DMA macro 10 in FIG. However, the functional model system 300 executes an estimation target application program 212 different from the functional model system 100 in FIG. The estimation target application program 212 is, for example, an MPEG decoding program. By referring to the power model 208, the power consumption of the DMA macro 10F that is an IP macro to be measured when the application program 212 is executed. Can be estimated.

図15に記載されるとおり,見積対象アプリケーションプログラム212と,対象IPマクロ10に対応する機能モデル10Fの機能モデルパラメータのうち電力値に影響を与える機能モデルパラメータ210とを機能モデルシミュレータに入力して,機能モデルシミュレーションS41を実行する。このシミュレーションS41は,例えば,記述言語の一例であるベリログ(Verilog)の機能シミュレータや,記述言語の他の例であるSystemCの機能シミュレータや,RTL言語の機能シミュレータなど,既存の機能シュミレータツールを利用して行うことができる。機能モデルシミュレーションS41を実行することで,ソースコードで記述された機能モデル10Fについて,見積対象アプリケーションプログラム212を実行した場合の機能モデルパラメータの変化をログにとることができる。   As shown in FIG. 15, the estimation target application program 212 and the function model parameters 210 that affect the power value among the function model parameters of the function model 10F corresponding to the target IP macro 10 are input to the function model simulator. , Functional model simulation S41 is executed. This simulation S41 uses existing function simulator tools such as a Verilog function simulator, which is an example of a description language, a SystemC function simulator, which is another example of a description language, and an RTL function simulator. Can be done. By executing the function model simulation S41, it is possible to log changes in the function model parameters when the estimation target application program 212 is executed for the function model 10F described in the source code.

その結果,一定時間間隔毎の機能モデルパラメータの値がシミュレーションのログLOGとして取得される。   As a result, the value of the function model parameter for each fixed time interval is acquired as a simulation log LOG.

図16は,機能モデルパラメータのログとそれから見積もられる電力値の一例を示す図である。図16(A)は,図15で求められたログLOGを示す図である。このログLOGは,電力モデルに残っている4つの機能モデルパラメータburstSize,Active,ReadEnable,WriteEnableの値のサンプリングタイミング毎の値を有する。見積対象アプリケーションプログラム,例えばMPEGデコーダ処理プログラムを実行した時に,CPUが必要に応じてDMA要求を発行し,それに応答してDMAマクロ10がDMAを実行した場合の機能モデルパラメータの変化が,LOGとして取得される。   FIG. 16 is a diagram illustrating an example of a log of function model parameters and an electric power value estimated from the log. FIG. 16A is a diagram showing the log LOG obtained in FIG. This log LOG has values for each sampling timing of the values of the four functional model parameters burstSize, Active, ReadEnable, and WriteEnable that remain in the power model. When an application program to be estimated, for example, an MPEG decoder processing program is executed, the CPU issues a DMA request as necessary, and the change of the function model parameter when the DMA macro 10 executes DMA in response to this is expressed as LOG To be acquired.

図15に戻り,機能モデルシミュレーションS41で取得したログLOGについて,あらかじめ生成していた電力モデル208を参照し,対応するパラメータ値の組み合わせに対応する電力値を抽出して,図16(B)に示す見積電力値214を生成することができる。   Returning to FIG. 15, with respect to the log LOG acquired in the function model simulation S <b> 41, the power model 208 generated in advance is referred to, and the power value corresponding to the combination of the corresponding parameter values is extracted, and FIG. An estimated power value 214 can be generated.

以上説明したとおり,本実施の形態によれば,図1に示すとおり,事前モデリングで電力見積対象のIPマクロについて,ネットリストシミュレーションS2で電力値を測定し,機能マクロパラメータの組み合わせに対応する電力値を有する電力モデル208を生成しておく。そして,電力見積対象のアプリケーションプログラムを実行した時の,電力見積対象のIPマクロの機能モデル10Fの機能マクロパラメータのログを,機能モデルシミュレーションにより取得し(S41),電力モデル208を参照してログに含まれる機能マクロパラメータの値の組み合わせに対応する電力値を抽出し,電力見積を行うことができる(S42)。よって,様々な動作における電力値を機能モデルについてシミュレーションを実行するだけで,より正確な電力値を見積もることができる。   As described above, according to the present embodiment, as shown in FIG. 1, the power value is measured in the netlist simulation S2 for the IP macro that is the target of power estimation in the pre-modeling, and the power corresponding to the combination of the function macro parameters. A power model 208 having a value is generated. Then, the function macro parameter log of the function model 10F of the IP macro subject to power estimation when the application program targeted for power estimation is executed is acquired by function model simulation (S41), and the log is referred to the power model 208. The power value corresponding to the combination of the values of the function macro parameters included in can be extracted and the power can be estimated (S42). Therefore, it is possible to estimate a more accurate power value simply by executing a simulation of the power value in various operations for the functional model.

以上の実施の形態をまとめると,次の付記のとおりである。   The above embodiment is summarized as follows.

(付記1)LSIの消費電力を見積もる電力見積方法において,
(a)ソースコードで記述されている機能モデルを解析して消費電力に影響を与える機能モデルパラメータを抽出し,前記機能モデルに対応し,ネットリストで記述されているネットリストモデルを解析して前記機能モデルパラメータに対応するネットリストパラメータを抽出し,前記機能モデルパラメータとネットリストパラメータの対応関係を保持する工程と,
(b)前記ネットリストモデルを動作シミュレーションして前記機能モデルパラメータの値と消費電力を測定する工程と,
(c)工程(b)で得られた機能モデルパラメータの値の組み合わせとそれに対応する消費電力との関係からなる電力モデルを作成する工程と,
(d)見積対象アプリケーションプログラムの動作における機能モデルの動作シミュレーションを実行し,その実行したときの機能モデルパラメータの値の組み合わせに対応する消費電力を,前記電力モデルを参照して抽出し,前記見積対象アプリケーションの動作における機能モデルの消費電力を見積もる工程とを有する電力見積方法。
(Appendix 1) In a power estimation method for estimating the power consumption of an LSI,
(A) Analyzing the function model described in the source code to extract function model parameters that affect power consumption, analyzing the netlist model described in the netlist corresponding to the function model, Extracting a netlist parameter corresponding to the functional model parameter, and maintaining a correspondence between the functional model parameter and the netlist parameter;
(B) measuring the value of the functional model parameter and the power consumption by simulating the operation of the netlist model;
(C) creating a power model composed of the relationship between the combination of the values of the function model parameters obtained in step (b) and the corresponding power consumption;
(D) Execute an operation simulation of the function model in the operation of the application program to be estimated, extract the power consumption corresponding to the combination of the values of the function model parameters at the time of execution with reference to the power model, and A method for estimating power consumption of a functional model in operation of a target application.

(付記2)付記1において,
前記機能モデルパラメータは,前記機能モデルの記述に含まれる信号及び変数を含み,
前記ネットリストパラメータは,前記ネットリストの記述に含まれる端子名やレジスタ名を含む電力見積方法。
(Appendix 2) In Appendix 1,
The functional model parameters include signals and variables included in the functional model description,
The power estimation method, wherein the netlist parameter includes a terminal name and a register name included in the description of the netlist.

(付記3)付記1において,
前記工程(c)は,前記消費電力に影響を与えない機能モデルパラメータを削除して前記電力モデル内の機能モデルパラメータの組み合わせ数を低減する低減工程を有する電力見積方法。
(Appendix 3) In Appendix 1,
The step (c) is a power estimation method including a reduction step of reducing the number of combinations of function model parameters in the power model by deleting function model parameters that do not affect the power consumption.

(付記4)付記3において,
前記低減工程では,機能モデルパラメータの異なる値に対応する消費電力の分散が基準値以下の場合に,当該機能モデルパラメータを削除する電力見積方法。
(Appendix 4) In Appendix 3,
In the reduction step, when the variance of power consumption corresponding to different values of the function model parameter is equal to or less than a reference value, the power estimation method is to delete the function model parameter.

(付記5)付記4において,
前記低減工程では,機能モデルパラメータの第1の値に対応する消費電力の分散が第1の基準値以下の場合に,前記機能モデルパラメータの第1の値に対応する消費電力の平均値を,当該機能モデルパラメータが第1の値でありそれ以外の機能モデルパラメータが任意の値の時の消費電力とする電力見積方法。
(Appendix 5) In Appendix 4,
In the reduction step, when the variance of the power consumption corresponding to the first value of the function model parameter is equal to or less than the first reference value, the average value of the power consumption corresponding to the first value of the function model parameter is A power estimation method in which power consumption when the function model parameter is a first value and other function model parameters are arbitrary values is used.

(付記6)付記1において,
前記工程(b)において,前記ネットリストモデルの動作シミュレーションでは,複数種類の入力パターンについて動作シミュレーションを実行し,サンプリングタイミング毎の前記ネットリストパラメータの値とその時の消費電力のログを記録することを特徴とする電力見積方法。
(Appendix 6) In Appendix 1,
In the operation simulation of the netlist model in the step (b), the operation simulation is executed for a plurality of types of input patterns, and the netlist parameter value at each sampling timing and the log of the power consumption at that time are recorded. Characteristic power estimation method.

(付記7)付記1において,
前記工程(d)において,前記機能モデルの動作シミュレーションでは,サンプリングタイミング毎の前記機能モデルパラメータの値のログを記録することを特徴とする電力見積方法。
(Appendix 7) In Appendix 1,
In the step (d), in the operation simulation of the function model, a log of the value of the function model parameter at each sampling timing is recorded.

(付記8)LSIの消費電力を見積もる電力見積装置において,
(a)ソースコードで記述されている機能モデルを解析して消費電力に影響を与える機能モデルパラメータを抽出し,前記機能モデルに対応し,ネットリストで記述されているネットリストモデルを解析して前記機能モデルパラメータに対応するネットリストパラメータを抽出し,前記機能モデルパラメータとネットリストパラメータの対応関係を保持する手段と,
(b)前記ネットリストモデルを動作シミュレーションして前記機能モデルパラメータの値と消費電力を測定する手段と,
(c)工程(b)で得られた機能モデルパラメータの値の組み合わせとそれに対応する消費電力との関係からなる電力モデルを作成する手段と,
(d)見積対象アプリケーションの動作における機能モデルの動作シミュレーションを実行し,その実行したときの機能モデルパラメータの値の組み合わせに対応する消費電力を,前記電力モデルを参照して抽出し,前記見積対象アプリケーションの動作における機能モデルの消費電力を見積もる手段とを有する電力見積装置。
(Supplementary note 8) In a power estimation device for estimating the power consumption of an LSI,
(A) Analyzing the function model described in the source code to extract function model parameters that affect power consumption, analyzing the netlist model described in the netlist corresponding to the function model, Means for extracting a netlist parameter corresponding to the functional model parameter and holding a correspondence relationship between the functional model parameter and the netlist parameter;
(B) means for simulating the operation of the netlist model to measure the value of the functional model parameter and power consumption;
(C) means for creating a power model composed of the relationship between the combination of the values of the function model parameters obtained in step (b) and the corresponding power consumption;
(D) Execute an operation simulation of the function model in the operation of the estimation target application, extract the power consumption corresponding to the combination of the value of the function model parameter when the function model is executed with reference to the power model, and A power estimation device having means for estimating power consumption of a functional model in operation of an application.

本実施の形態における電力見積方法の概略を示す図である。It is a figure which shows the outline of the electric power estimation method in this Embodiment. 工程S1の詳細なフローチャート図である。It is a detailed flowchart figure of process S1. 機能モデルの一例としてDMA(ダイレクトメモリアクセス)の機能を有するモデルを説明する図である。It is a figure explaining the model which has the function of DMA (direct memory access) as an example of a functional model. 機能モデルの一例としてDMA(ダイレクトメモリアクセス)の機能を有するモデルを説明する図である。It is a figure explaining the model which has the function of DMA (direct memory access) as an example of a functional model. DMA機能モデルにおける機能モデルパラメータとネットリストパラメータの例を示す図である。It is a figure which shows the example of the function model parameter in a DMA function model, and a net list parameter. ネットリストシミュレーションによる電力測定工程S2を説明する図である。It is a figure explaining electric power measurement process S2 by a net list simulation. ネットリストシミュレーションで取得したパラメータ値と電力値の一例を示す図である。It is a figure which shows an example of the parameter value and electric power value which were acquired by the netlist simulation. 図7のネットワークシミュレーションを実行して得られたパラメータ値の組み合わせと対応する電力値のログを示す図である。It is a figure which shows the log of the electric power value corresponding to the combination of the parameter value obtained by performing the network simulation of FIG. 図1の工程S3の具体的なフローチャート図である。It is a specific flowchart figure of process S3 of FIG. 図9の上記の工程S32の処理プログラムの擬似コードを示す図である。It is a figure which shows the pseudo code of the processing program of said process S32 of FIG. 図1の工程S3の別の具体的なフローチャート図である。It is another specific flowchart figure of process S3 of FIG. 図11の上記の工程S32−1,S32−2の処理プログラムの擬似コードを示す図である。It is a figure which shows the pseudo code of the processing program of said process S32-1, S32-2 of FIG. ネットリストシミュレーションで取得した測定データの一例を示す図である。It is a figure which shows an example of the measurement data acquired by the net list simulation. 図13の測定データ例を工程S32−1,S32−2の処理プログラムで生成した電力モデルの例を示す図である。It is a figure which shows the example of the electric power model produced | generated by the processing program of process S32-1, S32-2 in the measurement data example of FIG. 工程S4の具体的なフローチャートを示す図である。It is a figure which shows the specific flowchart of process S4. 機能モデルパラメータのログとそれから見積もられる電力値の一例を示す図である。It is a figure which shows an example of the log of a function model parameter, and the electric power value estimated from it.

符号の説明Explanation of symbols

10F:機能モデル 10N:ネットリスト
12N:ネットリストパラメータ 12F:機能モデルパラメータ
208:電力モデル
10F: Function model 10N: Net list 12N: Net list parameter 12F: Function model parameter 208: Power model

Claims (5)

LSIの消費電力を見積もる電力見積方法において,
(a)ソースコードで記述されている機能モデルを解析して消費電力に影響を与える機能モデルパラメータを抽出し,前記機能モデルに対応し,ネットリストで記述されているネットリストモデルを解析して前記機能モデルパラメータに対応するネットリストパラメータを抽出し,前記機能モデルパラメータとネットリストパラメータの対応関係を保持する工程と,
(b)前記ネットリストモデルを動作シミュレーションして前記機能モデルパラメータの値と消費電力を測定する工程と,
(c)工程(b)で得られた機能モデルパラメータの値の組み合わせとそれに対応する消費電力との関係からなる電力モデルを作成する工程と,
(d)見積対象アプリケーションプログラムの動作における機能モデルの動作シミュレーションを実行し,その実行したときの機能モデルパラメータの値の組み合わせに対応する消費電力を,前記電力モデルを参照して抽出し,前記見積対象アプリケーションの動作における機能モデルの消費電力を見積もる工程とを有する電力見積方法。
In the power estimation method for estimating the power consumption of LSI,
(A) Analyzing the function model described in the source code to extract function model parameters that affect power consumption, analyzing the netlist model described in the netlist corresponding to the function model, Extracting a netlist parameter corresponding to the functional model parameter, and maintaining a correspondence between the functional model parameter and the netlist parameter;
(B) measuring the value of the functional model parameter and the power consumption by simulating the operation of the netlist model;
(C) creating a power model composed of the relationship between the combination of the values of the function model parameters obtained in step (b) and the corresponding power consumption;
(D) Execute an operation simulation of the function model in the operation of the application program to be estimated, extract the power consumption corresponding to the combination of the values of the function model parameters at the time of execution with reference to the power model, and A method for estimating power consumption of a functional model in operation of a target application.
請求項1において,
前記機能モデルパラメータは,前記機能モデルの記述に含まれる信号及び変数を含み,
前記ネットリストパラメータは,前記ネットリストの記述に含まれる端子名やレジスタ名を含む電力見積方法。
In claim 1,
The functional model parameters include signals and variables included in the functional model description,
The power estimation method, wherein the netlist parameter includes a terminal name and a register name included in the description of the netlist.
請求項1において,
前記工程(c)は,前記消費電力に影響を与えない機能モデルパラメータを削除して前記電力モデル内の機能モデルパラメータの組み合わせ数を低減する低減工程を有する電力見積方法。
In claim 1,
The step (c) is a power estimation method including a reduction step of reducing the number of combinations of function model parameters in the power model by deleting function model parameters that do not affect the power consumption.
請求項3において,
前記低減工程では,機能モデルパラメータの異なる値に対応する消費電力の分散が基準値以下の場合に,当該機能モデルパラメータを削除する電力見積方法。
In claim 3,
In the reduction step, when the variance of power consumption corresponding to different values of the function model parameter is equal to or less than a reference value, the power estimation method is to delete the function model parameter.
LSIの消費電力を見積もる電力見積装置において,
(a)ソースコードで記述されている機能モデルを解析して消費電力に影響を与える機能モデルパラメータを抽出し,前記機能モデルに対応し,ネットリストで記述されているネットリストモデルを解析して前記機能モデルパラメータに対応するネットリストパラメータを抽出し,前記機能モデルパラメータとネットリストパラメータの対応関係を保持する手段と,
(b)前記ネットリストモデルを動作シミュレーションして前記機能モデルパラメータの値と消費電力を測定する手段と,
(c)工程(b)で得られた機能モデルパラメータの値の組み合わせとそれに対応する消費電力との関係からなる電力モデルを作成する手段と,
(d)見積対象アプリケーションの動作における機能モデルの動作シミュレーションを実行し,その実行したときの機能モデルパラメータの値の組み合わせに対応する消費電力を,前記電力モデルを参照して抽出し,前記見積対象アプリケーションの動作における機能モデルの消費電力を見積もる手段とを有する電力見積装置。
In a power estimation device that estimates the power consumption of an LSI,
(A) Analyzing the function model described in the source code to extract function model parameters that affect power consumption, analyzing the netlist model described in the netlist corresponding to the function model, Means for extracting a netlist parameter corresponding to the functional model parameter and holding a correspondence relationship between the functional model parameter and the netlist parameter;
(B) means for simulating the operation of the netlist model to measure the value of the functional model parameter and power consumption;
(C) means for creating a power model composed of the relationship between the combination of the values of the function model parameters obtained in step (b) and the corresponding power consumption;
(D) Execute an operation simulation of the function model in the operation of the estimation target application, extract the power consumption corresponding to the combination of the value of the function model parameter when the function model is executed with reference to the power model, and A power estimation device having means for estimating power consumption of a functional model in operation of an application.
JP2007315742A 2007-12-06 2007-12-06 LSI power estimation method and apparatus Expired - Fee Related JP5040625B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007315742A JP5040625B2 (en) 2007-12-06 2007-12-06 LSI power estimation method and apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007315742A JP5040625B2 (en) 2007-12-06 2007-12-06 LSI power estimation method and apparatus

Publications (2)

Publication Number Publication Date
JP2009140222A true JP2009140222A (en) 2009-06-25
JP5040625B2 JP5040625B2 (en) 2012-10-03

Family

ID=40870769

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007315742A Expired - Fee Related JP5040625B2 (en) 2007-12-06 2007-12-06 LSI power estimation method and apparatus

Country Status (1)

Country Link
JP (1) JP5040625B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014016830A (en) * 2012-07-09 2014-01-30 Fujitsu Ltd Power estimation support program, power estimation support device, and power estimation support method
KR20190125099A (en) * 2018-04-27 2019-11-06 주식회사 바움 Apparatus and method for manufacturing integrated circuit including clock network
JP2020161494A (en) * 2020-06-16 2020-10-01 株式会社神戸製鋼所 Induction heating method and induction heating control device
JP2020161493A (en) * 2020-06-16 2020-10-01 株式会社神戸製鋼所 Temperature abnormality determination device and temperature abnormality determination method
US11281559B2 (en) 2017-09-06 2022-03-22 Fujitsu Limited Information processing apparatus, information processing system, and storage medium

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0844788A (en) * 1994-05-24 1996-02-16 Toshiba Corp Method and device for calculating power consumption of integrated circuit

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0844788A (en) * 1994-05-24 1996-02-16 Toshiba Corp Method and device for calculating power consumption of integrated circuit

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014016830A (en) * 2012-07-09 2014-01-30 Fujitsu Ltd Power estimation support program, power estimation support device, and power estimation support method
US11281559B2 (en) 2017-09-06 2022-03-22 Fujitsu Limited Information processing apparatus, information processing system, and storage medium
KR20190125099A (en) * 2018-04-27 2019-11-06 주식회사 바움 Apparatus and method for manufacturing integrated circuit including clock network
KR102089082B1 (en) * 2018-04-27 2020-04-23 주식회사 바움 Apparatus and method for manufacturing integrated circuit including clock network
JP2020161494A (en) * 2020-06-16 2020-10-01 株式会社神戸製鋼所 Induction heating method and induction heating control device
JP2020161493A (en) * 2020-06-16 2020-10-01 株式会社神戸製鋼所 Temperature abnormality determination device and temperature abnormality determination method
JP7348137B2 (en) 2020-06-16 2023-09-20 株式会社神戸製鋼所 Temperature abnormality determination device and temperature abnormality determination method
JP7348138B2 (en) 2020-06-16 2023-09-20 株式会社神戸製鋼所 Induction heating method and induction heating control device

Also Published As

Publication number Publication date
JP5040625B2 (en) 2012-10-03

Similar Documents

Publication Publication Date Title
US7711536B2 (en) System and method for verification aware synthesis
US8122398B2 (en) Conversion of circuit description to an abstract model of the circuit
US8229723B2 (en) Performance software instrumentation and analysis for electronic design automation
JP4994393B2 (en) System and method for generating multiple models at different levels of abstraction from a single master model
US9026966B1 (en) Co-simulation methodology to address performance and runtime challenges of gate level simulations with, SDF timing using emulators
JP6448195B2 (en) Method for randomly accessing signal values of FPGA at runtime, data processing apparatus, computer program, digital storage medium, and method of implementing FPGA build
US10699049B2 (en) System design using accurate performance models
US11301608B2 (en) Layout-based side-channel emission analysis
JP5040625B2 (en) LSI power estimation method and apparatus
Šijačić et al. Towards efficient and automated side-channel evaluations at design time
US8418099B2 (en) Performance counters for integrated circuits
US20160125105A1 (en) Automatic Generation of Properties to Assist Hardware Emulation
JP5514211B2 (en) Simulating processor execution with branch override
JP5034916B2 (en) Performance evaluation model generation method, system performance evaluation method, and performance evaluation model generation apparatus
US9021289B2 (en) Method and system for power estimation based on a number of signal changes
Wolf et al. Execution cost interval refinement in static software analysis
JP5454349B2 (en) Performance estimation device
US20140325468A1 (en) Storage medium, and generation apparatus for generating transactions for performance evaluation
US10223077B2 (en) Determination of signals for readback from FPGA
US7051301B2 (en) System and method for building a test case including a summary of instructions
US11295052B1 (en) Time correlation in hybrid emulation system
JP6089627B2 (en) Power consumption estimation apparatus and power consumption estimation method
JP2000293557A (en) Simulation method for power consumption
KR101832583B1 (en) Power state coverage metric and method for estimating the same
US20240126966A1 (en) Variability characterization with truncated ordered sample simulation

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100715

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120214

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120412

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120612

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120625

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150720

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees