JP2007529115A - Power semiconductor device and manufacturing method thereof - Google Patents

Power semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
JP2007529115A
JP2007529115A JP2006547577A JP2006547577A JP2007529115A JP 2007529115 A JP2007529115 A JP 2007529115A JP 2006547577 A JP2006547577 A JP 2006547577A JP 2006547577 A JP2006547577 A JP 2006547577A JP 2007529115 A JP2007529115 A JP 2007529115A
Authority
JP
Japan
Prior art keywords
trench
layer
semiconductor device
gate
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006547577A
Other languages
Japanese (ja)
Other versions
JP4903055B2 (en
Inventor
アショク シャラ
アラン エルバンハウイー
クリストファー ビー. ココン
スティーブン ピー. サップ
ペーター エイチ. ウィルソン
ババック エス. サニ
Original Assignee
フェアチャイルド・セミコンダクター・コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by フェアチャイルド・セミコンダクター・コーポレーション filed Critical フェアチャイルド・セミコンダクター・コーポレーション
Publication of JP2007529115A publication Critical patent/JP2007529115A/en
Application granted granted Critical
Publication of JP4903055B2 publication Critical patent/JP4903055B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/0619Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] with a supplementary region doped oppositely to or in rectifying contact with the semiconductor containing or contacting region, e.g. guard rings with PN or Schottky junction
    • H01L29/0623Buried supplementary region, e.g. buried guard ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/063Reduced surface field [RESURF] pn-junction structures
    • H01L29/0634Multiple reduced surface field (multi-RESURF) structures, e.g. double RESURF, charge compensation, cool, superjunction (SJ), 3D-RESURF, composite buffer (CB) structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/407Recessed field plates, e.g. trench field plates, buried field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66734Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • H01L29/7804Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device the other device being a pn-junction diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • H01L29/7806Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device the other device being a Schottky barrier diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7811Vertical DMOS transistors, i.e. VDMOS transistors with an edge termination structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7815Vertical DMOS transistors, i.e. VDMOS transistors with voltage or current sensing structure, e.g. emulator section, overcurrent sensing cell
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • H01L29/7828Vertical transistors without inversion channel, e.g. vertical ACCUFETs, normally-on vertical MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16245Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0661Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body specially adapted for altering the breakdown voltage by removing semiconductor material at, or in the neighbourhood of, a reverse biased junction, e.g. by bevelling, moat etching, depletion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • H01L29/0696Surface layout of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0873Drain regions
    • H01L29/0878Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/404Multiple field plate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41741Source or drain electrodes for field effect devices for vertical or pseudo-vertical devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • H01L2924/12032Schottky diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1301Thyristor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1301Thyristor
    • H01L2924/13034Silicon Controlled Rectifier [SCR]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • H01L2924/13055Insulated gate bipolar transistor [IGBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

改善されたパワーデバイスに対するさまざまな実施例と同様に、その製造方法,多種多様のパワーエレクトロニックアプリケーションにおける使用に対して、当該パワーデバイスを組み込んだパッケージングおよび回路が開示されている。本発明の1つのアスペクトは、多くの電荷調整法および寄生容量を低減する他の方法を組み合わせることによって、改善された電圧性能,速いスイッチング速度および低いオン抵抗を有するパワーデバイスに対する異なる実施例に至る。本発明の別のアスペクトは、低電圧,中程度の電圧および高電圧のデバイスに対する、改善された終端構造を与える。パワーデバイス製造の改善された方法は、本発明の他のアスペクトによって与えられている。例えば、トレンチの形成,トレンチ内部の誘電体層の形成,メサ構造の形成および基板厚さを低減する工程のような、特定の処理ステップに対する改善が示されている。本発明の他のアスペクトによると、電荷調整パワーデバイスは、例えば同じチップ上のダイオードのような、温度および電流の検出部を組み込んでいる。本発明の他のアスペクトは、パワーデバイスに対する等価直列抵抗(ESR)を改善し、パワーデバイスと同じチップ上にさらなる回路を組み込み、電荷調整パワーデバイスのパッケージングに対する改善を与える。  As with various embodiments for improved power devices, packaging methods and circuits incorporating the power devices for their manufacturing methods, use in a wide variety of power electronic applications are disclosed. One aspect of the present invention leads to different embodiments for power devices with improved voltage performance, fast switching speed and low on-resistance by combining many charge regulation methods and other methods to reduce parasitic capacitance. . Another aspect of the invention provides an improved termination structure for low voltage, medium voltage and high voltage devices. An improved method of power device manufacture is provided by another aspect of the present invention. Improvements have been shown for certain processing steps, such as, for example, forming trenches, forming dielectric layers inside trenches, forming mesa structures and reducing substrate thickness. According to another aspect of the invention, the charge regulation power device incorporates a temperature and current detector, such as a diode on the same chip. Other aspects of the present invention improve the equivalent series resistance (ESR) for power devices, incorporate additional circuitry on the same chip as the power device, and provide improvements for packaging of charge-regulated power devices.

Description

本発明は、半導体デバイスに関し、特にトランジスタおよびダイオードのような改善されたパワー半導体デバイスに対するさまざまな実施例および当該デバイスを内蔵するパッケージおよび回路を含むその製造方法に関する。   The present invention relates to semiconductor devices, and in particular to various embodiments for improved power semiconductor devices such as transistors and diodes, and methods of manufacturing the same, including packages and circuits incorporating such devices.

パワーエレクトロニクスアプリケーションにおける重要な要素は、固体スイッチである。自動車アプリケーションにおける点火制御から電池式の民生電子デバイスまで、工業アプリケーションにおいて変換器に電力を供給するために、特定のアプリケーションの要求を最適に満たすパワースイッチに対する必要性がある。例えばパワー金属酸化物半導体電解効果トランジスタ(パワーMOSFET),絶縁ゲートバイポーラトランジスタ(IGBT)およびさまざまなタイプのサイリスタを含む固体スイッチは、この要求を満たすように発達し続けている。パワーMOSFETの場合には、例えば、側面のチャンネルを有する二重拡散構造(DMOS)(例えばBlanchardなどによる米国特許第4,682,405号)、トレンチゲート構造(例えばMoなどによる米国特許第6,429,481号)およびトランジスタのドリフト領域における電荷調整(charge balancing)に対するさまざまな技術(例えば、Templeによる米国特許第4,941,026号,Chenによる米国特許第5,216,275号およびNeilsonによる米国特許第6,081,009号)が、多くの他の技術の間で開発されてきており、異なる、多くの場合に競合する要求性能を扱うこととなる。   An important element in power electronics applications is the solid state switch. There is a need for a power switch that optimally meets the requirements of a particular application in order to power the converter in industrial applications, from ignition control in automotive applications to battery powered consumer electronic devices. Solid state switches, including, for example, power metal oxide semiconductor field effect transistors (power MOSFETs), insulated gate bipolar transistors (IGBTs) and various types of thyristors continue to evolve to meet this need. In the case of a power MOSFET, for example, a double diffusion structure (DMOS) with side channels (eg, US Pat. No. 4,682,405 by Blanchard et al.), A trench gate structure (eg US Pat. 429,481) and various techniques for charge balancing in the drift region of the transistor (eg, US Pat. No. 4,941,026 by Temple, US Pat. No. 5,216,275 by Chen and Neilson). U.S. Pat. No. 6,081,009) has been developed among many other technologies and will handle different, often competing demanded performance.

パワースイッチに対する定義的な動作特性の幾つかは、そのオン抵抗、降伏電圧およびスイッチング速度である。特定のアプリケーションの要求に応じて、異なる重点がこれらの性能基準のそれぞれにおかれている。例えば、約300〜400ボルトより大きい電力応用に関しては、IGBTは、パワーMOSFETと比較して本質的に低いオン抵抗を示すが、そのスイッチング速度は、そのより遅いターンオフ特性によってより遅くなる。従って、低いオン抵抗を必要とする、低いスイッチング周波数を有する400ボルトより大きいアプリケーションに関しては、IGBTは好適なスイッチである一方、ポワーMOSFETは相対的に高い周波数のアプリケーションに対して選択されるデバイスであることが多い。任意のアプリケーションの周波数要求が、使用されるスイッチのタイプを決定する場合には、電圧要求が特定のスイッチの構造を決定することとなる。例えば、パワーMOSFETの場合には、ドレイン−ソース間のオン抵抗RDSonと降伏電圧との間の比例関係のために、低いRDSonを維持しつつトランジスタの電圧性能を改善することは課題をもたらす。トランジスタのドリフト領域における、さまざまな電荷調整構造が、異なる程度の成功をもってこの課題に対処するために開発されてきている。 Some of the defining operating characteristics for a power switch are its on-resistance, breakdown voltage, and switching speed. Different emphasis is placed on each of these performance criteria, depending on the requirements of a particular application. For example, for power applications greater than about 300-400 volts, an IGBT exhibits an inherently low on-resistance compared to a power MOSFET, but its switching speed is slower due to its slower turn-off characteristics. Thus, for applications greater than 400 volts with low switching frequency that require low on-resistance, the IGBT is the preferred switch, while the power MOSFET is the device selected for relatively high frequency applications. There are often. If the frequency requirement of any application determines the type of switch used, the voltage requirement will determine the particular switch configuration. For example, in the case of a power MOSFET, improving the voltage performance of the transistor while maintaining a low R DSon poses challenges due to the proportional relationship between the drain-source on-resistance R DSon and the breakdown voltage. . Various charge control structures in the transistor drift region have been developed to address this challenge with different degrees of success.

デバイスの性能パラメータは、製造工程およびダイのパッケージ化によっても影響される。さまざまな、改善された加工技術およびパッケージ技術を開発することによって、これらの課題の幾つかに対処するための試みがなされている。   Device performance parameters are also affected by the manufacturing process and die packaging. Attempts have been made to address some of these challenges by developing a variety of improved processing and packaging technologies.

それが超ポータブルである民生の電子デバイスまたは通信システムにおけるルータおよびハブであろうとなかろうと、パワースイッチ用の色々なアプリケーションが電子産業の発展とともに成長し続けている。従って、パワースイッチは、依然として、高い発展可能性を有する半導体デバイスである。   Whether it is routers and hubs in consumer electronic devices or communication systems that are ultraportable, various applications for power switches continue to grow with the development of the electronics industry. Therefore, the power switch is still a semiconductor device with high development potential.

本発明は、色々なパワーエレクトロニクスアプリケーションのためのパワーデバイスに加えてそれらの製造方法,パッケージ化および当該パワーデバイスを組み込んだ回路に対するさまざまな実施例を提供する。概して、本発明の1つのアスペクトは、多くの電荷調整技術と寄生容量を低減する他の技術とを組み合わせて、改善された電圧性能,速いスイッチング速度および低いオン抵抗を有するパワーデバイスに対するさまざまな実施例を見出している。本発明の別のアスペクトは、低電圧,中間の電圧および高電圧の電圧デバイスに対する改善された終端構造を提供する。パワーデバイスに対する改善された製造方法は、本発明の他のアスペクトによって提供されている。例えば、特にトレンチの形成,トレンチ内側への誘電体層の形成,メサ構造の形成および基板厚みを低減する工程のような特定の加工段階に対する改善が、本発明のさまざまな実施例によって与えられている。本発明の別のアスペクトによると、電荷調整されたパワーデバイスは、同じチップ上に例えばダイオードのような温度および電流の検出部を組み込んでいる。本発明の他のアスペクトは、パワーデバイスに対する等価直列抵抗(ESR)またはゲート抵抗を改善し、パワーデバイスと同じチップ上にさらなる回路を組み入れ、電荷調整されたパワーデバイスのパッケージ化に対して改善を与える。   The present invention provides various embodiments for power devices for various power electronics applications, as well as their manufacturing methods, packaging, and circuits incorporating such power devices. In general, one aspect of the present invention combines a number of charge conditioning techniques with other techniques that reduce parasitic capacitance to provide various implementations for power devices with improved voltage performance, fast switching speed, and low on-resistance. Find an example. Another aspect of the present invention provides an improved termination structure for low voltage, medium voltage and high voltage voltage devices. An improved manufacturing method for power devices is provided by another aspect of the present invention. Various embodiments of the present invention provide improvements to specific processing steps such as, for example, the formation of trenches, the formation of dielectric layers inside the trenches, the formation of mesa structures and the process of reducing substrate thickness. Yes. According to another aspect of the present invention, a charge tuned power device incorporates a temperature and current detector, such as a diode, on the same chip. Other aspects of the invention improve the equivalent series resistance (ESR) or gate resistance for power devices, incorporate additional circuitry on the same chip as the power device, and improve the packaging for charge-tuned power devices. give.

本発明のこれらおよび他のアスペクトは、添付図面に関連して、以下により詳細に説明される。   These and other aspects of the invention are described in more detail below with reference to the accompanying drawings.

発明の詳細な説明Detailed Description of the Invention

<関連特許>
本出願は、以下の同一出願人による米国特許出願の部分継続である。
<Related patents>
This application is a continuation-in-part of the following commonly-assigned US patent applications.

Moなどによる「電解効果トランジスタおよびその製造方法」と表題が付けられた米国特許第10/155,554号(出願日:2002年5月24日)(代理人整理番号18865−17−2/17732−7226.001)。   U.S. Patent No. 10 / 155,554 (Application Date: May 24, 2002) entitled "Electrolytic Effect Transistor and Method for Producing the Same" by Mo et al. (Attorney Docket No. 18865-17-2 / 17732) -722.001).

Sappによる「デュアルトレンチパワーMOSFET」と表題が付けられた米国特許出願第10,209,110号(出願日:2002年7月30日)(代理人整理番号18865−98/17732−55270)。   US Patent Application No. 10,209,110 entitled "Dual Trench Power MOSFET" by Sapp (filing date: July 30, 2002) (Attorney Docket No. 18865-98 / 17732-55270).

Koconによる「改善された小さい前方損失(forward loss)および高い素子能力を有する半導体構造」と表題が付けられた米国特許出願第09/981,583号(出願日:2001年10月17日)(代理人整理番号18865−90/17732−51620)。   US patent application Ser. No. 09 / 981,583 entitled “Semiconductor Structure with Improved Small Forward Loss and High Device Capabilities” by Kocon (filing date: October 17, 2001) ( Agent reference number 18865-90 / 17732-51620).

Koconなどによる「低減されたミラー容量およびスイッチング損失に対する改善されたMOSゲート法」と表題が付けられた米国特許出願第10/640,742号(出願日:2003年8月14日)(代理人整理番号90065.000241/17732−66550)。   US Patent Application No. 10 / 640,742 entitled “Improved MOS Gate Method for Reduced Mirror Capacitance and Switching Loss” by Kocon et al. (Filing date: August 14, 2003) (Reference number 90065.000241 / 17732-66550).

Marchantによる「側面減少構造を有する電解効果トランジスタ」と表題が付けられた米国特許出願第09/774,780号(出願日:2001年1月30日)(代理人整理番号18865−69/17732−26400)。   US patent application Ser. No. 09 / 774,780 entitled “Electrolytic Effect Transistor with Reduced Side Structure” by Marchant (filing date: Jan. 30, 2001) (Attorney Docket No. 18865-69 / 17732) 26400).

Sappなどによる「低出力キャパシタンスを有する垂直電荷制御半導体デバイス」と表題が付けられた米国特許出願第10/200,056号(出願日:2002年7月18日)(代理人整理番号18865−97/17732−26400)。   US patent application Ser. No. 10 / 200,056 entitled “Vertical Charge Control Semiconductor Device with Low Output Capacitance” by Sapp et al. (Filing date: July 18, 2002) (Attorney Docket No. 18865-97) / 17732-26400).

Koconなどによる「ドリフト領域の高いブロッキング低電圧降下半導体構造」と表題が付けられた米国特許出願第10/288,982号(出願日:2002年11月5日)(代理人整理番号18865−117/17732−66550)。   US Patent Application No. 10 / 288,982 (Filing date: November 5, 2002) titled “Blocking Low Voltage Drop Semiconductor Structure with High Drift Region” by Kocon et al. (Attorney Docket No. 18865-117) / 17732-66550).

Herrickによる「自己整合の特徴を有するトレンチ型MOSFETの構造およびその製造方法」と表題が付けられた米国特許出願第10/442,670号(出願日:2003年5月20日)(代理人整理番号18865−131/17732−66850)。   US patent application Ser. No. 10 / 442,670 entitled “Structure of Trench MOSFET with Self-Aligned Features and Method of Manufacturing the Same” by Herrick (filing date: May 20, 2003) Number 18865-131 / 17732-66850).

連続ストライプセルを維持しつつプラナーまたはトレンチストライプのパワーデバイスへの電流方向を絶縁する方法」と表題が付けられた米国特許出願第10/315,719号(出願日:2002年12月10日)(代理人整理番号90065.051802/17732−56400)。   US patent application Ser. No. 10 / 315,719 entitled “Method of Insulating Current Direction to Planar or Trench Striped Power Device While Maintaining Continuous Stripe Cell” (Filing Date: Dec. 10, 2002) (Agent reference number 90065.051802 / 17732-56400).

Elbanhawyによる「DC−DC変換器における損失を低減する方法および回路」と表題が付けられた米国特許出願第10/222,481号(出願日:2002年8月16日)(代理人整理番号18865−91−1/17732−514300)。   US patent application Ser. No. 10 / 222,481, entitled “Method and Circuit for Reducing Loss in DC-DC Converters” by Elbanhawy (Filing Date: August 16, 2002) (Attorney Docket No. 18865) -91-1 / 17732-514300).

Joshiによる「半導体デバイスのモールドしないパッケージ」と表題が付けられた米国特許出願第10/235,249号(出願日:2002年9月4日)(代理人整理番号18865−71−1/17732−26390−3)。   US Patent Application No. 10 / 235,249 entitled “Semiconductor Device Unmolded Package” by Joshi (Filing Date: September 4, 2002) (Attorney Docket No. 18865-71-1 / 17732) 26390-3).

Joshiなどによる「リードされたモールドパッケージにおけるフリップチップおよびその製造方法」と表題が付けられた米国特許出願第10/607,633号(出願日:2003年6月27日)(代理人整理番号18865−42−1/17732−13420)。   US patent application Ser. No. 10 / 607,633 (Filing date: June 27, 2003) entitled “Flip Chip in Leaded Mold Package and Method of Manufacturing the Same” by Joshi et al. (Attorney Docket No. 18865) -42-1 / 17732-13420).

本出願は、以下の仮出願された米国特許出願の利益を主張するものである。   This application claims the benefit of the following provisionally filed US patent application:

Wilsonなどによる「高電圧シールドされたトレンチゲートLDMOS」と表題が付けられた米国特許出願出願第60/506,194号(出願日:2003年9月26日)(代理人整理番号18865−135/17732−66940)。   US Patent Application No. 60 / 506,194 entitled "High Voltage Shielded Trench Gate LDMOS" by Wilson et al. (Filing date: September 26, 2003) (Attorney Docket No. 18865-135 / 17732-66940).

「電荷バランス構造を有する蓄積デバイスおよびその形成方法」と表題が付けられた米国特許出願第60/588,845号(出願日:2004年7月15日)(代理人整理番号18865−164/17732−67010)。   US Patent Application No. 60 / 588,845 entitled “Storage Device with Charge Balance Structure and Method for Forming It” (Filing Date: July 15, 2004) (Attorney Docket No. 18865-164 / 17732) -67010).

上記の全ての特許出願は、その内容全体を本願に引用して援用する。   All the above patent applications are incorporated herein by reference in their entirety.

パワースイッチは、パワーMOSFET,IGBT,さまざまなタイプのサイリスタ等のうちのいずれかによって実施され得る。本明細書に示されている新しい技術の多くは、例示を目的としてパワーMOSFETに照らして説明されている。しかし、本明細書に説明されている本発明のさまざまな実施例は、MOSFETに限定されるものではなく、ダイオードと同様に、例えばIGBT、他のタイプのバイポーラスイッチおよびさまざまなタイプのサイリスタを含む、多くの他のタイプのパワースイッチ技術に適用することができるということが理解されるべきである。さらに、説明のために、本発明のさまざまな実施例は、特定のp型領域およびn型領域を含むように示されている。本明細書における教示は、さまざまな領域の伝導性が反転しているデバイスにも同様に適用可能である、ということが当業者によって理解される。   The power switch can be implemented by any of a power MOSFET, IGBT, various types of thyristors, and the like. Many of the new techniques presented herein have been described in the context of power MOSFETs for purposes of illustration. However, the various embodiments of the invention described herein are not limited to MOSFETs, but include, for example, IGBTs, other types of bipolar switches, and various types of thyristors, as well as diodes. It should be understood that it can be applied to many other types of power switch technologies. Further, for purposes of explanation, various embodiments of the invention are shown to include specific p-type and n-type regions. It will be appreciated by those skilled in the art that the teachings herein are equally applicable to devices in which the conductivity of various regions is reversed.

図1を参照すると、例示的なn型トレンチパワーMOSFET100の一部の断面図が示されている。本明細書で説明されている他の全ての図についても同様であるが、相対寸法および図に示されているさまざまな要素および構成部品のサイズは、実際の寸法を正確に反映しているものではなく且つ例示のみを目的としている。トレンチMOSFET100は、トレンチ102の内側に形成されているゲート電極を含む。このトレンチ102は、p型の井戸すなわち本体領域104を通って基板の上面から伸長しており、n型ドリフトすなわちエピタキシャル領域106で終端している。トレンチ102は、薄い誘電体層108で囲まれており、例えばドープされたポリシリコンのような導電材料110で実質的に満たされている。N型ソース領域112は、トレンチ102に隣接した本体領域104の内部に形成されている。MOSFET100に対するドレイン端子は、高濃度にドープされたn+基板領域に接続している基板の裏面に形成される。図1に示される構造は、例えばシリコンからなる共通基板に関して何回も繰り返され、トランジスタのアレイを形成する。当該アレイは、技術的に周知であるさまざまな細胞構造またはストライプ構造において構成され得る。トランジスタがオンにされると、導電チャンネルが、ゲートトレンチ102の壁に沿ってソース領域112とドリフト領域106との間に垂直に形成される。   Referring to FIG. 1, a cross-sectional view of a portion of an exemplary n-type trench power MOSFET 100 is shown. The same applies to all other figures described herein, but the relative dimensions and sizes of the various elements and components shown in the figures accurately reflect the actual dimensions. It is not for illustrative purposes only. Trench MOSFET 100 includes a gate electrode formed inside trench 102. The trench 102 extends from the top surface of the substrate through a p-type well or body region 104 and terminates in an n-type drift or epitaxial region 106. The trench 102 is surrounded by a thin dielectric layer 108 and is substantially filled with a conductive material 110 such as doped polysilicon. N-type source region 112 is formed inside body region 104 adjacent to trench 102. The drain terminal for MOSFET 100 is formed on the back side of the substrate connected to the heavily doped n + substrate region. The structure shown in FIG. 1 is repeated many times on a common substrate, for example made of silicon, to form an array of transistors. The array can be configured in a variety of cellular or striped structures that are well known in the art. When the transistor is turned on, a conductive channel is formed vertically between the source region 112 and the drift region 106 along the wall of the gate trench 102.

その垂直なゲート構造によって、MOSFET100は、プレーナゲートデバイスと比較して高い記録密度を可能にする。この高い記録密度は、相対的に低いオン抵抗をもたらすこととなる。トランジスタの降伏電圧の性能を改善するために、高濃度p+の本体領域118がp型の井戸104の内部に形成され、高濃度p+の本体領域118とp型の井戸104との間の界面に階段接合が形成されるようになる。トレンチの深さおよび井戸の深さに対して高濃度p+の本体領域の深さを制御することによって、電圧が加えられるときに発生する電界は、トレンチから離れる。このことは、トランジスタの性能に関連するアバランシェ電流を増大させる。この改善された構造およびトランジスタ、特に階段接合を形成する工程についてのバリエーションは、Moなどによる自己の米国特許第6,429,481号により詳細に説明されており、その内容全体は本願に引用して援用される。   Due to its vertical gate structure, MOSFET 100 allows a higher recording density compared to planar gate devices. This high recording density results in a relatively low on-resistance. In order to improve the breakdown voltage performance of the transistor, a heavily doped p + body region 118 is formed inside the p-type well 104 at the interface between the heavily doped p + body region 118 and the p-type well 104. A staircase junction is formed. By controlling the depth of the heavily doped body region with respect to the depth of the trench and the depth of the well, the electric field generated when a voltage is applied is moved away from the trench. This increases the avalanche current associated with transistor performance. Variations on this improved structure and transistor, particularly the process of forming a step junction, are described in more detail in US Pat. No. 6,429,481 to Mo et al., The entire contents of which are incorporated herein by reference. Incorporated.

垂直トレンチMOSFET100は、良好なオン抵抗および改善された耐久性を示すが、相対的に高い入力容量を有する。トレンチMOSFET100に対する入力容量は、ゲートとソースとの間の容量Cgsおよびゲートとドレインとの間の容量Cgdである2つの成分を有する。ゲートとソースとの間の容量Cgsは、ゲートの導電材料110とトレンチの上面に近いソース領域112との間の重複部分から生じる。ゲートと本体における反転チャンネルとの間に形成された容量もCgsの一因となる。その理由は、通常のパワースイッチングアプリケーションにおいて、トランジスタの本体およびソース電極は、ともに短絡させられるからである。ゲートとドレインとの間の容量Cgdは、各トレンチの底部でのゲート導電材料110とドレインに接続するドリフト領域106との間の重複部分から生じる。ゲートとドレインとの間の容量Cgdまたはミラー容量はトランジスタVDSの遷移時間を制限する。従って、より大きいCgsおよびCgdは、かなりのスイッチング損失をもたらす。これらのスイッチング損失は、パワーマネジメントアプリケーションがより高いスイッチング周波数に向かうときにますます重要になってくる。 The vertical trench MOSFET 100 exhibits good on-resistance and improved durability, but has a relatively high input capacitance. The input capacitance to the trench MOSFET 100 has two components: a capacitance Cgs between the gate and the source and a capacitance Cgd between the gate and the drain. The capacitance Cgs between the gate and source arises from the overlap between the gate conductive material 110 and the source region 112 near the top surface of the trench. The capacitance formed between the gate and the inversion channel in the main body also contributes to Cgs. This is because in normal power switching applications, the body and source electrode of the transistor are both shorted. The capacitance Cgd between the gate and the drain results from the overlap between the gate conductive material 110 at the bottom of each trench and the drift region 106 connected to the drain. Capacitance Cgd or mirror capacitance between the gate and drain limits the transition time of transistor V DS . Therefore, larger Cgs and Cgd result in significant switching losses. These switching losses become increasingly important as power management applications move toward higher switching frequencies.

ゲートとソースとの間の容量Cgsを低減する1つの方法は、トランジスタのチャンネル長を短くすることである。チャンネル長が短くすれば、直接的にゲートとチャンネルとの間の構成成分であるCgsは減少する。短いチャンネル長は、RDSONにも直接比例し且つ小さいゲートトレンチで同じデバイス電流容量を得ることを可能にする。このことは、ゲートとソースとの間の重複部分およびゲートとドレインとの間の重複部分の量を低減することによってCgsおよびCgdの両方を減少させることとなる。しかし、短いチャンネル長はデバイスを弱くさせ、逆バイアスされた本体−ドレイン接合が本体領域に深く押し込まれてソース領域に近付くことになる結果として空乏層が形成されるときに突き抜けることとなる。ドリフト領域がより多くの空乏層を維持するようにドリフト領域のドープ濃度を減らすことは、トランジスタのオン抵抗RDSONを増加させるという望ましくない効果をもたらす。 One way to reduce the capacitance Cgs between the gate and source is to shorten the channel length of the transistor. If the channel length is shortened, Cgs which is a component directly between the gate and the channel decreases. The short channel length is also directly proportional to R DSON and makes it possible to obtain the same device current capacity with a small gate trench. This will reduce both Cgs and Cgd by reducing the amount of overlap between the gate and source and between the gate and drain. However, the short channel length weakens the device and penetrates when a depletion layer is formed as a result of the reverse-biased body-drain junction being pushed deeply into the body region and approaching the source region. Reducing the doping concentration of the drift region so that the drift region maintains more depletion layers has the undesirable effect of increasing the on-resistance R DSON of the transistor.

チャンネル長を短くすることを可能にし且つ上述の欠点への対処においても効果的であるトランジスタ構造に対する改良点は、ゲートトレンチから横方向に離間した付加的なシールドトレンチを使用することである。図2Aを参照すると、デュアルトレンチMOSFET200の例示的な実施例が示されている。デュアルトレンチという用語は、同様のトレンチの総数とは対照的に、2つの異なるタイプのトレンチを有するトランジスタを言及するものである。図1のMOSFETに共通している構造的特徴に加えて、デュアルトレンチMOSFET200は、隣接するゲートトレンチ202の間に入れられるシールドとレンチ220を含む。図2Aに示される例示的な実施例において、シールドトレンチ220は、表面からP+領域218,本体領域204を通り、ゲートトレンチ202の深さをかなり下回ってドリフト領域中に伸長する。トレンチ220は、誘電体材料222で囲まれており、例えばドープされたポリシリコンのような導電材料224で実質的に満たされている。金属層216は、トレンチ220の内部の導電材料224をn+ソース領域212と高濃度p+本体領域218とに電気的に接続する。従って、この実施例において、トレンチ220は、ソースシールドトレンチとして言及される。このタイプのデュアルトレンチMOSFET,製造方法および当該MOSFETに対する回路アプリケーションの例は、Steven Sappによる「デュアルトレンチパワーMOSFET」と表題が付けられた同一出願による米国特許出願第10/209,110号においてより詳細に説明されており、その内容全体は、本願に引用して援用される。   An improvement to the transistor structure that allows a shorter channel length and is also effective in addressing the above drawbacks is to use an additional shield trench that is laterally spaced from the gate trench. Referring to FIG. 2A, an exemplary embodiment of a dual trench MOSFET 200 is shown. The term dual trench refers to a transistor having two different types of trenches, as opposed to the total number of similar trenches. In addition to the structural features common to the MOSFET of FIG. 1, the dual trench MOSFET 200 includes a shield and a wrench 220 that are interposed between adjacent gate trenches 202. In the exemplary embodiment shown in FIG. 2A, the shield trench 220 extends from the surface through the P + region 218, the body region 204 and into the drift region well below the depth of the gate trench 202. The trench 220 is surrounded by a dielectric material 222 and is substantially filled with a conductive material 224, such as doped polysilicon. The metal layer 216 electrically connects the conductive material 224 inside the trench 220 to the n + source region 212 and the high concentration p + body region 218. Accordingly, in this embodiment, trench 220 is referred to as a source shield trench. An example of this type of dual trench MOSFET, manufacturing method and circuit application for the MOSFET is more detailed in co-pending US patent application Ser. No. 10 / 209,110 entitled “Dual Trench Power MOSFET” by Steven Sapp. The entire contents of which are incorporated herein by reference.

より深いソースシールドトレンチ220の効果は、逆バイアスがかけられた本体−ドレイン接合の結果として形成された空乏層を、ドリフト領域206中に深く押すことである。従って、より幅広い空乏領域が、電界を増大させることなく生じ得る。このことは、降伏電圧を下げることなく、ドリフト領域がより高ドープにされることを可能にする。高ドープドリフト領域は、トランジスタのオン抵抗を低減する。さらに、本体−ドレイン接合部近辺の電界を低減することによって、チャンネル長が実質的に短くなることが可能になり、さらにトランジスタのオン抵抗を低減し且つゲートとソースとの間の容量Cgsを実質的に低減する。また、図1のMOSFETと比較すると、デュアルトレンチMOSFETは、かなり少ないゲートトレンチで同じトランジスタ電流容量を得ることを可能にする。このことは、ゲートとソースとの間の重複容量およびゲートとドレインとの間の重複容量を著しく低減する。図2Aに示されている例示的な実施例において、ゲートトレンチ導電層210は、トレンチの内部に埋め込まれ、図1に示されているMOSFET100におけるトレンチ102の上に存在している層間絶縁膜のドームの必要性を取り除くこととなるということに留意すべきである。また、本明細書で教示されているソースシールドトレンチの使用は、トレンチゲートMOSFETに対して限定されるものではなく、同様の利点は、ソースシールドトレンチがプレーナMOSFET(ゲートは、基板の上面に水平に形成されている)において使用されるときにも得られる。ソースシールドトレンチを有するプレーナゲートMOSFETの例示的な実施例は、図2Bに示されている。   The effect of the deeper source shield trench 220 is to push deeply into the drift region 206 the depletion layer formed as a result of the reverse-biased body-drain junction. Thus, a wider depletion region can occur without increasing the electric field. This allows the drift region to be more highly doped without reducing the breakdown voltage. The highly doped drift region reduces the on-resistance of the transistor. Furthermore, by reducing the electric field in the vicinity of the body-drain junction, the channel length can be substantially shortened, the on-resistance of the transistor is reduced, and the capacitance Cgs between the gate and the source is substantially reduced. Reduction. Also, compared to the MOSFET of FIG. 1, the dual trench MOSFET makes it possible to obtain the same transistor current capacity with considerably fewer gate trenches. This significantly reduces the overlap capacitance between the gate and the source and the overlap capacitance between the gate and the drain. In the exemplary embodiment shown in FIG. 2A, the gate trench conductive layer 210 is embedded in the trench and is an interlayer dielectric film overlying the trench 102 in the MOSFET 100 shown in FIG. It should be noted that this would eliminate the need for a dome. Also, the use of the source shield trenches taught herein is not limited to trench gate MOSFETs, and the same advantage is that source shield trenches are planar MOSFETs (the gate is horizontal to the top surface of the substrate). It is also obtained when used in the above. An exemplary embodiment of a planar gate MOSFET with a source shield trench is shown in FIG. 2B.

さらに入力容量を低減するために、ゲートとドレインとの間の容量Cgdを低減することに焦点を当てた、さらなる構造上の改良がなされ得る。上記のように、ゲートとドレインとの間の容量Cgdは、ゲートとトレンチの下部にあるドリフト領域との間の重複に起因する。この容量を低減する1つの方法は、トレンチの下部にあるゲート誘電体層の厚さを厚くする。図2Aを再び参照すると、ゲートトレンチ202は、トレンチの下部に厚い誘電体層226を有するように示されている。このトレンチの下部では、ゲートトレンチの側壁に沿った誘電体層と比較すると、ゲートトレンチ202はドリフト領域206(トランジスタのドレイン端)と重複部分がある。このことは、トランジスタの順伝導を悪化させることなく、ゲートとドレインとの間の容量Cgdを低減する。ゲートトレンチの下部に厚い誘電体層を作ることは、多くの異なる方法でなされ得る。厚い誘電体層を形成する1つの例示的な工程は、Hurstなどによる自己の米国特許第6,437,386号に説明されており、その内容全体は本願に引用して援用される。トレンチの下部に厚い誘電体層を形成する他の工程は、図56、59に関連してさらに以下に説明される。ゲートとドレインとの間の容量を最小限にするための別の方法は、トレンチ内部の中心に配置されたダイ2の誘電体コアを含むことである。当該第2の誘電体コアは、トレンチの底の誘電体ライナーから上方へ伸長している。1つの実施例において、第2の誘電体コアは、トレンチ導電材料210の上の誘電体層に接触するまで伸長し得る。この実施例およびその変形例は、Shenoyによる自己の米国特許第6,573,560号により詳細に説明されている。   In order to further reduce the input capacitance, further structural improvements can be made, focusing on reducing the capacitance Cgd between the gate and drain. As described above, the capacitance Cgd between the gate and the drain is caused by the overlap between the gate and the drift region under the trench. One way to reduce this capacitance is to increase the thickness of the gate dielectric layer below the trench. Referring again to FIG. 2A, the gate trench 202 is shown having a thick dielectric layer 226 at the bottom of the trench. Below the trench, the gate trench 202 overlaps with the drift region 206 (the drain end of the transistor) compared to the dielectric layer along the sidewall of the gate trench. This reduces the capacitance Cgd between the gate and drain without degrading the forward conduction of the transistor. Creating a thick dielectric layer under the gate trench can be done in many different ways. One exemplary process for forming a thick dielectric layer is described in US Pat. No. 6,437,386 to Hurst et al., The entire contents of which are incorporated herein by reference. Another process for forming a thick dielectric layer at the bottom of the trench is described further below in connection with FIGS. Another way to minimize the capacitance between the gate and drain is to include the dielectric core of the die 2 located in the center inside the trench. The second dielectric core extends upward from a dielectric liner at the bottom of the trench. In one example, the second dielectric core may extend until it contacts the dielectric layer above the trench conductive material 210. This embodiment and its variations are described in more detail in Shenoy's own US Pat. No. 6,573,560.

ゲートとドレインとの間の容量Cgdを低減する別の技術は、1または2以上のバイアスがかけられた電極を使用してゲートをシールドすることを必要とする。この実施例によると、ゲートトレンチの内側に且つゲート電極を形成する導電材料の下に1または2以上の電極が形成されて、ドリフト領域からゲートをシールドすることによって、ゲートとドレインとの間の重複容量が実質的に低減する。図3Aを参照すると、シールドされたゲートトレンチMOSFET300Aの例示的な実施例の一部が示されている。MOSFET300Aにおけるトレンチ302は、ゲート電極310およびこの実施例においては、ゲート電極310の下に2つの付加的な電極311a、311bを含む。電極311aおよび311bは、ゲート電極310がドリフト領域306と実質的に重複することからシールドし、ゲートとドレインとの間の重複容量を取り除くこととなる。シールド電極311aおよび311bは、最適な電位で独立してバイアスがかけられる。1つの実施例において、シールド電極311aまたは311bのうちの1つは、ソース端と同じ電位でバイアスがかけられ得る。デュアルトレンチ構造と同様に、シールド電極にバイアスをかけることによって、本体−ドレイン接合部で形成される空乏領域を幅広くすることとなる。この空乏層はさらにCgdを低減する。シールド電極311の数は、スイッチングアプリケーションおよび特にはアプリケーションの電圧要求によって変化するということが理解されるべきである。同様に、任意のトレンチにおけるシールド電極のサイズは変化し得る。例えば、シールド電極311aはシールド電極311bよりも大きくあり得る。1つの実施例においては、最も小さいシールド電極はトレンチの底部に最接近しており、残りのシールド電極は、それらがゲート電極に近付くにつれてサイズが大きくなる。トレンチの内側の、独立してバイアスがかけられる電極は、垂直方向の電荷制御のためにも使用されることができ、低い順電圧および高い阻止能力(blocking capability)を改善する。高電圧デバイスに関連して以下にさらに説明される、トランジスタ構造のこのアスペクトは、Koconによる「改善された低い順電圧損失および高い阻止能力を有する半導体構造」と表題が付けられた自己の米国特許出願第09/981,583号にもより詳細に説明されている。当該特許出願の内容全体は本願に引用して援用される。   Another technique for reducing the capacitance Cgd between the gate and drain requires that the gate be shielded using one or more biased electrodes. According to this embodiment, one or more electrodes are formed inside the gate trench and under the conductive material that forms the gate electrode to shield the gate from the drift region and thereby between the gate and drain. Overlap capacity is substantially reduced. Referring to FIG. 3A, a portion of an exemplary embodiment of a shielded gate trench MOSFET 300A is shown. Trench 302 in MOSFET 300A includes a gate electrode 310 and, in this embodiment, two additional electrodes 311a, 311b below the gate electrode 310. The electrodes 311a and 311b shield since the gate electrode 310 substantially overlaps with the drift region 306, and eliminate the overlapping capacitance between the gate and the drain. The shield electrodes 311a and 311b are independently biased at an optimum potential. In one embodiment, one of the shield electrodes 311a or 311b can be biased at the same potential as the source end. Similar to the dual trench structure, biasing the shield electrode broadens the depletion region formed at the body-drain junction. This depletion layer further reduces Cgd. It should be understood that the number of shield electrodes 311 will vary depending on the switching application and in particular the voltage requirements of the application. Similarly, the size of the shield electrode in any trench can vary. For example, the shield electrode 311a can be larger than the shield electrode 311b. In one embodiment, the smallest shield electrode is closest to the bottom of the trench, and the remaining shield electrodes increase in size as they approach the gate electrode. The independently biased electrode inside the trench can also be used for vertical charge control, improving low forward voltage and high blocking capability. This aspect of the transistor structure, described further below in connection with high voltage devices, is self-patented by Kocon, entitled “Semiconductor Structure with Improved Low Forward Voltage Loss and High Blocking Capability”. Application 09 / 981,583 is also described in more detail. The entire contents of the patent application are incorporated herein by reference.

図3Bは、図2Aのデュアルトレンチ構造を図3Aのシールドされたゲート構造と組み合わせたシールドゲートトレンチMOSFET300Bに対する別の実施例を示している。図3Bに示された例示的な実施例において、ゲートトレンチ301は、MOSFET300Aのトレンチ302と同様に、シールドポリ311の上にゲートポリ310を含む。しかし、MOSFET300Bは、垂直方向電荷制御のために、ゲートトレンチ302より深い位置にあり得る非ゲートトレンチを含む。電荷制御トレンチ301は、図2Aにおけるように、トレンチの最上部でソース金属に接続する導電材料(例えばポリシリコン)の単層を有し得るが、図3Bに示される実施例は、独立してバイアスがかけられる、多重に積み重ねられたポリ電極313を使用する。トレンチにおいて積み重ねられる電極313の数は、図3Bに示されたような電極313のサイズと同じように、アプリケーションの要求によって変化し得る。電極は、独立的にバイアスがかけられるかなたは電気的につなげられていても良い。デバイス中の電荷制御トレンチの数もアプリケーションによる。   FIG. 3B shows another embodiment for a shielded gate trench MOSFET 300B that combines the dual trench structure of FIG. 2A with the shielded gate structure of FIG. 3A. In the exemplary embodiment shown in FIG. 3B, gate trench 301 includes gate poly 310 over shield poly 311, similar to trench 302 of MOSFET 300A. However, MOSFET 300B includes a non-gate trench that can be deeper than gate trench 302 for vertical charge control. The charge control trench 301 may have a single layer of conductive material (eg, polysilicon) that connects to the source metal at the top of the trench, as in FIG. 2A, but the embodiment shown in FIG. A multiple stacked poly electrode 313 is used that is biased. The number of electrodes 313 stacked in the trench can vary depending on application requirements, as can the size of the electrodes 313 as shown in FIG. 3B. The electrodes may be independently connected or electrically connected. The number of charge control trenches in the device also depends on the application.

パワーMOSFETのスイッチング速度を改善するさらに他の技術は、デュアルゲート構造を用いることによってゲートとドレインとの間の容量Cgdを低減する。この実施例によると、トレンチの内部のゲート構造は、スイッチング信号を受け取る、従来のゲート機能を行う第1の部分と、ゲートの第1の部分をドリフト(ドレイン)領域からシールドし且つ独立にバイアスがかけられ得る第2の部分と、の2つの部分に分かれている。このことは、MOSFETのゲートとドレインとの間の容量を格段に低減する。図4Aは、デュアルゲートトレンチMOSFET400Aの例示的な実施例の簡略部分図である。図4Aに示されているように、MOSFET400Aのゲートは、2つの部分G1およびG2を有している。図3AのMOSFET300Aにおけるシールド電極(311aおよび311b)とは違って、MOSFET400AにおいてG2を形成する導電材料は、チャンネルを有する重複領域401を有し、その結果、ゲート端としての機能を果たす。しかし、この第2のゲート端G2は、第1のゲート端G1から独立してバイアスがかけられ、スイッチングトランジスタを駆動する同一信号を受け取らない。1つの実施例においては、その代わりに、G2はMOSFETの閾値電圧に一定電位でバイアスがかけられ、重複領域401におけるチャンネルを反転する。このことは、第2のゲートG2から第1のゲートG1に移り変わるときに、連続的なチャンネルが形成されるということを確実にする。また、G2での電位はソース電位よりも高く、ドリフト領域から離れ第2のゲートG2への電荷移動は、さらにCgdの低減に寄与するのでCgdは低減される。別の実施例において、第2のゲートG2は、スイッチング現象(switching event)の直前に閾値電圧より上の電位にバイアスがかけられる。他の実施例において、G2での電位は、可変となされることができ且つゲートとドレインとの間の容量Cgdのヘリ部を最小限にするように最適に調整される。デュアルゲート構造は、IGBTなどを含む、他のタイプのトレンチゲートパワーデバイスと同様に、プレーナゲート構造を有するMOSFETにおいて用いられ得る。デュアルゲートトレンチMOSゲートデバイスおよびかかるデバイスの製造方法についての変形は、Koconなどによる「低減したミラー容量およびスイッチング損失に対する、改善されたMOSゲート方法」と表題が付けられた自己の米国特許出願第10/640,742号により詳細に説明されている。当該特許出願の内容全体は、本願に引用して援用される。   Yet another technique for improving the switching speed of the power MOSFET reduces the capacitance Cgd between the gate and the drain by using a dual gate structure. According to this embodiment, the gate structure inside the trench receives a switching signal, performs a conventional gate function, shields the first part of the gate from the drift (drain) region and independently biases it. It is divided into two parts, a second part that can be applied. This greatly reduces the capacitance between the gate and drain of the MOSFET. FIG. 4A is a simplified partial view of an exemplary embodiment of a dual gate trench MOSFET 400A. As shown in FIG. 4A, the gate of MOSFET 400A has two portions G1 and G2. Unlike the shield electrodes (311a and 311b) in MOSFET 300A of FIG. 3A, the conductive material forming G2 in MOSFET 400A has an overlapping region 401 with a channel, and thus serves as the gate end. However, the second gate terminal G2 is biased independently of the first gate terminal G1, and does not receive the same signal that drives the switching transistor. In one embodiment, instead, G2 biases the MOSFET threshold voltage at a constant potential, inverting the channel in the overlap region 401. This ensures that a continuous channel is formed when transitioning from the second gate G2 to the first gate G1. In addition, the potential at G2 is higher than the source potential, and the charge transfer from the drift region to the second gate G2 further contributes to the reduction of Cgd, so that Cgd is reduced. In another embodiment, the second gate G2 is biased at a potential above the threshold voltage just prior to a switching event. In other embodiments, the potential at G2 can be made variable and optimally adjusted to minimize the helicopter of the capacitance Cgd between the gate and drain. The dual gate structure can be used in MOSFETs having a planar gate structure, as well as other types of trench gate power devices, including IGBTs and the like. A variation on dual gate trench MOS gate devices and methods of manufacturing such devices is described in Kocon et al., US Pat. / 640,742. The entire contents of the patent application are incorporated herein by reference.

改善されたパワーMOSFETに対する別の実施例は、図4Bに示されている。ここで、例示的なMOSFET400Bは、プレーナデュアルゲート構造を垂直電荷制御のためのトレンチ電極と組み合わせている。第1および第2のゲート端G1およびG2は、図4Aのトレンチデュアルゲート構造と同様の態様で機能するが、深いトレンチ420はドリフト領域における電極を与え、電荷を広げ且つデバイスの降伏電圧を増加させる。示された実施例において、シールドまたは第2のゲートG2は、第1のゲートG1の上部を覆い、P型の井戸404およびドリフト領域406に及んでいる。別の実施例において、第1のゲートG1はシールド/第2のゲートG2に及んでいる。   Another embodiment for an improved power MOSFET is shown in FIG. 4B. Here, the exemplary MOSFET 400B combines a planar dual gate structure with a trench electrode for vertical charge control. The first and second gate ends G1 and G2 function in a manner similar to the trench dual gate structure of FIG. 4A, but the deep trench 420 provides an electrode in the drift region to broaden the charge and increase the device breakdown voltage. Let In the embodiment shown, the shield or second gate G2 covers the top of the first gate G1 and extends to the P-type well 404 and the drift region 406. In another embodiment, the first gate G1 extends to the shield / second gate G2.

従って、垂直方向電荷制御のためのトレンチ電極およびゲートシールドのような、説明されたさまざまな技術は、横型MOSFET,縦型MOSFET,IGBTおよびダイオード等を含むパワーデバイスを得るために組み合わせられ得る。当該パワーデバイスの動作特性は、任意のアプリケーションに対して最適化される。例えば、図4Aに示されたトレンチデュアルゲート構造は、図3Bまたは図4Bに示されたタイプの垂直方向電荷制御のトレンチ構造と有利に組み合わせられ得る。かかるデバイスは、(図4Bにおけるトレンチ420に見られるような)導電材料の単層で満たされているかまたは(図3Bにおけるトレンチ301に見られるような)多重に積み重ねられた導電性電極で満たされている、深い電荷制御トレンチと同様に、図4Aに示されたようなデュアルゲート構造を有するアクティブトレンチを含む。ドレイン端は、基板においてソース端と同一面上に配置されている(すなわち、電流が横方向に流れる)横型デバイスに関して、電荷制御電極は、垂直方向のトレンチにおいて積み重ねられる代わりに、フィールドプレートを形成して横方向に配される。電荷制御電極の位置付けは、一般にドリフト領域における電流フローの方向に平行である。   Accordingly, the various techniques described, such as trench electrodes and gate shields for vertical charge control, can be combined to obtain power devices including lateral MOSFETs, vertical MOSFETs, IGBTs, diodes, and the like. The operating characteristics of the power device are optimized for any application. For example, the trench dual gate structure shown in FIG. 4A can be advantageously combined with a vertical charge control trench structure of the type shown in FIG. 3B or 4B. Such devices are filled with a single layer of conductive material (as seen in trench 420 in FIG. 4B) or with multiple stacked conductive electrodes (as seen in trench 301 in FIG. 3B). As well as the deep charge control trench, it includes an active trench having a dual gate structure as shown in FIG. 4A. For lateral devices where the drain end is coplanar with the source end in the substrate (ie, the current flows laterally), the charge control electrode forms a field plate instead of being stacked in a vertical trench And arranged in the horizontal direction. The positioning of the charge control electrode is generally parallel to the direction of current flow in the drift region.

1つの実施例において、デュアルゲートおよびシールドゲートの技術は、同じトレンチの内部で組み合わされ、スイッチング速度および阻止電圧の増大を与えることとなる。図4CはMOSFET4Cを示している。図4Cにおいて、トレンチ402Cは、第1のゲートG1,第2のゲートG2および示されるように1つのトレンチにおいて積み重ねられたシールド層411を含む。トレンチ402Cは、深く作られることができ且つアプリケーションが要求するだけの多くのシールド層411を含み得る。電荷調整およびシールド電極に対して同じトレンチを使用することによって高密度になる。その理由は、2つのトレンチの必要性が取り除かれ、それを1つに結合するからである。また、より良好な電流の広がりを可能にし、デバイスのオン抵抗をも改善する。   In one embodiment, dual gate and shield gate techniques are combined within the same trench, giving increased switching speed and blocking voltage. FIG. 4C shows the MOSFET 4C. In FIG. 4C, a trench 402C includes a first gate G1, a second gate G2, and a shield layer 411 stacked in one trench as shown. The trench 402C can be made deep and can include as many shield layers 411 as the application requires. High density is achieved by using the same trench for the charge control and shield electrodes. The reason is that the need for two trenches is eliminated and they are combined into one. It also allows better current spreading and improves device on-resistance.

従って、説明されたデバイスは、シールドされたゲート,デュアルゲートおよび他の技術の組み合わせを使用し、寄生容量を低減する。しかし、ヘリでの効果によって、これらの技術はゲートとドレインとの間の容量Cgdを完全に最小化しない。図4Dを参照すると、深い本体設計(deep body design)を有するMOSFET400Dの例示的な実施例の部分断面図が示されている。この実施例によると、本体構造は、ゲートトレンチ402の間に形成されたメサの中心を通ってエッチングされるトレンチ418によって形成され、ゲートトレンチと同じ深さまたはゲートトレンチより深く伸長している。ソース金属層は、金属−拡散境界(図示せず)に薄い高融点金属を含み得る。この実施例において、本体構造は、本体のトレンチ418を実質的に取り囲むp+の本体インプラント419をさらに含む。p+インプラント層419は、さらなるシールドを可能にし、デバイス内部、特にはゲート電極に近接した部分の電位分布を変えることとなる。図4Eに示される別の実施例において、本体トレンチ418は、例えば選択エピタキシャル成長(SEG)法を使用してエピタキシャル材料で満たされている。或いは、本体トレンチ418Eは、ドープされたシリコンで満たされる。これら2つの実施例のいずれかにおいて、p+シールド接合部419を埋め込む代わりに、後の温度処理が充満した本体からシリコン中にドーパントを拡散し、p+シールド接合419を形成する。トレンチが作られた本体構造に対する変形または構成の多くは、Huangによる同一出願人の米国特許第6,437,399号および第6,110,799号により詳細に説明されている。当該特許の内容全体は、本願に引用して援用される。   Thus, the described device uses a combination of shielded gates, dual gates, and other technologies to reduce parasitic capacitance. However, due to the effects at the helicopter, these techniques do not completely minimize the capacitance Cgd between the gate and drain. Referring to FIG. 4D, a partial cross-sectional view of an exemplary embodiment of MOSFET 400D having a deep body design is shown. According to this embodiment, the body structure is formed by a trench 418 that is etched through the center of the mesa formed between the gate trenches 402 and extends the same depth as the gate trench or deeper than the gate trench. The source metal layer may include a thin refractory metal at the metal-diffusion boundary (not shown). In this example, the body structure further includes a p + body implant 419 substantially surrounding the body trench 418. The p + implant layer 419 allows further shielding and changes the potential distribution within the device, particularly in the vicinity of the gate electrode. In another embodiment shown in FIG. 4E, the body trench 418 is filled with an epitaxial material using, for example, a selective epitaxial growth (SEG) method. Alternatively, the body trench 418E is filled with doped silicon. In either of these two embodiments, instead of embedding the p + shield junction 419, a dopant is diffused into the silicon from the body filled with a later temperature treatment to form the p + shield junction 419. Many of the variations or configurations for the body structure from which the trench is made are described in more detail in commonly assigned US Pat. Nos. 6,437,399 and 6,110,799 to Huang. The entire contents of the patent are incorporated herein by reference.

図4Dおよび図4Eに示された実施例において、ゲートトレンチ402と本体トレンチ418との間の距離Lは、2つのトレンチの相対深さと同様に、ゲートとドレインとの間のヘリの容量を最小化するように制御される。SEGまたはポリ充填された本体トレンチを使用する実施例において、層419の外縁とゲートトレンチの壁との間の間隔は、本体トレンチ418の内部のポリまたはSEGのドーピング濃度を変化させることによって調整され得る。図4Fおよび図4Gは、ゲート電極の近くのデバイス内部で、ポテンシャル線の分布に関してトレンチが形成された深い本体(trenched deep body)の効果を示す図である。説明のために、図4Fおよび図4Gはシールドされたゲート構造を有するMOSFETを使用する。図4Fは、トレンチが形成された深い本体418を有する、逆バイアスがかけられたシールドゲートMOSFET400Fに対するポテンシャル線を示している。図4Gは、浅い本体構造を有する、逆バイアスがかけられたシールドゲートMOSFET400Gに対するポテンシャル線を示している。各デバイスにおける等高線は、逆バイアスがかけられたとき(すなわちブロッキングオフ状態)のデバイス内部のポテンシャル分布を示している。白線は、井戸の接合を示し、ゲート電極の隣に位置するチャンネルの下部を定義する。図から見られるように、チャンネルに与えられ且つ図4Fのトレンチが形成された深い本体MOSFET400Fに対するゲート電極を取り囲む低電位および低電界が存在する。このように電位が減少することによって、デバイスに対する全てのゲート電荷を低減する、低減されたチャンネル長が可能にする。例えば、ゲートトレンチ402の深さは、例えば0.5μm以下にされることができ且つ約0.5μm以下である間隔Lを有する本体トレンチ418より浅く作られ得る。1つの例示的な実施例において、間隔Lは0.3μm未満である。この実施例の別の利点は、ゲート−ドレインの電荷Qgdおよびミラー容量Cgdが減少することである。これらのパラメータ値が小さくなるほど、デバイスはより高速にオンオフされることができる。この改善は、ゲート電極に隣接して存在する電位を減少させることを通して実現される。この改善された構造は、オンオフされるかなり小さい電位を有し、ゲートにおける誘発された容量性電流は、かなり小さい。このことは、ゲートがより高速にオンオフすることを可能にする。   In the embodiment shown in FIGS. 4D and 4E, the distance L between the gate trench 402 and the body trench 418 minimizes the capacitance of the helicopter between the gate and drain, as well as the relative depth of the two trenches. It is controlled to become. In embodiments using SEG or poly filled body trenches, the spacing between the outer edge of layer 419 and the gate trench walls is adjusted by changing the doping concentration of poly or SEG inside body trench 418. obtain. 4F and 4G show the effect of a trenched deep body with trenches formed on the distribution of potential lines inside the device near the gate electrode. For illustration purposes, FIGS. 4F and 4G use MOSFETs with a shielded gate structure. FIG. 4F shows a potential line for a reverse-biased shield gate MOSFET 400F having a deep body 418 with a trench formed therein. FIG. 4G shows potential lines for a reverse-biased shielded gate MOSFET 400G having a shallow body structure. The contour lines in each device indicate the potential distribution inside the device when reverse bias is applied (that is, in the blocking off state). White lines indicate well junctions and define the bottom of the channel located next to the gate electrode. As can be seen, there is a low potential and a low electric field surrounding the gate electrode for the deep body MOSFET 400F applied to the channel and formed with the trench of FIG. 4F. This potential reduction allows for a reduced channel length that reduces all gate charge to the device. For example, the depth of the gate trench 402 can be made shallower than the body trench 418 having a spacing L that can be, for example, 0.5 μm or less and about 0.5 μm or less. In one exemplary embodiment, the spacing L is less than 0.3 μm. Another advantage of this embodiment is that the gate-drain charge Qgd and Miller capacitance Cgd are reduced. The smaller these parameter values, the faster the device can be turned on and off. This improvement is achieved through reducing the potential present adjacent to the gate electrode. This improved structure has a fairly small potential that is turned on and off, and the induced capacitive current at the gate is quite small. This allows the gate to turn on and off faster.

図4Dおよび図4Eに関連して説明されたような、トレンチが形成された深い本体構造は、シールドゲートまたはデュアルゲートの構造のような他の電荷調整技術と組み合わせられることができ、デバイスのスイッチング速度,オン抵抗および阻止能力をさらに改善することとなる。   Deep body structures with trenches, as described in connection with FIGS. 4D and 4E, can be combined with other charge conditioning techniques, such as shielded gate or dual gate structures, for device switching. This will further improve the speed, on-resistance and blocking capability.

上記のパワーデバイスおよびそのバリエーションによって与えられる改善は、相対的に低電圧パワーエレクトロニックアプリケーションに対する頑強なスイッチング素子を与える。本明細書で使用されている低電圧は、例えば約30V〜40V以下の電圧範囲を言及するものであるが、この範囲は、特定のアプリケーションによって変化し得る。この範囲を超える素子電圧を要求するアプリケーションは、パワートランジスタに対する一種の構造改良を必要とする。通常は、パワートランジスタのドリフト領域におけるドーピング濃度は、デバイスが阻止状態の間に、より高い電圧を維持するように低減される。しかし、より低くドープされたドリフト領域は、トランジスタのオン抵抗RDSOnの増加をもたらす。高い抵抗率は、スイッチのパワー損失を直接的に増加させる。このパワー損失は、半導体製造における最近の進歩がパワーデバイスの記録密度をさらに増加させるにつれて、より重要になってくる。 The improvements provided by the above power devices and variations thereof provide a robust switching element for relatively low voltage power electronic applications. Low voltage as used herein refers to a voltage range of, for example, about 30V to 40V or less, but this range can vary depending on the particular application. Applications that require device voltages that exceed this range require a type of structural improvement to the power transistor. Usually, the doping concentration in the drift region of the power transistor is reduced to maintain a higher voltage while the device is in the blocking state. However, the lower doped drift region results in an increase in the on-resistance R DSOn of the transistor. High resistivity directly increases the power loss of the switch. This power loss becomes more important as recent advances in semiconductor manufacturing further increase the recording density of power devices.

高い阻止電圧を維持しつつ、デバイスのオン抵抗およびパワー損失を改善するための試みがなされている。これらの試みの多くは、半導体デバイスにおいて大きく平坦な電界を形成するための、さまざまな垂直方向電荷制御の技術を使用する。このタイプの多くのデバイス構造は、Marchantによる「横方向空乏構造を有する電界効果トランジスタ」と表題が付けられた自己の米国特許第6,713,813号に開示された横方向空乏デバイスおよびKoconによる自己の米国特許第6,376,878号に開示されたデバイスを含んで提案されている。これら両方の特許の内容全体は、本願に引用して援用される。   Attempts have been made to improve device on-resistance and power loss while maintaining a high blocking voltage. Many of these attempts use a variety of vertical charge control techniques to create large and flat electric fields in semiconductor devices. Many device structures of this type are disclosed by Kocon in his own US Pat. No. 6,713,813 entitled “Field Effect Transistor with Lateral Depletion Structure” by Korchant and Kocon. It has been proposed to include the device disclosed in U.S. Pat. No. 6,376,878. The entire contents of both these patents are incorporated herein by reference.

図5Aは、プレーナゲート構造を有する、例示的なパワーMOSFET500Aの一部の部分断面図である。MOSFET500Aは、図2BのプレーナMOSFET200Bと同様の構造を有しているように見えるが、2つの重要な点において異なっている。導電材料でトレンチ520を満たす代わりに、これらのトレンチは、二酸化ケイ素のような誘電体で満たされる。このデバイスは、トレンチ520の外側の側壁に隣接して間隔をあけて配された、不連続な浮遊p型領域524を含む。図2AのデュアルトレンチMOSFETに関連して説明されたように、ソーストレンチ202における導電材料(例えばポリシリコン)は、空乏領域をドリフト領域中に深く押し込むことによって、セル降伏電圧を改善するのに役立つ。従って、電界を低減する他の手段が使用されないならば、これらのトレンチから導電材料を取り除くことによって、降伏電圧の低減がもたらされる。浮遊p型領域524は、電界を低減する働きをする。   FIG. 5A is a partial cross-sectional view of a portion of an exemplary power MOSFET 500A having a planar gate structure. MOSFET 500A appears to have a structure similar to planar MOSFET 200B of FIG. 2B, but differs in two important respects. Instead of filling the trenches 520 with conductive material, these trenches are filled with a dielectric such as silicon dioxide. The device includes discontinuous floating p-type regions 524 spaced adjacent to the outer sidewalls of trench 520. As described in connection with the dual trench MOSFET of FIG. 2A, the conductive material (eg, polysilicon) in the source trench 202 helps to improve the cell breakdown voltage by pushing the depletion region deep into the drift region. . Thus, removing other conductive material from these trenches results in a reduction in breakdown voltage if no other means of reducing the electric field is used. The floating p-type region 524 serves to reduce the electric field.

図5Aに示されたMOSFET500Aを参照すると、ドレイン電圧が大きくなるときに電界が大きくなるので、浮遊p領域524は、空間電荷領域におけるそれらの位置によって定められる対応電位を得る。これらp領域524の浮遊電位は、電界がドリフト領域中に深く広がる原因となり、トレンチ520の間のメサ領域の深さにわたってより均一な電界をもたらす。結果として、トランジスタの降伏電圧が大きくなる。トレンチにおける導電材料を絶縁材料で置き換えることについての利点は、より大きな空間電荷領域が、シリコンであり得るドリフト領域よりはむしろ絶縁体にわたって現れるということである。絶縁体の誘電率は、例えばシリコンの誘電率よりも低く且つトレンチにおける空乏領域の面積は小さくなるので、デバイスの出力容量は大きく低減される。このことは、トランジスタのスイッチング特性をさらに高める。誘電体で満たされたトレンチ520の深さは、電圧要求に依存している。トレンチが深くなるほど、阻止電圧は高くなる。垂直方向電荷制御技術の別の利点は、容量をかなり増やすことなく、トランジスタセルが熱的分離のために横方向に移動されることを可能にするということである。別の実施例においては、浮遊p領域の代わりに、p型層を、誘電体が満たされたトレンチの外側の側壁に配置し、同様な垂直方向電荷調整を行う。この実施例の簡略化された部分断面図が図5Bに示されており、ここでは、トレンチ520の外側の側壁はp型層またはライナー(liner)526で覆われている。図5Bに示される例示的な実施例においては、ゲートもトレンチが形成され、さらにデバイスの相互コンダクタンスを改善する。この技術の変形を利用する、改善されたパワーデバイスに対する他の実施例は、Sappなどによる「低出力容量を有する垂直方向電荷制御半導体デバイス」と表題が付けられた同一出願人による米国特許出願第10/200,056号(代理人整理番号18865−0097/17732−55280)により詳細に説明されている。当該特許出願の内容全体は、本願に引用して援用される。   Referring to MOSFET 500A shown in FIG. 5A, since the electric field increases as the drain voltage increases, the floating p region 524 obtains a corresponding potential determined by their position in the space charge region. These floating potentials in the p region 524 cause the electric field to spread deeply into the drift region, resulting in a more uniform electric field across the depth of the mesa region between the trenches 520. As a result, the breakdown voltage of the transistor is increased. The advantage of replacing the conductive material in the trench with an insulating material is that a larger space charge region appears across the insulator rather than the drift region, which can be silicon. The dielectric constant of the insulator is lower than that of silicon, for example, and the area of the depletion region in the trench is reduced, so that the output capacitance of the device is greatly reduced. This further enhances the switching characteristics of the transistor. The depth of the trench 520 filled with dielectric depends on the voltage requirements. The deeper the trench, the higher the blocking voltage. Another advantage of the vertical charge control technique is that it allows the transistor cells to be moved laterally for thermal isolation without significantly increasing capacitance. In another embodiment, instead of a floating p region, a p-type layer is placed on the outer sidewall of the dielectric filled trench to provide similar vertical charge adjustment. A simplified partial cross-sectional view of this embodiment is shown in FIG. 5B where the outer sidewalls of trench 520 are covered with a p-type layer or liner 526. In the exemplary embodiment shown in FIG. 5B, the gate is also formed with a trench, further improving the transconductance of the device. Another embodiment for an improved power device that utilizes a variation of this technology is US Pat. App. No. 1, filed by the same applicant entitled “Vertical Charge Control Semiconductor Device with Low Output Capacitance” by Sapp et al. 10 / 200,056 (Attorney Docket No. 18865-0097 / 17732-55280). The entire contents of the patent application are incorporated herein by reference.

上述のように、図5BのトレンチMOSFET500Bは、低減した出力容量および改善された降伏電圧を示す。しかし、アクティブトレンチ(ゲートトレンチ502)は、誘電体が満たされた電荷制御トレンチ520間に配置されているので、MOSFET500Bのチャンネル幅は、従来のトレンチMOSFET構造と同じ位には太くない。このことは、より高いオン抵抗RDSonをもたらし得る。図5Cを参照すると、第2の電荷制御トレンチを取り除く、垂直方向電荷制御を有するトレンチMOSFET500Cに対する別の実施例が示されている。MOSFET500Cにおけるトレンチ502Cは、ゲートポリ510およびドリフト領域506中に深く伸びた、誘電体で満たされた下位部を含む。1つの実施例において、トレンチ502Cは、ドリフト領域506の約半分の深さより下の深さまで伸長する。p型ライナー526Cは、示されているように、各トレンチの下位部に沿った外側の側壁を取り囲んでいる。この単一トレンチ構造は、第2の電荷制御トレンチをなくし、さらなるチャンネル幅とより低いRDSonを可能にする。その外壁上のp型ライナー526Cによって取り囲まれた、より深いトレンチ502Cの下位部は、出力容量およびゲートとドレインとの間の容量を低減するように電界の主要部をサポートする。別の実施例において、p型ライナー526Cは、トレンチ502Cの側面および底部に沿った、複数の不連続領域に作られる。他の実施例は、単一トレンチ電荷制御構造を前述のシールドゲートまたはデュアルゲートの技術と組み合わせることによって可能となり、デバイスの寄生容量をさらに低減する。 As described above, the trench MOSFET 500B of FIG. 5B exhibits reduced output capacitance and improved breakdown voltage. However, since the active trench (gate trench 502) is disposed between the charge control trenches 520 filled with a dielectric, the channel width of the MOSFET 500B is not as thick as the conventional trench MOSFET structure. This can result in a higher on-resistance R DSon . Referring to FIG. 5C, another embodiment is shown for a trench MOSFET 500C with vertical charge control that eliminates the second charge control trench. Trench 502C in MOSFET 500C includes a lower portion filled with dielectric that extends deeply into gate poly 510 and drift region 506. In one embodiment, trench 502C extends to a depth below about half the depth of drift region 506. A p-type liner 526C surrounds the outer sidewall along the lower portion of each trench, as shown. This single trench structure eliminates the second charge control trench, allowing additional channel width and lower R DSon . The lower portion of the deeper trench 502C, surrounded by a p-type liner 526C on its outer wall, supports the main part of the electric field to reduce the output capacitance and the capacitance between the gate and drain. In another embodiment, p-type liner 526C is made in a plurality of discontinuous regions along the sides and bottom of trench 502C. Other embodiments are possible by combining a single trench charge control structure with the aforementioned shielded gate or dual gate technology, further reducing the parasitic capacitance of the device.

図6を参照すると、高電圧アプリケーションに適したパワーMOSFET600の簡略断面図が示されている。当該高電圧アプリケーションは、高速スイッチングをも要求する。MOSFET600は、降伏電圧を改善するための垂直方向電荷制御をスイッチング速度を改善するシールドゲート構造と組み合わせている。図6に示されているように、シールド電極611は、ゲートトレンチ602の内部の、ゲート導電材料610とトレンチの下部との間に配置されている。電極611は、トランジスタのゲートとドレインとの間の容量を著しく低減する、下にあるドレイン領域(ドリフト領域606)からトランジスタのゲートをシールドする。その結果、その最大スイッチング周波数を増大させることとなる。ドープされたライナー626を有する、誘電体が満たされたトレンチ620は、垂直方向に大きく平坦な電界を形成するのに役立ち、デバイスの降伏電圧を改善することとなる。作動中に、p型ライナー626を有する、誘電体で満たされたトレンチ620とシールドゲート構造との組み合わせは、寄生容量を低減し且つゲート電極の端部における電界集中を分散させるドリフト領域を無い状態にするのに役立つ。このタイプのデバイスは、RF増幅器または高周波数スイッチングアプリケーションにおいて使用され得る。   Referring to FIG. 6, a simplified cross-sectional view of a power MOSFET 600 suitable for high voltage applications is shown. Such high voltage applications also require fast switching. MOSFET 600 combines vertical charge control to improve breakdown voltage with a shielded gate structure that improves switching speed. As shown in FIG. 6, the shield electrode 611 is disposed inside the gate trench 602 between the gate conductive material 610 and the lower portion of the trench. The electrode 611 shields the transistor gate from the underlying drain region (drift region 606), which significantly reduces the capacitance between the transistor gate and drain. As a result, the maximum switching frequency is increased. A dielectric-filled trench 620 with a doped liner 626 helps to create a large and flat electric field in the vertical direction and will improve the breakdown voltage of the device. In operation, the combination of a dielectric-filled trench 620 with a p-type liner 626 and a shield gate structure reduces parasitic capacitance and eliminates the drift region that disperses the electric field concentration at the edge of the gate electrode. To help. This type of device can be used in RF amplifiers or high frequency switching applications.

図7は、高電圧,高周波数のアプリケーションに適した、別のパワーMOSFETに対する別の実施例を示している。図7に示された簡略例において、MOSFET700は、降伏電圧を改善するための垂直方向電荷制御をスイッチング速度を改善するデュアルゲート構造と組み合わせている。図6に示されたデバイスと同様に、垂直方向電荷制御は、pドープされたライナー726を有する、誘電体で満たされたトレンチ720の使用によって実施される。寄生容量の低減は、第1のゲート電極G1が、第2のゲート電極G2によってドレイン(nドリフト領域706)からシールドされるデュアルゲート構造の使用によって実現される。第2のゲート電極G2は、連続的にバイアスがかけられるかまたは領域701におけるチャンネルを反転するためにスイッチング現象に先立ってバイアスがかけられても良く、デバイスが作動されるときに連続的なチャンネルを通した電流の連続フローを確実にする。   FIG. 7 shows another embodiment for another power MOSFET suitable for high voltage, high frequency applications. In the simplified example shown in FIG. 7, MOSFET 700 combines vertical charge control to improve breakdown voltage with a dual gate structure that improves switching speed. Similar to the device shown in FIG. 6, vertical charge control is implemented through the use of a dielectric-filled trench 720 having a p-doped liner 726. The reduction of the parasitic capacitance is realized by using a dual gate structure in which the first gate electrode G1 is shielded from the drain (n drift region 706) by the second gate electrode G2. The second gate electrode G2 may be continuously biased or biased prior to a switching event to reverse the channel in region 701, and the continuous channel when the device is activated. Ensure a continuous flow of current through.

他の実施例において、シールドされた垂直方向電荷制御MOSFETは、ドープされた側壁の誘電体で満たされたトレンチを使用し、集積したショットキーダイオードを提供する。図8は、本実施例によるシールドゲートMOSFET800の1つの例を示している。この例において、トレンチ802の下位部における電極811は、ゲート電極810をドリフト領域806からシールドし、ゲートとドレインとの間の寄生容量を低減する。外側の側壁にpドープされたライナーを有する、誘電体で満たされたトレンチ820は、垂直方向電荷制御を与える。ショットキーダイオード828は、幅Wのメサを形成する2つのトレンチ820Aおよび820Bの間に形成される。このショットキーダイオードの構造は、トレンチMOSFETセルアレイの至る所に散在し、MOSFETスイッチの動作特性を高める。順電圧降下は、ショットキー構造828の低バリア高さを利用することによって低減される。さらに、このダイオードは、縦型パワーMOSFETの通常のPN接合と比較して、本質的な逆再生速度の利点を有する。誘電体で満たされたトレンチ820の側壁を、例えばボロンでドーピングすることによって、燐の偏析による側壁の漏れ経路が除去される。トレンチ工程の特徴は、ショットキーダイオード828の動作を最適化するために使用され得る。1つの実施例において、例えば、幅Wは、ショットキー構造828のドリフト領域における空乏が、隣接するPN接合によって影響され且つ制御され、ショットキーダイオード828の逆電圧性能を増大させるように調整される。モノリシックに集積したトレンチMOSFETおよびショットキーダイオードの例は、Sappによる同一出願人による米国特許第6,351,018号において見出されることができる。当該特許の内容全体は、本願に引用して援用される。   In another embodiment, the shielded vertical charge control MOSFET uses a trench filled with a doped sidewall dielectric to provide an integrated Schottky diode. FIG. 8 shows an example of a shield gate MOSFET 800 according to this embodiment. In this example, the electrode 811 at the lower portion of the trench 802 shields the gate electrode 810 from the drift region 806 and reduces the parasitic capacitance between the gate and drain. A dielectric filled trench 820 with a p-doped liner on the outer sidewall provides vertical charge control. Schottky diode 828 is formed between two trenches 820A and 820B that form a mesa of width W. This Schottky diode structure is scattered throughout the trench MOSFET cell array and enhances the operating characteristics of the MOSFET switch. The forward voltage drop is reduced by utilizing the low barrier height of the Schottky structure 828. In addition, this diode has an inherent reverse regeneration speed advantage compared to the normal PN junction of a vertical power MOSFET. Doping the sidewalls of the trench 820 filled with dielectric, for example with boron, eliminates sidewall leakage paths due to phosphorous segregation. The trench process features can be used to optimize the operation of the Schottky diode 828. In one embodiment, for example, the width W is adjusted so that depletion in the drift region of the Schottky structure 828 is affected and controlled by the adjacent PN junction to increase the reverse voltage performance of the Schottky diode 828. . Examples of monolithically integrated trench MOSFETs and Schottky diodes can be found in commonly-assigned US Pat. No. 6,351,018. The entire contents of the patent are incorporated herein by reference.

誘電体が満たされたトレンチ間に形成されたショットキーダイオードは、プレーナゲート構造を有するMOSFETおよびトレンチの下部にシールド電極を有さないトレンチゲートMOSFET(厚い誘電体を含むかまたは含まない)等を含む、さまざまな異なるタイプのMOSFETで集積され得る。集積したショットキーダイオードを有するデュアルゲートトレンチMOSFETに対する例示的な実施例は、図9Aに示されている。MOSFET900Aは、ゲートトレンチ902を含み、第1のゲートG1は第2のゲートG2の上に形成され、寄生容量を低減し且つスイッチング周波数を増大させる。MOSFET900Aは、垂直方向電荷制御のために、その外側の側壁に沿って形成されたpドープされたライナー926を有する、誘電体で満たされたトレンチ920をも含み、デバイスの降伏電圧を高める。前述の多くの実施例のライナー(例えば、図6,7,8および9A)を形成する1つの方法は、プラズマドープ工程を使用する。ショットキーダイオード928Aは、示されているように2つの隣接する、誘電体で満たされたトレンチの間に形成される。他のバリエーションにおいては、モノリシックに集積したショットキーダイオードおよびトレンチMOSFETは、誘電体で満たされたトレンチを有さずに形成される。図9Bは、この実施例による例示的なデバイス900Bの断面図である。MOSFET900Bは、アクティブトレンチ902Bを含み、各々のアクティブトレンチは、ゲート電極910の下に埋め込まれた電極911を有する。ショットキーダイオード928Bは、示されているように、2つのトレンチ902Lおよび902Rの間に形成される。バイアスをかけられた電極911の電荷調整効果は、逆降伏電圧を損なうことなく、ドリフト領域のドープ濃度を大きくすることを可能にする。ドリフト領域におけるより高いドープ濃度は、この構造に対する順電圧降下を低減する。前述した、埋め込み電極を有するトレンチMOSFETなどの場合、埋め込み電極の数と同様に各トレンチの深さは変化し得る。図9Cに示される1つの変形において、トレンチ902Cは、示されるように、ソース電極に接続したショットキーセル928Cにおけるゲート電極910Sおよび1つの埋め込み電極911を有する。ショットキーダイオードのゲートは、MOSFETゲート端に選択的に接続することができる。図9D,9Eおよび9Fは、MOSFETのアクティブセルアレイ内に散在するショットキーダイオードに対する、例示的なレイアウトバリエーションを示す。図9Dおよび図9Eは、単一メサショットキーおよびダブルメサショットキーのレイアウトをそれぞれ示すが、図9Fは、ショットキー領域がMOSFETのトレンチと垂直であるレイアウトを示している。MOSFET領域に対する複数のショットキーを含む、集積したショットキーダイオードのこれらおよび他のバリエーションは、本明細書で説明されているトランジスタ構造のいずれとも組み合わせられ得る。   Schottky diodes formed between trenches filled with a dielectric include MOSFETs having a planar gate structure and trench gate MOSFETs with or without a shield electrode under the trench (with or without a thick dielectric), etc. It can be integrated with a variety of different types of MOSFETs, including: An exemplary embodiment for a dual gate trench MOSFET with an integrated Schottky diode is shown in FIG. 9A. The MOSFET 900A includes a gate trench 902, and the first gate G1 is formed on the second gate G2, reducing the parasitic capacitance and increasing the switching frequency. MOSFET 900A also includes a dielectric-filled trench 920 having a p-doped liner 926 formed along its outer sidewall for vertical charge control to increase the breakdown voltage of the device. One method of forming the liners of many of the foregoing embodiments (eg, FIGS. 6, 7, 8 and 9A) uses a plasma doping process. Schottky diode 928A is formed between two adjacent dielectric filled trenches as shown. In other variations, monolithically integrated Schottky diodes and trench MOSFETs are formed without having a trench filled with a dielectric. FIG. 9B is a cross-sectional view of an exemplary device 900B according to this embodiment. MOSFET 900B includes active trenches 902B, each active trench having an electrode 911 embedded under gate electrode 910. Schottky diode 928B is formed between two trenches 902L and 902R as shown. The charge adjustment effect of the biased electrode 911 makes it possible to increase the doping concentration of the drift region without compromising the reverse breakdown voltage. A higher doping concentration in the drift region reduces the forward voltage drop for this structure. In the case of the above-described trench MOSFET having a buried electrode, the depth of each trench can be changed in the same manner as the number of buried electrodes. In one variation shown in FIG. 9C, trench 902C has gate electrode 910S and one buried electrode 911 in Schottky cell 928C connected to the source electrode, as shown. The gate of the Schottky diode can be selectively connected to the MOSFET gate end. Figures 9D, 9E and 9F show exemplary layout variations for Schottky diodes interspersed within the active cell array of MOSFETs. 9D and 9E show the layout of the single mesa Schottky and double mesa Schottky, respectively, while FIG. 9F shows the layout where the Schottky region is perpendicular to the MOSFET trench. These and other variations of integrated Schottky diodes, including multiple Schottky to MOSFET regions, can be combined with any of the transistor structures described herein.

他の実施例において、パワーデバイスの電圧阻止能力は、誘電体で縁取られたトレンチ内部に埋め込まれ且つデバイスドリフト領域における電流フローに平行に配置された、1または2以上のダイオード構造を連続的に使用することによって高められる。図10は、この実施例による例示的なトレンチMOSFET1000の簡略断面図を与える。ダイオードのトレンチ1020は、ゲートトレンチ1002の両側に配置され、ドリフト領域1006中に伸長している。ダイオードトレンチ1020は、トレンチ内部に1または2以上のPN接合を形成する、反対の導電性を有する領域1023および1025から構成される1または2以上のダイオード構造を含む。1つの実施例において、トレンチ1020は、ドリフト領域と反対の極性を有する1つの領域を有し、単一のPN接合がドリフト領域との界面に形成されるようになる。P型およびn型にドープされたポリシリコンまたはシリコンが、領域1023および1025を形成するためにそれぞれ使用され得る。単価ケイ素,ガリウムヒ素およびシリコンゲルマニウム等のような他のタイプの材料も、領域1023および1025を形成するために使用され得る。トレンチ内部の側壁に沿って伸長した、薄い誘電体層1021は、トレンチにおけるダイオードをドリフト領域から絶縁する。示されるように、トレンチ1020の下部に沿った誘電体層が存在しないので、下部領域1027は下にある基板と電気的接続をすることが可能となる。1つの実施例において、ゲート酸化物1008の設計および製造に影響を与える同様の考慮が誘電体層1021を設計し且つ形成することに適用される。例えば、誘電体層1021の厚さは、電圧のような要素によって定められ、ダイオードトレンチにおける電界がドリフト領域において誘発されるように(すなわち、誘電体層を通して結合する範囲)維持され且つ伸長することが必要とされる。   In other embodiments, the power device's voltage blocking capability is achieved by continuously applying one or more diode structures embedded within a dielectric-edged trench and disposed parallel to the current flow in the device drift region. Increased by using. FIG. 10 provides a simplified cross-sectional view of an exemplary trench MOSFET 1000 according to this embodiment. Diode trenches 1020 are disposed on either side of the gate trench 1002 and extend into the drift region 1006. The diode trench 1020 includes one or more diode structures comprised of regions 1023 and 1025 of opposite conductivity that form one or more PN junctions within the trench. In one embodiment, the trench 1020 has one region that has the opposite polarity to the drift region such that a single PN junction is formed at the interface with the drift region. P-type and n-type doped polysilicon or silicon can be used to form regions 1023 and 1025, respectively. Other types of materials such as unitary silicon, gallium arsenide, silicon germanium, etc. may also be used to form regions 1023 and 1025. A thin dielectric layer 1021 extending along the sidewalls inside the trench insulates the diode in the trench from the drift region. As shown, since there is no dielectric layer along the bottom of the trench 1020, the lower region 1027 can be electrically connected to the underlying substrate. In one embodiment, similar considerations affecting the design and manufacture of gate oxide 1008 apply to designing and forming dielectric layer 1021. For example, the thickness of the dielectric layer 1021 is determined by factors such as voltage, and is maintained and stretched so that the electric field in the diode trench is induced in the drift region (ie, the extent to couple through the dielectric layer). Is needed.

作動中、MOSFET1000がその阻止状態にバイアスがかけられているときに、ダイオードトレンチ1020の内部のPN接合は、各ダイオード接合で生じるピーク電界で逆バイアスがかけられる。誘電体層1021を通して、ダイオードトレンチにおける電界は、ドリフト領域1006における対応する電界を有する。誘発された電界は、アップスイングスパイクおよびドリフト領域における電界曲線の一般的な増大という形でドリフト領域において明示される。電界におけるこの増大は、より高い降伏電圧をもたらす電界曲線の下でのより大きい面積をもたらす。この実施例におけるバリエーションは、Koconなどによる「ドリフト領域の高阻止低順電圧降下半導体構造」と表題が付けられた、同一出願人による米国特許出願第10/288,982号(代理人整理番号18865−117/17732−66560)においてより詳細に説明されている。当該特許出願のないよう全体は、本願に引用して援用される。   In operation, when MOSFET 1000 is biased in its blocking state, the PN junction inside diode trench 1020 is reverse biased with a peak electric field that occurs at each diode junction. Through the dielectric layer 1021, the electric field in the diode trench has a corresponding electric field in the drift region 1006. The induced electric field is manifested in the drift region in the form of an upswing spike and a general increase in the electric field curve in the drift region. This increase in the electric field results in a larger area under the electric field curve that results in a higher breakdown voltage. A variation in this example is US Pat. Application Serial No. 10 / 288,982 (Attorney Docket No. 18865) entitled “Drift Region High Blocking Low Forward Voltage Drop Semiconductor Structure” by Kocon et al. -117 / 17732-66560). The entirety of this patent application is incorporated herein by reference.

電荷調整のためにトレンチが形成されたダイオードを、シールドゲートまたはデュアルゲートの構造のような寄生容量を低減する技術と組み合わせたパワーデバイスに対する他の実施例が可能である。図11は、かかる実施例の1つによるMOSFET1100の1つの実施例を示している。MOSFET1100は、アクティブトレンチ1102の内側のゲート電極1110の下にシールド電極1111を使用し、例えば図3AにおけるMOSFET300Aに関連して前述されたトランジスタに対する、ゲートとドレインとの間の容量Cgdを低減する。異なる数のPN接合が、MOSFET1000と比較すると、MOSFET1100において使用される。図12は、デュアルゲートの技術をトレンチダイオード構造と組み合わせたMOSFET1200の断面図である。MOSFET1200におけるアクティブトレンチ1202は、第1のゲートG1および第2のゲートG2を含み、図4Bに関連して説明されたデュアルゲートMOSFETにおけるアクティブトレンチと同じ態様で動作する。ダイオードトレンチ1220は、電荷調整を与え、デュアルゲートアクティブトレンチ構造がデバイスのスイッチング速度を改善しつつ、デバイスの阻止電圧を増大させる。   Other embodiments are possible for power devices that combine diodes with trenches for charge regulation with techniques to reduce parasitic capacitance, such as shielded gate or dual gate structures. FIG. 11 illustrates one embodiment of a MOSFET 1100 according to one such embodiment. The MOSFET 1100 uses a shield electrode 1111 below the gate electrode 1110 inside the active trench 1102 to reduce the capacitance Cgd between the gate and drain, for example for the transistor described above in connection with MOSFET 300A in FIG. 3A. A different number of PN junctions are used in MOSFET 1100 when compared to MOSFET 1000. FIG. 12 is a cross-sectional view of MOSFET 1200 combining dual gate technology with a trench diode structure. Active trench 1202 in MOSFET 1200 includes a first gate G1 and a second gate G2, and operates in the same manner as the active trench in the dual gate MOSFET described in connection with FIG. 4B. The diode trench 1220 provides charge regulation and increases the blocking voltage of the device while the dual gate active trench structure improves the switching speed of the device.

さらに他の実施例は、図13に示されるようなプレーナゲートMOSFET1300において、トレンチが形成されたダイオードの電荷調整技術を集積ショットキーダイオードと組み合わせる。ショットキーダイオード1328を、図8および図9の実施例に関連して説明されたMOSFETと組み合わせることによって、同様の利点が得られ得る。この実施例において、プレーナゲート構造は説明のために示されており、集積ショットキーダイオードおよびトレンチダイオードの構造の組み合わせは、トレンチゲート,デュアルゲートおよびシールドゲートを含む他のタイプのゲート構造のいずれかを有するMOSFETにおいて使用され得る。結果として得られる実施例のいずれかは、トレンチが形成される本体の技術と組み合わせることもでき、図4Dおよび図4EのMOSFET400Dまたは400Eに関連して説明されるように、フリンジ寄生容量(fringing parasitic capacitance)をさらに最小化することとなる。他のバリエーションおよび同等物が可能である。例えば、ダイオードトレンチの内側の異極性伝導領域の数は、ダイオードトレンチの深さと同様に変化し得る。異極性伝導領域の極性は、MOSFETの極性と同様に反転し得る。また、PN領域のいずれか(923、925または1023、1025など)は、例えば、3次元に沿ったそれぞれの領域を、電気的接触がそれらになされ得るシリコン表面まで伸長することによって、必要に応じて独立してバイアスがかけられ得る。さらに、複数のダイオードトレンチが、デバイスのサイズおよびアプリケーションの電圧要求によって要求されるときに使用され得る。ダイオードトレンチの間隔および配置は、さまざまなストライプまたは多孔性の設計で実施され得る。   Yet another embodiment combines a trenched diode charge regulation technique with an integrated Schottky diode in a planar gate MOSFET 1300 as shown in FIG. Similar advantages can be obtained by combining the Schottky diode 1328 with the MOSFETs described in connection with the embodiments of FIGS. In this embodiment, the planar gate structure is shown for illustration, and the combination of the integrated Schottky diode and trench diode structure is any of other types of gate structures including trench gates, dual gates and shield gates. Can be used in MOSFETs having Any of the resulting embodiments can also be combined with the technique of the body in which the trench is formed, and as described in connection with MOSFET 400D or 400E of FIGS. 4D and 4E, fringing parasitic capacitance. capacitance) is further minimized. Other variations and equivalents are possible. For example, the number of heteropolar conducting regions inside the diode trench can vary as well as the depth of the diode trench. The polarity of the heteropolar conduction region can be reversed as is the case with the MOSFET. Also, any of the PN regions (such as 923, 925 or 1023, 1025) can be made as necessary by extending each region along the three dimensions to a silicon surface where electrical contact can be made to them, for example. Can be independently biased. Further, multiple diode trenches can be used when required by device size and application voltage requirements. The spacing and placement of the diode trenches can be implemented with various stripe or porous designs.

別の実施例においては、より低い順電圧損失およびより高い阻止能力のためのさまざまな電荷調整技術を利用する、蓄積モードのトランジスタの類が、与えられている。通常の蓄積モードトランジスタにおいては、ブロッキング接合はなく、デバイスは、ゲート端に隣接したチャンネル領域を少しばかり反転することによってオフにされる。トランジスタが、ゲートバイアスを印加することによってオンにされると、反転層よりむしろ蓄積層がチャンネル領域に形成される。反転チャンネルの形成がないので、チャンネル抵抗は最小化される。さらに、損失を最小化する蓄積モードトランジスタにおいて、PN本体のダイオードは存在しない。当該損失は、同期整流器のような、特定の回路アプリケーションにおいて起こる。従来の蓄積モードデバイスの欠点は、デバイスがブロッキングモードにあるときに、ドリフト領域が逆バイアス電圧を支持するように僅かにドープされなければならない、ということである。僅かにドープされたドリフト領域は、オン抵抗がより高くなるということである。本明細書で説明されている実施例は、蓄積モードデバイスにおけるさまざまな電荷調整技術を利用することによって、この限界を打開している。   In another embodiment, a class of transistors in accumulation mode is provided that utilizes various charge adjustment techniques for lower forward voltage loss and higher blocking capability. In a normal accumulation mode transistor, there is no blocking junction and the device is turned off by slightly inverting the channel region adjacent to the gate edge. When the transistor is turned on by applying a gate bias, a storage layer is formed in the channel region rather than an inversion layer. Since there is no inversion channel formation, the channel resistance is minimized. Further, there is no PN body diode in the accumulation mode transistor that minimizes loss. This loss occurs in certain circuit applications, such as synchronous rectifiers. The disadvantage of conventional accumulation mode devices is that when the device is in blocking mode, the drift region must be slightly doped to support the reverse bias voltage. A slightly doped drift region means higher on-resistance. The embodiments described herein overcome this limitation by utilizing various charge adjustment techniques in accumulation mode devices.

図14を参照すると、電流フローに平行に配置された交互の伝導領域を有する、例示的な蓄積モードトランジスタ1400の簡略化された実施例が示されている。この実施例において、トランジスタ1400は、トレンチ1402の内側に形成されたゲート端を有するnチャンネルトランジスタ,トレンチ間に形成されたn型チャンネル領域1412,異極性である円柱状のn型部1403およびp型部1405を有するドリフト領域1406およびn型ドレイン領域1414である。エンハンスメントモードトランジスタと違って、蓄積モードトランジスタ1400は、チャンネルが形成される内側にブロッキング(この実施例においてはp型)井戸または本体領域を含まない。その代わりに、蓄積層が領域1412に形成されるときに、導電チャンネルが形成される。トランジスタ1400は、通常、領域1412のドープ濃度およびゲート電極のドープタイプによってオンまたはオフする。n型領域1412が完全に使い果たされ且つ僅かに反転されるとオンされる。異極性領域1403および1405におけるドープ濃度は、電荷拡散を最大化するように調整される。当該電荷拡散は、トランジスタがより高い電圧をサポートすることを可能にする。電流フローに平行な円柱状の異極性領域を使用することによって、領域1412および1406の間に形成された接合部から離れると電界分布が直線的に減少することなく、電界分布が平坦になる。この構造の電荷拡散効果は、トランジスタのオン抵抗を低減する、高ドープされたドリフト領域の使用を可能にする。さまざまな領域のドープ濃度は変化し得る。例えば、n型領域1412および1403は、同じまたは異なるドープ濃度を有し得る。改良されたp型トランジスタが、図4に示されたデバイスのさまざまな領域の極性を反転することによって得られ得る、ということを当業者は認識する。ドリフト領域の内部の円柱状の異極性領域の他のバリエーションは、以下にさらに説明される超高電圧デバイスに関連してより詳細に説明される。   Referring to FIG. 14, a simplified embodiment of an exemplary accumulation mode transistor 1400 is shown having alternating conduction regions arranged parallel to current flow. In this embodiment, the transistor 1400 includes an n-channel transistor having a gate end formed inside a trench 1402, an n-type channel region 1412 formed between the trenches, a columnar n-type portion 1403 having different polarity and p A drift region 1406 having a mold portion 1405 and an n-type drain region 1414. Unlike enhancement mode transistors, accumulation mode transistor 1400 does not include a blocking (p-type in this embodiment) well or body region inside the channel. Instead, a conductive channel is formed when the storage layer is formed in region 1412. Transistor 1400 is typically turned on or off depending on the doping concentration of region 1412 and the doping type of the gate electrode. Turns on when n-type region 1412 is completely used up and slightly inverted. The doping concentration in the heteropolar regions 1403 and 1405 is adjusted to maximize charge diffusion. Such charge diffusion allows the transistor to support higher voltages. By using a cylindrical heteropolar region parallel to the current flow, the electric field distribution is flattened without decreasing linearly away from the junction formed between regions 1412 and 1406. The charge diffusion effect of this structure allows the use of a highly doped drift region that reduces the on-resistance of the transistor. The doping concentration of various regions can vary. For example, n-type regions 1412 and 1403 can have the same or different doping concentrations. Those skilled in the art will recognize that improved p-type transistors can be obtained by reversing the polarity of the various regions of the device shown in FIG. Other variations of the cylindrical heteropolar region within the drift region are described in more detail in connection with the ultra high voltage device described further below.

図15は、電荷拡散の目的のためのトレンチ電極を有する、別の蓄積モードデバイス1500の略図である。1512,1506および1514の全ての領域は、同じ導電型であり、この実施例においてはn型である。オフデバイスに関しては、ゲートのポリシリコンはp型で作製される。領域1512のドープ濃度は、バイアスがかけられない状態下でほぼ空の状態にされたブロッキング接合を形成するように調整される。各トレンチ1502の内部には、1または2以上の埋め込み電極1511がゲート電極1510の下に形成される。当該埋め込み電極の全ては、誘電体1508で取り囲まれている。図3AのエンハンスメントモードMOSFET300Aに関連して説明されているように、埋め込み電極1511は、フィールドプレートとして機能し、必要に応じてそれらの電荷拡散機能を最適化する電位にバイアスがかけられ得る。電荷拡散は、独立的に埋め込み電極1511にバイアスをかけることによって制御され得るので、最大の電界は大きく増大され得る。MOSFET300Aにおいて使用された埋め込み電極と同様に、構造の異なるバリエーションが可能である。例えば、トレンチ1502の深さおよび埋め込み電極1511のサイズおよび数は、アプリケーションによって変化し得る。図3BにおけるMOSFET300Bのトレンチ構造に対して示されたものと同様の態様で、電荷拡散電極は、トランジスタのゲート電極を収容するアクティブトレンチから分離したトレンチの内部に埋め込まれ得る。かかる実施例は、図16に示されている。図16に示された実施例において、n型領域1612は、状況に応じて付加され得る、より高濃度にドープされたn+ソース領域1603を含む。高濃度にドープされたソース領域1603は、示されているようにn型領域1612の上端部に沿って伸長するかまたはn型領域1612の上端に沿ったトレンチ壁に隣接した2つの領域として形成され得る(この図においては図示されていない)。幾つかの実施例において、トランジスタが適切に切られることを確実にするために、n+領域1603を含むことによって、n型領域1606のドープ濃度を低くすることが必要となる。この任意の高濃度にドープされたソース領域は、本明細書に説明された蓄積トランジスタのいずれにも同じ態様で使用され得る。   FIG. 15 is a schematic diagram of another accumulation mode device 1500 having a trench electrode for charge diffusion purposes. All regions 1512, 1506 and 1514 are of the same conductivity type, and in this example are n-type. For off-devices, the gate polysilicon is made p-type. The doping concentration of region 1512 is adjusted to form a blocking junction that is substantially emptied under an unbiased condition. In each trench 1502, one or more embedded electrodes 1511 are formed under the gate electrode 1510. All of the embedded electrodes are surrounded by a dielectric 1508. As described in connection with enhancement mode MOSFET 300A of FIG. 3A, buried electrodes 1511 function as field plates and can be biased to a potential that optimizes their charge diffusion function as needed. Since charge diffusion can be controlled independently by biasing the buried electrode 1511, the maximum electric field can be greatly increased. Similar to the buried electrodes used in MOSFET 300A, variations in structure are possible. For example, the depth of the trench 1502 and the size and number of buried electrodes 1511 can vary depending on the application. In a manner similar to that shown for the trench structure of MOSFET 300B in FIG. 3B, the charge diffusion electrode may be embedded inside a trench that is separate from the active trench that houses the gate electrode of the transistor. Such an embodiment is illustrated in FIG. In the example shown in FIG. 16, n-type region 1612 includes a more heavily doped n + source region 1603 that can be added as the situation demands. The heavily doped source region 1603 is formed as two regions extending along the upper end of the n-type region 1612 or adjacent to the trench wall along the upper end of the n-type region 1612 as shown. (Not shown in this figure). In some embodiments, it may be necessary to reduce the doping concentration of n-type region 1606 by including n + region 1603 to ensure that the transistor is properly turned off. This optional heavily doped source region can be used in the same manner for any of the storage transistors described herein.

改善された蓄積モードトランジスタに対する別の実施例は、異極性の外部ライナーを有する、誘電体で満たされたトレンチを使用する。図17は、本実施例による蓄積トランジスタ1700の簡略断面図である。誘電体で満たされたトレンチ1720は、シリコン井戸の表面からドリフト領域1706中へと下方へ伸長している。トレンチ1720は、例えば二酸化ケイ素のような誘電体で満たされている。この例示的な実施例において、トランジスタ1700は、トレンチが形成されたゲート構造を有するnチャンネルトランジスタである。p型領域1726は、示されているように、誘電体が満たされたトレンチ1720の外壁を覆う。図5A,図5Bおよび図5Cのそれぞれに関連して説明されたエンハンスメントモードトランジスタ500A,500Bおよび500Cと同様に、トレンチ1720はトランジスタの出力容量を低減するが、p型ライナー1726は、ドリフト領域において電荷調整を与え、トランジスタの阻止能力を増大させる。図18に示される別の実施例においては、正反対にドープされたライナー1826Nおよび1826Pが、誘電体が満たされたトレンチ1820の反対側に近接して形成される。つまり、誘電体が満たされたトレンチ1820は、一方の外側壁に沿って伸長するp型ライナー1826Pと、同じトレンチのもう一方の外側壁に沿って伸長するn型ライナー1826Nと、を有する。対応するエンハンスメントモードトランジスタに関連して説明されるように、誘電体で満たされたトレンチを有する蓄積トランジスタのこの組み合わせについての他のバリエーションが可能である。これらは、例えば、図5Aに示されるデバイスのようなプレーナ(トレンチとは対照的に)ゲート構造およびp型ライナーの代わりに浮遊p型領域を有する蓄積トランジスタ,図5Bに示されるデバイスのような、外側壁のみを覆い、トレンチ1726の底部を覆わないp型ライナーを有する蓄積トランジスタおよびとりわけ図5Cに示されるデバイスのような、トレンチの下部を覆うp型ライナーを有する単一トレンチ構造を有する蓄積トランジスタを含む。   Another embodiment for an improved accumulation mode transistor uses a trench filled with a dielectric with a different polarity outer liner. FIG. 17 is a simplified cross-sectional view of a storage transistor 1700 according to this embodiment. A trench 1720 filled with dielectric extends downward from the surface of the silicon well into the drift region 1706. The trench 1720 is filled with a dielectric such as silicon dioxide. In this exemplary embodiment, transistor 1700 is an n-channel transistor having a gate structure with a trench formed. The p-type region 1726 covers the outer wall of the trench 1720 filled with dielectric, as shown. Similar to enhancement mode transistors 500A, 500B, and 500C described in connection with FIGS. 5A, 5B, and 5C, respectively, trench 1720 reduces the output capacitance of the transistor, while p-type liner 1726 does not operate in the drift region. Provides charge regulation and increases the blocking capability of the transistor. In another embodiment shown in FIG. 18, diametrically doped liners 1826N and 1826P are formed proximate to the opposite side of the dielectric filled trench 1820. That is, the dielectric filled trench 1820 has a p-type liner 1826P extending along one outer wall and an n-type liner 1826N extending along the other outer wall of the same trench. Other variations on this combination of storage transistors having trenches filled with dielectric are possible, as will be described in connection with the corresponding enhancement mode transistors. These include, for example, a storage transistor having a planar (as opposed to trench) gate structure and floating p-type region instead of a p-type liner, such as the device shown in FIG. 5A, and the device shown in FIG. 5B. A storage transistor with a p-type liner covering the bottom of the trench, such as a storage transistor having a p-type liner that covers only the outer wall and does not cover the bottom of the trench 1726, and especially the device shown in FIG. 5C. Including transistors.

別の実施例において、蓄積モードトランジスタは、電荷調整の目的のためのトレンチの内部に連続して形成された1または2以上のダイオードを使用する。この実施例による例示的な蓄積モードトランジスタ1900の簡略断面図は、図19に示されている。ダイオードトレンチ1920は、ゲートトレンチ1902の両側に配置され、ドリフト領域に伸長している。ダイオードトレンチ1920は、トレンチ内部に1または2以上のPN接合を形成する、正反対の導電型領域1923および1925から構成される1または2以上のダイオード構造を有する。p型およびn型にドープされたポリシリコンまたはシリコンが、領域1923および1925を形成するために使用される。トレンチ内側壁に沿って伸長する、薄い誘電体層は、トレンチにおけるダイオードをドリフト領域から絶縁する。示されているように、トレンチ1920の底部に沿った誘電体層が存在しないので、底部領域1927が下にある基板と電気接触をすることが可能になる。図10,図11,図12,図13およびそれらのバリエーションに示される、対応するエンハンスメントモードトランジスタに関連して説明されるように、トレンチダイオードを有する蓄積トランジスタのこの組み合わせについての他のバリエーションが可能である。   In another embodiment, the accumulation mode transistor uses one or more diodes formed sequentially within the trench for charge regulation purposes. A simplified cross-sectional view of an exemplary accumulation mode transistor 1900 according to this embodiment is shown in FIG. The diode trench 1920 is disposed on both sides of the gate trench 1902 and extends to the drift region. The diode trench 1920 has one or more diode structures composed of diametrically opposite conductivity type regions 1923 and 1925 that form one or more PN junctions within the trench. p-type and n-type doped polysilicon or silicon is used to form regions 1923 and 1925. A thin dielectric layer extending along the trench inner wall insulates the diode in the trench from the drift region. As shown, there is no dielectric layer along the bottom of the trench 1920, allowing the bottom region 1927 to make electrical contact with the underlying substrate. Other variations on this combination of storage transistors with trench diodes are possible, as described in connection with the corresponding enhancement mode transistors shown in FIGS. 10, 11, 12, 13 and variations thereof. It is.

上述の蓄積モードトランジスタのいずれも、最上部(ソース)領域において、高濃度にドープされた異極性領域を使用し得る。図20は、例示的な蓄積モードトランジスタ2000の簡略化された3次元図であり、他のバリエーションと組み合わせてこの機能を示す。この実施例において、蓄積モードトランジスタ2000における電荷調整ダイオードは、ゲートと同じトレンチの内部に形成される。トレンチ2002は、ゲート電極2010を含み、その下にはn型2023およびp型2025のシリコンまたはポリシリコンの層がPN接合を形成している。薄い誘電体層2008は、ダイオード構造をドリフト領域と同様にゲート端2002から分離する。高濃度にドープされたp+領域2118は、示されているように、ソース領域2012においてトレンチ間に形成されたメサの長さに沿った間隔に形成される。高濃度にドープされたp+領域2118は、n−領域2012の面積を減少させ、デバイスのリークを低減する。p+領域2118は、アバランシェにおける正孔電流フローを改善するp+接触を可能にし、デバイスの信頼性も改善する。例示的な縦型MOSゲート蓄積トランジスタのバリエーションは、この類のデバイスのさまざまな機能および利点を示すために検討されている。当業者は、これらが横型MOSゲートトランジスタ,ダイオード,バイポーラトランジスタなどを含むほかのタイプのデバイスにおいて行われる、ということを認識する。電荷拡散電極は、ゲートと同じトレンチの内部または別のトレンチの内部のどちらにも形成され得る。上述のさまざまな例示的な蓄積モードトランジスタは、ドリフト領域で終わっているが、ドレインに接続した、より高濃度にドープされた基板で終わることもできる。さまざまなトランジスタは、六角形または四角形のトランジスタセルを含むストライプまたは細胞構造において形成され得る。他の実施例とともに説明される他のバリエーションおよび組み合わせが可能であり、その多くは、既に参照された米国特許出願第60/506,194号および米国特許出願第60/588,845においてさらに説明されている。これら両方の特許出願の内容全体は、本明細書に引用して援用される。   Any of the above-described accumulation mode transistors may use a heavily doped heteropolar region in the top (source) region. FIG. 20 is a simplified three-dimensional view of an exemplary accumulation mode transistor 2000 that illustrates this functionality in combination with other variations. In this embodiment, the charge adjustment diode in the accumulation mode transistor 2000 is formed in the same trench as the gate. Trench 2002 includes a gate electrode 2010, below which n-type 2023 and p-type 2025 silicon or polysilicon layers form a PN junction. A thin dielectric layer 2008 separates the diode structure from the gate end 2002 as well as the drift region. The heavily doped p + regions 2118 are formed at intervals along the length of the mesas formed between the trenches in the source region 2012, as shown. The heavily doped p + region 2118 reduces the area of the n− region 2012 and reduces device leakage. The p + region 2118 enables a p + contact that improves hole current flow in the avalanche and also improves device reliability. Variations of exemplary vertical MOS gate storage transistors are being considered to demonstrate the various functions and benefits of this type of device. Those skilled in the art will recognize that these are done in other types of devices including lateral MOS gate transistors, diodes, bipolar transistors, and the like. The charge diffusion electrode can be formed either inside the same trench as the gate or inside another trench. The various exemplary accumulation mode transistors described above end with a drift region, but can also end with a more heavily doped substrate connected to the drain. The various transistors can be formed in a stripe or cell structure comprising hexagonal or square transistor cells. Other variations and combinations described with other embodiments are possible, many of which are further described in previously referenced US patent application 60 / 506,194 and US patent application 60 / 588,845. ing. The entire contents of both of these patent applications are incorporated herein by reference.

高電圧アプリケーションに対して設計された、他の類のパワースイッチングデバイスは、基板と井戸との間のエピタキシャル領域において、pドープおよびnドープされたシリコンが交互になった垂直部を使用する。図21を参照すると、このタイプの構造を使用するMOSFET2100の1つの例が示されている。MOSFET2100において、電圧維持またはブロッキング領域として言及されることもある領域2102は、互い違いになったn型部分2104およびp型部分2106を含む。この構造の効果は、電圧がデバイスに印加されると、空乏領域が2104および2106の部分の各側面に水平に広がるということである。ブロッキング層2102の垂直方向の全厚さは、水平電界がアバランシェ降伏を引き起こすのに十分高くなる前に涸渇する。その理由は、各垂直部分2104および2106における電荷の正味数量が、降伏電界を引き起こすために必要とされるよりも少ないからである。その領域が水平方向に完全に涸渇された後、電界は約20〜30V/μmのアバランシェ電界に達するまで垂直方向に形成し続ける。このことは、デバイスの電圧阻止能力をかなり高め、デバイスの電圧範囲を400V以上に広げることとなる。このタイプのスーパー接合(super junction)デバイスについての異なるバリエーションは、Nielsonによる自己の米国特許第6,081,009号および米国特許第6,066,878号により詳細に説明されている。これら米国特許出願の内容全体は、本願に引用して援用される。   Another type of power switching device, designed for high voltage applications, uses vertical portions of alternating p-doped and n-doped silicon in the epitaxial region between the substrate and the well. Referring to FIG. 21, one example of a MOSFET 2100 that uses this type of structure is shown. In MOSFET 2100, region 2102, sometimes referred to as a voltage maintaining or blocking region, includes staggered n-type portion 2104 and p-type portion 2106. The effect of this structure is that when a voltage is applied to the device, the depletion region extends horizontally on each side of the 2104 and 2106 portions. The total vertical thickness of blocking layer 2102 is depleted before the horizontal electric field is high enough to cause avalanche breakdown. The reason is that the net quantity of charge in each vertical portion 2104 and 2106 is less than needed to cause a breakdown field. After the region is completely depleted in the horizontal direction, the electric field continues to form in the vertical direction until an avalanche field of about 20-30 V / μm is reached. This significantly increases the voltage blocking capability of the device and extends the voltage range of the device to over 400V. Different variations on this type of super junction device are described in more detail in Nielson's own US Pat. No. 6,081,009 and US Pat. No. 6,066,878. The entire contents of these US patent applications are incorporated herein by reference.

スーパー接合MOSFET2100のバリエーションは、n型ブロッキング領域において浮遊p型アイランドを使用する。ピラーアプローチ(pillar approach)とは対照的に、浮遊p型アイランドの使用は、RDSonを低減する電荷調整層の厚さを減じることを可能にする。1つの実施例において、p型アイランドは均一に間隔をあける代わりに、臨界電界近辺に電界を維持するように間隔をあけられている。図22は、この実施例によるデバイスの1つの例を示すMOSFET2200の簡略断面図である。この例において、より深い位置にある浮遊領域2226は、その上にある浮遊領域からさらに遠くに間隔をあけられている。つまり、距離L3は距離L2よりも大きく、距離L2は距離L1よりも大きい。この態様で浮遊接合間の距離を操作することによって、少数キャリアはより粒状で導入される。これらのキャリアのソースが粒状になればなるほどRDSonは低くなり、より高い降伏電圧が引き起こされ得る。多くのバリエーションが可能である、ということが当業者によって理解される。例えば、垂直方向における浮遊領域2226の数は、示されているように4つに限定されるものではなく、最適数は変化し得る。また、各浮遊領域2226におけるドープ濃度は変化し得る。例えば、1つの実施例においては、各浮遊領域2226におけるドープ濃度は、当該領域が基板2114に近付くにつれて徐々に減少する。 A variation of superjunction MOSFET 2100 uses floating p-type islands in the n-type blocking region. In contrast to the pillar approach, the use of floating p-type islands makes it possible to reduce the thickness of the charge adjustment layer that reduces R DSon . In one embodiment, the p-type islands are spaced to maintain an electric field near the critical electric field instead of being uniformly spaced. FIG. 22 is a simplified cross-sectional view of MOSFET 2200 illustrating one example of a device according to this embodiment. In this example, the deeper floating region 2226 is spaced further away from the floating region above it. That is, the distance L3 is greater than the distance L2, and the distance L2 is greater than the distance L1. By manipulating the distance between the floating junctions in this manner, minority carriers are introduced in a more granular manner. The more granular the source of these carriers, the lower the R DSon and the higher breakdown voltage can be caused. It will be appreciated by those skilled in the art that many variations are possible. For example, the number of floating regions 2226 in the vertical direction is not limited to four as shown, and the optimal number may vary. Also, the doping concentration in each floating region 2226 can vary. For example, in one embodiment, the doping concentration in each floating region 2226 gradually decreases as the region approaches the substrate 2114.

さらに、低電圧および中間の電圧のデバイスに関連して説明されるような、シールドゲートおよびデュアルゲートの構造を含む、スイッチング速度を高めるために寄生容量を低減する技術の多くは、図21および図22に説明された高電圧デバイスおよびそのバリエーションと組み合わせることができる。図23は、スーパー接合構造のバリエーションをデュアルゲート構造と組み合わせた高電圧MOSFET2300の簡略断面図である。MOSFET2300は、例えば、図4Bに示されたデュアルゲートトランジスタと同様に、ゲート端G1おおよびG2から構成されるプレーナデュアルゲート構造を有している。胃極性(この例においてはp型)領域2326は、p−井戸2308の下のn型ドリフト領域2306に垂直方向に配置されている。p型領域2326のサイズおよび間隔は、この例において変化し、その結果、p−井戸2308により近接して配置された領域2326は互いに接触しているが、さらに下方に配置された領域2326は浮遊しており、示されるようにサイズにおいても小さい。図24は、スーパー接合技術をシールドゲート構造と組み合わせた高電圧MOSFETに対するさらに別の実施例を示している。MOSFET2400は、ゲート電極2410を有するトレンチゲートデバイスである。例えば、図3AにおけるMOSFET300Aと同様に、このゲート電極2410は、ドリフト領域2406からシールド電極2411でシールドされている。MOSFET2400は、ドリフト領域2406において、電流フローに平行に配置された異極性浮遊領域242も含む。
終端構造
上記のさまざまなタイプの個別デバイスは、チップ端の空乏領域の円柱状または球状の形状によって制限された降伏電圧を有する。この円柱状または球状の降伏電圧は、通常、デバイスのアクティブエリア(active area)における平行面降伏電圧BVppよりもかなり低いので、デバイスの端部は、アクティブエリアの降伏電圧に近い、デバイスに対する降伏電圧を実現するように終端処理される必要がある。異なる技術は、端部の末端上に均一に電界および電圧を拡散するように開発されており、BVppに近い降伏電圧を実現している。これらは、フィールドプレート,フィールドリング,ジャンクションターミネーションエクステンション(JTE)およびこれらの技術の異なる組み合わせを含む。既に参照された、Moなどによる自己の米国特許第6,429,481号は、アクティブセルアレイを取り囲み、その上を覆うフィールド酸化膜層(overlying field oxide layer)を有する深い接合(井戸よりも深い)を有する電界終端構造の1つの例を説明している。nチャンネルトランジスタの場合において、例えば、終端構造は、n型ドリフト領域とともにPN接合を形成する深いp+領域を含む。
Further, many of the techniques for reducing parasitic capacitance to increase switching speed, including shielded gate and dual gate structures, as described in connection with low voltage and intermediate voltage devices, are shown in FIGS. Can be combined with the high voltage device described in FIG. FIG. 23 is a simplified cross-sectional view of a high voltage MOSFET 2300 in which a variation of the super junction structure is combined with a dual gate structure. The MOSFET 2300 has, for example, a planar dual gate structure composed of gate ends G1 and G2, similarly to the dual gate transistor shown in FIG. 4B. Gastric polarity (p-type in this example) region 2326 is disposed vertically to n-type drift region 2306 below p-well 2308. The size and spacing of the p-type regions 2326 vary in this example, so that the regions 2326 located closer to the p-well 2308 are in contact with each other, but the regions 2326 located further below are floating It is small in size as shown. FIG. 24 shows yet another embodiment for a high voltage MOSFET combining superjunction technology with a shielded gate structure. MOSFET 2400 is a trench gate device having a gate electrode 2410. For example, like the MOSFET 300A in FIG. 3A, the gate electrode 2410 is shielded from the drift region 2406 by the shield electrode 2411. MOSFET 2400 also includes a heteropolar floating region 242 disposed in drift region 2406 parallel to the current flow.
Termination Structure The various types of individual devices described above have a breakdown voltage limited by the cylindrical or spherical shape of the depletion region at the tip end. This cylindrical or spherical breakdown voltage is usually much lower than the parallel plane breakdown voltage BV pp in the active area of the device, so that the edge of the device is a breakdown for the device that is close to the breakdown voltage of the active area. It needs to be terminated to achieve a voltage. Different techniques have been developed to spread the electric field and voltage uniformly over the end of the end, achieving a breakdown voltage close to BV pp . These include field plates, field rings, junction termination extensions (JTE) and different combinations of these technologies. Already referenced, U.S. Pat. No. 6,429,481, by Mo et al., Describes a deep junction (deeper than a well) that has an overlying field oxide layer surrounding and overlying an active cell array. One example of an electric field termination structure with In the case of an n-channel transistor, for example, the termination structure includes a deep p + region that forms a PN junction with the n-type drift region.

別の実施例において、セルアレイの外周を取り囲む、1または2以上のリング形状のトレンチは、電界を小さくし且つアバランシェ降伏を増大させる機能を果たす。図25Aは、トレンチトランジスタに対する一般的なトレンチのレイアウトを示している。アクティブトレンチ2502は、リング形状の終端トレンチ2503によって取り囲まれている。この構造において、メサ端部に点線で描かれた円によって示される領域2506は、他の領域よりも早く涸渇状態になり、逆バイアスの状態下で降伏電圧を低減するこの領域における増大された電界をもたらす。従って、このタイプのレイアウトは、低電圧デバイス(例えば<30V)に限られる。図25B〜図25Fは、図25Aに示された高電界領域を減少させるための、異なるトレンチのレイアウトを有する終端構造に対する多くの実施例を示している。図に見られるように、これらの実施例において、幾つかのまたは全てのトレンチは、終端トレンチから分離している。アクティブトレンチの端部と終端トレンチとの間ギャップWGは、図25Aに示された構造において認められる電界過密効果を低減するように機能する。1つの例示的な実施例において、WGはトレンチ間のメサの幅のほぼ半分になされる。高電圧デバイスに対しては、図25Fに示されるような複数の終端トレンチが使用され、デバイスの降伏電圧をさらに増大させることとなる。Challaによる「半導体デバイスに対するトレンチ構造」と表題が付けられた、自己の米国特許第6,683,363号は、これらの実施例の幾つかについてのバリエーションをより詳細に説明している。当該米国特許の内容全体は、本願に引用して援用される。 In another embodiment, one or more ring-shaped trenches surrounding the periphery of the cell array serve to reduce the electric field and increase avalanche breakdown. FIG. 25A shows a typical trench layout for a trench transistor. The active trench 2502 is surrounded by a ring-shaped termination trench 2503. In this structure, a region 2506, indicated by a circle drawn with a dotted line at the mesa end, becomes depleted earlier than the other regions, and the increased electric field in this region reduces the breakdown voltage under reverse bias conditions. Bring. Thus, this type of layout is limited to low voltage devices (eg <30V). FIGS. 25B-25F illustrate a number of embodiments for termination structures with different trench layouts to reduce the high field region shown in FIG. 25A. As can be seen in the figure, in these embodiments, some or all of the trenches are isolated from the termination trench. Gap W G between the end portion and the termination trench in the active trench serves to reduce the electric field congestion effect observed in the structure shown in FIG. 25A. In one exemplary embodiment, W G is made approximately half the width of the mesa between the trenches. For high voltage devices, multiple termination trenches as shown in FIG. 25F are used, further increasing the breakdown voltage of the device. US Pat. No. 6,683,363, entitled “Trench Structure for Semiconductor Devices” by Challa, describes variations on some of these embodiments in more detail. The entire contents of the US patent are incorporated herein by reference.

図26A〜図26Cは、電荷調整されたトレンチMOSFETに対する、さまざまなトレンチ終端構造を示している。示された例示的な実施例において、MOSFET2600Aは、アクティブトレンチ2602の内部のゲートポリ2610の下に埋め込まれたシールドポリ電極2611を有するシールドゲート構造を使用する。図26Aに示された実施例において、終端トレンチ2603Aは、相対的に厚い誘電体層(酸化物)2605Aで覆われており、ポリ2607Aのような導電材料で満たされている。酸化層2605Aの厚さ,終端トレンチ2603Aの深さおよび終端トレンチと隣接するアクティブトレンチとの間の間隔(すなわち最後のメサの幅)は、デバイスの逆のブロッキング電圧によって定められる。図26Aにしめされた実施例において、トレンチは表面で幅広く(T−トレンチ構造)、金属フィールドプレート2609Aは、終端領域一面に使用されている。別の実施例において(図示せず)、フィールドプレートは、表面上にかつ終端領域一面に(図26Aにおける終端トレンチの左に)、終端トレンチ2603Aの内部にポリ2607Aを伸長することによって、ポリシリコンから形成され得る。多くのバリエーションが可能である。例えば、シリコンに対する金属接触の下のp+領域(図示せず)が、より良好なオーミック接触のために付加され得る。終端トレンチ2603Aに隣接する最後のメサにおけるp−井戸領域2604及びそのそれぞれの接触は、状況に応じて除去され得る。また、浮遊p型領域は、終端トレンチ2603Aの左(すなわちアクティブエリアの外側)に付け加えられ得る。   26A-26C show various trench termination structures for charge tuned trench MOSFETs. In the illustrated exemplary embodiment, MOSFET 2600A uses a shielded gate structure having a shielded poly electrode 2611 embedded under gate poly 2610 inside active trench 2602. In the embodiment shown in FIG. 26A, termination trench 2603A is covered with a relatively thick dielectric layer (oxide) 2605A and filled with a conductive material such as poly 2607A. The thickness of the oxide layer 2605A, the depth of the termination trench 2603A, and the spacing between the termination trench and the adjacent active trench (ie, the width of the last mesa) is determined by the device's reverse blocking voltage. In the embodiment shown in FIG. 26A, the trench is wide on the surface (T-trench structure), and the metal field plate 2609A is used over the termination region. In another embodiment (not shown), the field plate is formed on the surface and over the termination region (to the left of the termination trench in FIG. 26A) by extending poly 2607A inside termination trench 2603A. Can be formed from Many variations are possible. For example, a p + region (not shown) under a metal contact to silicon can be added for better ohmic contact. The p-well region 2604 and its respective contact in the last mesa adjacent to the termination trench 2603A can be removed depending on the situation. Also, the floating p-type region can be added to the left of the termination trench 2603A (ie, outside the active area).

他のバリエーションにおいて、終端トレンチ2603をポリで満たす代わりに、ポリ電極は酸化物で満たされたトレンチの内部のトレンチの下位部に埋め込まれる。この実施例は、図26Bに示されており、終端トレンチ2603Bのほぼ半分は酸化物2605Bで満たされており、下半分は酸化物の内部に埋め込まれたポリ電極2607Bを有している。トレンチ2603Bの深さおよび埋め込まれたポリ2607Bの高さは、デバイス加工に基づいて変化する。図26Cに示されるさらに他の実施例において、終端トレンチ2603Cは誘電体で満たされており、その中に導電材料は埋め込まれていない。図26A,図26Bおよび図26Cに示された3つ全ての実施例に関して、終端トレンチを最後のアクティブトレンチから分離している最後のメサの幅は、2つのアクティブトレンチ間に形成された標準的なメサの幅とは異なっており、終端領域において最良の電荷調整を実現するように調製され得る。図26Aに示された構造に関連した上述の全てのバリエーションは、図26Bおよび図26Cに示された構造に適用され得る。さらに、終端構造はシールドゲートデバイスに対して本明細書で説明されているが、同様の構造は、上述のさまざまなトレンチをベースにした全てのデバイスに対して実施され得る、ということが当業者によって認識される。   In another variation, instead of filling the termination trench 2603 with poly, the poly electrode is embedded in the lower portion of the trench inside the oxide filled trench. This embodiment is shown in FIG. 26B, where approximately half of the termination trench 2603B is filled with oxide 2605B and the lower half has a poly electrode 2607B embedded within the oxide. The depth of trench 2603B and the height of buried poly 2607B will vary based on device processing. In yet another embodiment shown in FIG. 26C, the termination trench 2603C is filled with a dielectric and no conductive material is embedded therein. For all three embodiments shown in FIGS. 26A, 26B, and 26C, the width of the last mesa separating the termination trench from the last active trench is a standard width formed between the two active trenches. Different from the width of any mesa and can be tailored to achieve the best charge adjustment in the termination region. All of the above-described variations related to the structure shown in FIG. 26A can be applied to the structure shown in FIGS. 26B and 26C. Furthermore, although termination structures are described herein for shielded gate devices, those skilled in the art will appreciate that similar structures can be implemented for all the various trench-based devices described above. Recognized by.

低電圧デバイスに関しては、トレンチ終端リングに対する角部の設計は重要ではないかもしれない。しかし、高電圧デバイスに関しては、終端リングの角部の丸みが、より大きい曲率半径を有することが望ましい。デバイスの電圧要求が高くなればなるほど、終端トレンチの角部での曲率半径は大きくなり得る。また、終端リングの数は、デバイス電圧が大きくなるにつれて多くなり得る。図27は、相対的に大きい曲率半径を有する2つの終端トレンチ2703−1および2703−2を含む例示的なデバイスを示している。トレンチ間の間隔は、デバイスの電圧要求に基づいて調整され得る。この実施例において、終端トレンチ2703−1と2703−2との間の距離S1は、第1の終端トレンチ2703−1とアクティブトレンチとの間の距離のほぼ2倍である。   For low voltage devices, the corner design for the trench termination ring may not be important. However, for high voltage devices, it is desirable that the corner roundness of the termination ring has a larger radius of curvature. The higher the device voltage requirement, the greater the radius of curvature at the corners of the termination trench. Also, the number of termination rings can increase as the device voltage increases. FIG. 27 illustrates an exemplary device that includes two termination trenches 2703-1 and 2703-2 having relatively large radii of curvature. The spacing between the trenches can be adjusted based on the voltage requirements of the device. In this embodiment, the distance S1 between the termination trenches 2703-1 and 2703-2 is approximately twice the distance between the first termination trench 2703-1 and the active trench.

図28A,図28B,図28Cおよび図28Dは、シリコンピラー電荷調整構造を有するさまざまな終端領域に対する例示的な断面図を示している。図28Aに示された実施例において、フィールドプレート2809Aは、p型ピラー2803Aの全てのリングに接触している。このことは、フィールドプレートによる横方向の空乏のため、より幅広いメサ領域を可能にする。降伏電圧は、通常、フィールド酸化膜の厚さ,リング数および終端ピラー2803Aの深さおよび間隔に依存している。このタイプの終端構造に対する多くの異なるバリエーションが可能である。例えば、図28Bは別の実施例を示しており、ここでは大きなフィールドプレート2809B−1が最後のピラーを除いた全てのピラー2803Bを覆っている。当該最後のピラーは、別のフィールドプレート2809B−2に接続している。大きなフィールドプレート2809B−1を接地することによって、p型ピラー間のメサ領域は素早く枯渇し且つ水平方向の電圧降下は顕著でなくなり、図28Aに示された実施例よりも低い降伏電圧をもたらすこととなる。図28Cに示される別の実施例においては、終端構造は中央のピラー上にフィールドプレートを有していない。中央のピラー上にフィールドプレートがないので、それらは十分に涸渇させるためにより狭いメサ領域を有する。1つの実施例においては、外側のリングに向かって徐々にメサを減少することによって最適な性能がもたらされる。図28Dに示された実施例は、幅広い井戸領域2808Dを設けることによって且つ示されるようにフィールド酸化膜の間の間隔を大きくすることによってp型ピラーへの接触が容易になる。   28A, 28B, 28C, and 28D show exemplary cross-sectional views for various termination regions having silicon pillar charge conditioning structures. In the embodiment shown in FIG. 28A, the field plate 2809A contacts all the rings of the p-type pillar 2803A. This allows for a wider mesa area due to lateral depletion by the field plate. The breakdown voltage usually depends on the thickness of the field oxide film, the number of rings, and the depth and interval of the termination pillar 2803A. Many different variations on this type of termination structure are possible. For example, FIG. 28B shows another embodiment, where a large field plate 2809B-1 covers all pillars 2803B except the last pillar. The last pillar is connected to another field plate 2809B-2. By grounding the large field plate 2809B-1, the mesa region between the p-type pillars is quickly depleted and the horizontal voltage drop is less noticeable, resulting in a lower breakdown voltage than the embodiment shown in FIG. 28A. It becomes. In another embodiment shown in FIG. 28C, the termination structure does not have a field plate on the central pillar. Since there are no field plates on the central pillar, they have a narrower mesa area to fully deplete. In one embodiment, optimum performance is provided by gradually decreasing the mesa toward the outer ring. The embodiment shown in FIG. 28D facilitates contact with the p-type pillars by providing a wide well region 2808D and increasing the spacing between field oxides as shown.

前述のタイプのさまざまなスーパー接合技術を使用する超高電圧デバイスの場合には、降伏電圧は従来のBVppよりかなり高い。スーパー接合デバイスに関しては、電荷調整またはスーパー接合構造(例えば異極性ピラーまたは浮遊領域,埋め込み電極など)は、終端領域においても使用される。デバイスの端部での上面におけるフィールドプレートのような電荷調整構造と組み合わせた標準的な端部終端構造も使用され得る。幾つかの実施例において、上面における標準的な端部構造は、終端接合における急速に減少する電荷を使用することによって除去され得る。例えば、終端領域におけるp型ピラーは、それらがネットn型調整電荷を引き起こすアクティブエリアから遠くなるほど、減少する電荷により形成され得る。   In the case of ultra high voltage devices using various superjunction technologies of the type described above, the breakdown voltage is much higher than conventional BVpp. For superjunction devices, charge conditioning or superjunction structures (eg, heteropolar pillars or floating regions, buried electrodes, etc.) are also used in the termination region. A standard end termination structure in combination with a charge conditioning structure such as a field plate on the top surface at the edge of the device may also be used. In some embodiments, the standard end structure at the top surface can be removed by using a rapidly decreasing charge at the termination junction. For example, p-type pillars in the termination region can be formed with charges that decrease the farther away from the active area they cause net n-type regulation charge.

1つの実施例において、終端領域におけるp型ピラー間の間隔は、ピラーがアクティブ領域から遠く離れるにつれて変化する。この実施例によるデバイス2900Aの例示的な実施例の簡略断面図が図29Aに示されている。デバイス2900Aのアクティブエリアにおいて、例えば複数接続したp型の球体から構成される、反対の導電性を有するピラー2926Aは、n型ドリフト領域2904Aにおいてp型−井戸2908Aの下に形成される。終端領域の下のデバイスの端部に、示されているように、p型終端ピラーTP1〜TPnが形成される。アクティブエリアにおける均一な間隔を有する代わりに、終端ピラーT1〜TPnの間の中心間距離は、ピラーがアクティブ領域との界面から遠く離れるにつれて大きくなる。つまり、TP2とTP3との間の距離D1は、TP3とTP4との間の距離D2より短い。距離D2は、TP4とTP5との間の距離D3より短い。   In one embodiment, the spacing between p-type pillars in the termination region changes as the pillars move away from the active region. A simplified cross-sectional view of an exemplary embodiment of device 2900A according to this embodiment is shown in FIG. 29A. In the active area of device 2900A, a pillar 2926A of opposite conductivity, for example composed of a plurality of connected p-type spheres, is formed below the p-type well 2908A in the n-type drift region 2904A. As shown, p-type termination pillars TP1-TPn are formed at the end of the device below the termination region. Instead of having a uniform spacing in the active area, the center-to-center distance between the termination pillars T1 to TPn increases as the pillar moves away from the interface with the active area. That is, the distance D1 between TP2 and TP3 is shorter than the distance D2 between TP3 and TP4. The distance D2 is shorter than the distance D3 between TP4 and TP5.

このタイプのスーパー接合終端構造の幾つかのバリエーションが可能である。例えば、電圧維持層2904Aの内側に距離を変化させてp型終端ピラーTP1〜TPnを形成する代わりに、中心間距離は均一であるが、各終端ピラーの幅を変化させることができる。図29Bは、この実施例による終端構造の簡略例を示している。この例において、終端ピラーTP1は、終端ピラーTP2の幅W2より大きい幅TP1を有している。W2は、終端ピラーTP3の幅W3より大きく作製されている。終端領域における異極性電荷調整領域間の間隔に関しては、デバイス2900Bにおいてトレンチピラー間の中心間距離が同じであったとしても、デバイス2900Bにおいて得られる構造は、デバイス2900Aと同様である。図29Cにおける簡略断面図に示される別の例示的な実施例において、アクティブ領域における各異極性ピラー2926Cの幅は、上面から基板へと減少しているが、終端ピラーTP1およびTP2の幅は実質的に同じである。このことによって、小さい面積を利用しつつ所望の降伏電圧を実現することができる。上述のさまざまな終端構造は、所望の態様で組み合わせられることができる、ということが当業者によって認識される。これは、例えば、デバイス2900Cにおける終端ピラーの中心間距離および/または全幅は、図29Aおよび図29Bに示された実施例に関連して説明されているように変化し得るということを含む。
加工技術
従って、複数の埋め込み電極またはダイオードを有するトレンチ構造を含む、多くの異なるデバイスが説明されている。これらのトレンチ電極にバイアスをかけるために、これらのデバイスは、電気接触が各埋め込み層に作製されることを許容する。埋め込み電極を有するトレンチ構造を形成する多くの方法およびトレンチの内側で埋め込みポリ層に接触を作製する多くの方法が、本明細書で説明されている。1つの実施例において、トレンチポリ層に対する接触はチップの端部で構成される。図30Aは、2つのポリ層3010および3020を有するトレンチデバイス3000に対する端部接触の1つの例を示している。図30Aは、トレンチの縦軸に沿った断面図を示している。トレンチがチップの端部近辺で終結しているこの実施例によると、ポリ層3010および3020は、接触を目的として基板表面まできている。誘電体層3030および3040における開口3012および3022は、ポリ層に対する金属接触を可能にする。図30Bおよび図30Fは、図30Aの端部接触構造を形成することを含む、さまざまな加工工程を示している。図30Bにおいて、誘電体(例えば二酸化ケイ素)層3001は、エピタキシャル層3006の最上面でパターン化され、基板の露出面は、トレンチ3002を形成するためにエッチングされる。その後、第1の酸化層3003が基板の上面にわたって形成され、図30Cに示されるようなトレンチを含むこととなる。その後、導電材料(例えばポリシリコン)3010の第1の層が図30Dに示されるように酸化層3003の表面上に形成される。図30Eを参照すると、ポリ層3010はトレンチの内部からエッチングされ、別の酸化層3030がポリ3010一面に形成される。図30Fに示されるような第2の酸化物−ポリ−酸化物サンドイッチを形成するために、同様の工程が実施される。ここで、最上面の酸化層3040は、金属接触層のための開口3012および3022を、ポリ層3010および3020のそれぞれに対して作製するためにエッチングされて示されている。最後の工程は、さらなるポリ層に対して繰り返され得る。ポリ層は、必要に応じて上にある金属層によってつなげられ得る。
Several variations of this type of superjunction termination structure are possible. For example, instead of forming the p-type termination pillars TP1 to TPn by changing the distance inside the voltage maintaining layer 2904A, the center-to-center distance is uniform, but the width of each termination pillar can be changed. FIG. 29B shows a simplified example of a termination structure according to this embodiment. In this example, the end pillar TP1 has a width TP1 larger than the width W2 of the end pillar TP2. W2 is made larger than the width W3 of the terminal pillar TP3. Regarding the distance between the different polarity charge adjustment regions in the termination region, even if the center-to-center distance between the trench pillars is the same in the device 2900B, the structure obtained in the device 2900B is the same as that in the device 2900A. In another exemplary embodiment shown in the simplified cross-sectional view in FIG. 29C, the width of each heteropolar pillar 2926C in the active region decreases from the top surface to the substrate, while the width of the termination pillars TP1 and TP2 is substantially Are the same. This makes it possible to achieve a desired breakdown voltage while utilizing a small area. It will be appreciated by those skilled in the art that the various termination structures described above can be combined in any desired manner. This includes, for example, that the center-to-center distance and / or full width of the termination pillars in device 2900C can vary as described in connection with the embodiment shown in FIGS. 29A and 29B.
Processing techniques Accordingly , many different devices have been described, including trench structures with multiple buried electrodes or diodes. In order to bias these trench electrodes, these devices allow electrical contacts to be made to each buried layer. Many methods for forming a trench structure with a buried electrode and many methods for making a contact to a buried poly layer inside a trench are described herein. In one embodiment, the contact to the trench poly layer is comprised at the end of the chip. FIG. 30A shows one example of an end contact for a trench device 3000 having two poly layers 3010 and 3020. FIG. 30A shows a cross-sectional view along the longitudinal axis of the trench. According to this embodiment where the trench terminates near the edge of the chip, the poly layers 3010 and 3020 are on the substrate surface for contact purposes. Openings 3012 and 3022 in dielectric layers 3030 and 3040 allow metal contact to the poly layer. 30B and 30F illustrate various processing steps including forming the end contact structure of FIG. 30A. In FIG. 30B, a dielectric (eg, silicon dioxide) layer 3001 is patterned on the top surface of the epitaxial layer 3006 and the exposed surface of the substrate is etched to form a trench 3002. Thereafter, a first oxide layer 3003 is formed over the top surface of the substrate and will include a trench as shown in FIG. 30C. Thereafter, a first layer of conductive material (eg, polysilicon) 3010 is formed on the surface of oxide layer 3003 as shown in FIG. 30D. Referring to FIG. 30E, the poly layer 3010 is etched from the inside of the trench, and another oxide layer 3030 is formed over the poly 3010. A similar process is performed to form a second oxide-poly-oxide sandwich as shown in FIG. 30F. Here, the top oxide layer 3040 is shown etched to create openings 3012 and 3022 for the metal contact layers relative to the poly layers 3010 and 3020, respectively. The last step can be repeated for additional poly layers. The poly layers can be joined by an overlying metal layer if desired.

他の実施例において、任意のトレンチにおける複数のポリ層に対する接触は、チップの端部に沿う代わりに、デバイスのアクティブエリアにおいて作製される。図31Aは、複数の埋め込みポリ層に対するアクティブエリア接触構造の1つの例を示している。この例において、トレンチの縦軸に沿った断面図は、ゲート端と、2つのシールド層を与えるポリ層3111aおよび3111bと、を与えるポリ層3110を示している。3つの分離ライン3112,3122および3132は、シールドポリ層への接触形成部として示されているが、それらは全てつながっており且つデバイスのソース端に接続されているかまたは他の接触する組み合わせが、特定のアプリケーションによって要求されるように使用され得る。この構造の利点は、図30Aに示される多層の端部接触構造と比べて、接触のプレーナ性質であることである。   In other embodiments, contacts to multiple poly layers in any trench are made in the active area of the device instead of along the edge of the chip. FIG. 31A shows one example of an active area contact structure for multiple buried poly layers. In this example, the cross-sectional view along the longitudinal axis of the trench shows the poly layer 3110 providing the gate end and poly layers 3111a and 3111b providing two shield layers. Three separation lines 3112, 3122 and 3132 are shown as contact formations to the shield poly layer, but they are all connected and connected to the source end of the device or other contacting combinations, It can be used as required by a particular application. The advantage of this structure is the planar nature of the contact compared to the multi-layer end contact structure shown in FIG. 30A.

図31B〜図31Mは、2つのポリ層を有するトレンチに対するアクティブエリアシールド接触構造を形成する工程フローの1つの例を示している。図31Bにおけるトレンチ3102のエッチング後に、図31Cにおけるシールド酸化物3108の形成が続けられる。その後、シールドポリシリコン3111が蒸着され、図31Dに示されるようにトレンチ内部に埋め込まれる。シールドポリ3111は、基板表面でのシールド接触が必要とされる場所を除いて、図31Eにおいてさらに埋め込まれる。図31Eにおいて、マスク3109は中央のトレンチ内部のポリがさらにエッチングされることから保護する。1つの実施例において、このマスクは異なるトレンチにわたって異なる位置に適用され、中央のトレンチに対して、例えばシールドポリが3次元において(図示せず)トレンチの他の部分で埋め込まれるようになる。他の実施例において、アクティブエリアにおける1または2以上の選ばれたトレンチの内部のシールドポリ3111は、トレンチの全長にわたってマスクされる。その後、シールド酸化物3108は、図31Fに示されるようにエッチングされる。その後、図31Gに示されるようにマスク3109が除去された後、ゲート酸化物3108aの薄層が、基板上面にわたって形成される。次に、ゲートポリの蒸着およびくぼみ(recess)(図31H),p井戸埋め込みおよびドライブおよびn+ソース埋め込み(図31J)が続けられる。図31K,図31Lおよび図31Mは、BPSG蒸着,接触エッチングおよび高濃度p+本体の埋め込みの工程をそれぞれ示しており、次に金属化が行われる。図31Nは、アクティブエリアシールド接触構造に対する別の実施例の断面図を示しており、シールドポリ3111は、シールド酸化物の上面に相対的に幅広いプラットフォームを形成する。このことは、シールドポリと接触させることを容易にするが、製造工程をさらに複雑にし得る構造を導入する。   31B-31M show one example of a process flow for forming an active area shield contact structure for a trench having two poly layers. After etching of trench 3102 in FIG. 31B, formation of shield oxide 3108 in FIG. 31C is continued. Thereafter, shield polysilicon 3111 is deposited and embedded in the trench as shown in FIG. 31D. The shield poly 3111 is further embedded in FIG. 31E except where shield contact on the substrate surface is required. In FIG. 31E, mask 3109 protects the poly inside the central trench from further etching. In one embodiment, this mask is applied at different locations across different trenches so that, for example, the shield poly is buried in other parts of the trench in three dimensions (not shown) for the central trench. In other embodiments, the shield poly 3111 inside one or more selected trenches in the active area is masked over the entire length of the trench. Thereafter, the shield oxide 3108 is etched as shown in FIG. 31F. Then, after the mask 3109 is removed as shown in FIG. 31G, a thin layer of gate oxide 3108a is formed over the top surface of the substrate. Next, gate poly deposition and recess (FIG. 31H), p-well implant and drive and n + source implant (FIG. 31J) are continued. FIGS. 31K, 31L and 31M show the steps of BPSG deposition, contact etching and high concentration p + body filling, respectively, followed by metallization. FIG. 31N shows a cross-sectional view of another embodiment for an active area shield contact structure, where shield poly 3111 forms a relatively broad platform on the top surface of the shield oxide. This facilitates contact with the shield poly, but introduces a structure that can further complicate the manufacturing process.

アクティブエリアシールド接触を有する例示的なトレンチデバイスの、簡略化された包括的なレイアウト図が図32Aに示されている。マスクで定義されたシールドポリのくぼみは、シールドトレンチ3213の周辺と同様に、アクティブ領域における位置3211Cにシールドポリを窪ませることを防ぐ。この技術の改良は、シールドポリのくぼみマスクに対して犬の骨のような形状を使用する。当該マスクは、シールドポリに対する接触のために、各トレンチ3202を含む交差に幅広い領域を与える。このことは、マスクされた領域におけるシールドポリがくぼみを形成されることを可能にするが、メサの最初の表面に、構造を除去する。別の実施例に対する包括的なレイアウト図は図32Bに示されており、アクティブエリアトレンチは、周辺トレンチに接続されている。この実施例において、シールドポリのくぼみマスクは、ソース金属へのアクティブエリアシールドトレンチ接触に対して、選択されたトレンチ(示された例においては中央のトレンチ)の長さにわたってシールドポリのくぼみを形成することを妨げる。図32Cおよび図32Dは、中断したトレンチ構造を有するトレンチデバイスにおける周辺トレンチに接触を作製する、2つの異なる実施例を示した簡略レイアウト図である。これらの図において、アクティブトレンチ3202および周辺トレンチ3213は、説明のために単線で示されている。図32Cにおいて、周辺ゲートポリランナー3210からの伸張またはフィンガーは、周辺シールドポリフィンガーに対して交互にされ、周辺接触が周辺トレンチから離して間隔をあけられている。ソースおよびシールド接触面積3215は、示されるように、位置3211Cにおけるアクティブ領域においてシールドポリにも接触している。図32Dに示される実施例は、アクティブトレンチと周辺トレンチとの間のオフセットを除去し、トレンチのピッチ要求から生じる可能な限定を回避することとなる。この実施例において、アクティブトレンチ3202および周辺トレンチ3213からの水平伸張部は位置合わせされ、ゲートポリランナー3210における窓3217は、接触が周辺のシールドポリに作製されることを可能にする。アクティブエリアの接触は、先の実施例におけるように、位置3211Cに作製される。   A simplified comprehensive layout diagram of an exemplary trench device with active area shield contact is shown in FIG. 32A. The recess in the shield poly defined by the mask prevents the shield poly from being recessed at the position 3211C in the active region, as is the case with the periphery of the shield trench 3213. An improvement on this technique uses a dog-bone-like shape for the shielded poly indentation mask. The mask provides a wide area at the intersection including each trench 3202 for contact to the shield poly. This allows the shield poly in the masked area to be recessed, but removes the structure on the first surface of the mesa. A comprehensive layout diagram for another embodiment is shown in FIG. 32B, where the active area trench is connected to the peripheral trench. In this embodiment, the shield poly recess mask forms a shield poly recess over the length of the selected trench (in the example shown, the central trench) for active area shield trench contact to the source metal. Prevent you from doing. FIGS. 32C and 32D are simplified layout diagrams illustrating two different embodiments for making a contact in a peripheral trench in a trench device having an interrupted trench structure. In these figures, the active trench 3202 and the peripheral trench 3213 are shown as single lines for the purpose of illustration. In FIG. 32C, extensions or fingers from the peripheral gate polyrunner 3210 are alternated with respect to the peripheral shield polyfinger, with peripheral contacts spaced apart from the peripheral trench. Source and shield contact area 3215 is also in contact with the shield poly in the active region at location 3211C, as shown. The embodiment shown in FIG. 32D eliminates the offset between the active and peripheral trenches and avoids the possible limitations that result from trench pitch requirements. In this example, horizontal extensions from active trench 3202 and peripheral trench 3213 are aligned, and window 3217 in gate polyrunner 3210 allows contact to be made to the peripheral shield poly. The active area contact is made at location 3211C as in the previous example.

アクティブエリアにおけるトレンチシールドポリ層を接触させる別の実施例は、図33Aに示されている。この実施例においては、シールドポリにくぼみを作る代わりに、アクティブトレンチの要部にわたって垂直方向にシリコン基板まで伸張している。図33Aを参照すると、シールドポリ3311は、ゲートポリ3310を2つに分割し、トレンチ3302の高さに沿って垂直方向に伸張している。2つのゲートポリ部は、トレンチ内部の適切な位置で3次元において接続されているかまたはそれらはトレンチから抜け出ている。この実施例の1つの利点は、トレンチが形成されたポリ接触に貢献するシリコン空間を使用する代わりに、アクティブトレンチの内部にソースポリ接触を作製することによって節約される面積である。図33B〜図33Mは、図33Aに示されたタイプのアクティブエリアシールド接触構造を形成する工程フローの1つの例を示している。図33Bにおけるトレンチ3302のエッチングの後には、図33Cにおけるシールド酸化物3308の形成が続けられる。その後、シールドポリシリコン3311が、図33Dに示されるようにトレンチの内部に蒸着される。シールドポリ3311はエッチングされ、図33Eに示されるようにトレンチ内部に埋め込まれる。その後、シールド酸化物3308は、図33Fに示されるようにエッチングされ、トレンチの内部の側面上に2つの窪みを形成する、シールドポリシリコン3311の露出部ができる。その後、ゲート酸化物3308aの薄層は、図33Gに示されるように、基板の上面,トレンチの側壁およびトレンチ内部の窪みにわたって形成される。次に、ゲートポリの蒸着およびくぼみ(図33H),p−井戸埋め込みおよびドライブ(図33I)およびn+ソース埋め込み(図33J)が続けられる。図33K,図33Lおよび図33Mは、BPSG蒸着,接触エッチングおよび高濃度p+本体埋め込みの工程をそれぞれ示しており、金属化が続けられる。この工程フローにおけるバリエーションが可能である。例えば、幾つかの工程を並べ換えることによって、ゲートポリ3310を形成する工程は、シールドポリ3311を形成する工程より前に行なわれ得る。   Another example of contacting the trench shield poly layer in the active area is shown in FIG. 33A. In this embodiment, instead of making a recess in the shield poly, it extends vertically to the silicon substrate over the main part of the active trench. Referring to FIG. 33A, a shield poly 3311 divides the gate poly 3310 in two and extends vertically along the height of the trench 3302. The two gate polys are connected in three dimensions at the appropriate location inside the trench or they exit the trench. One advantage of this embodiment is the area saved by making the source poly contact inside the active trench instead of using silicon space that contributes to the poly contact in which the trench is formed. 33B-33M illustrate one example of a process flow for forming an active area shield contact structure of the type shown in FIG. 33A. The etching of trench 3302 in FIG. 33B is followed by the formation of shield oxide 3308 in FIG. 33C. Thereafter, shield polysilicon 3311 is deposited inside the trench as shown in FIG. 33D. The shield poly 3311 is etched and buried inside the trench as shown in FIG. 33E. The shield oxide 3308 is then etched as shown in FIG. 33F, leaving an exposed portion of shield polysilicon 3311 that forms two depressions on the interior side of the trench. Thereafter, a thin layer of gate oxide 3308a is formed over the top surface of the substrate, the sidewalls of the trench, and the depressions within the trench, as shown in FIG. 33G. Next, gate poly deposition and depression (FIG. 33H), p-well implantation and drive (FIG. 33I) and n + source implantation (FIG. 33J) are followed. 33K, 33L, and 33M show the steps of BPSG deposition, contact etching, and high concentration p + body embedment, respectively, and metallization continues. Variations in this process flow are possible. For example, the process of forming the gate poly 3310 by rearranging several processes may be performed before the process of forming the shield poly 3311.

上述の工程フローにおけるステップの多くを行うための特定の工程方法,パラメータおよびそのバリエーションは周知である。任意のアプリケーションに対して、特定の工程方法,化学的性質および材料タイプが、デバイスの製造可能性および性能を高めるために微調整され得る。改善は出発材料、すなわちエピタキシャル(エピ)ドリフト領域がその上面に形成されている基板からなされ得る。ほとんどのパワーアプリケーションにおいて、トランジスタのオン抵抗RDSonが減少することが望ましい。パワーアプリケーションの理想的なオン抵抗は、降伏電圧下のデバイスにおける最大電界として定義される臨界電界(critical field)の強関数(strong function)である。適度な移動度が維持されるという条件で、デバイスがシリコンより高い臨界電界を有する場合には、トランジスタの特定のオン抵抗は、大いに低減され得る。前述の構造および工程を含む、パワーデバイスの特性の多くは、シリコン基板との関連で説明されているが、シリコン以外の基板材料を使用した他の実施例が可能である。1つの実施例によると、本明細書で説明されているパワーデバイスは、例えば炭化ケイ素(SiC),窒化ガリウム(GaN),ガリウムヒ素(GaAs),リン化インジウム(InP)およびダイアモンド等を含むバンドギャップの広い材料から作製された基板で製造されている。これらバンドギャップの広い材料は、シリコンに対する臨界電界よりも高い臨界電界を示し、トランジスタのオン抵抗を大幅に低減することを可能にする。 Specific process methods, parameters and variations for performing many of the steps in the process flow described above are well known. For any application, specific process methods, chemistries and material types can be fine-tuned to increase device manufacturability and performance. Improvements can be made from the starting material, ie the substrate on which the epitaxial (epi) drift region is formed. In most power applications, it is desirable to reduce the on-resistance R DSon of the transistor. The ideal on-resistance for power applications is a strong function of the critical field defined as the maximum electric field in the device under breakdown voltage. If the device has a higher critical field than silicon, provided that moderate mobility is maintained, the specific on-resistance of the transistor can be greatly reduced. Many of the characteristics of the power device, including the structures and processes described above, have been described in the context of a silicon substrate, but other embodiments using substrate materials other than silicon are possible. According to one embodiment, the power device described herein includes a band comprising, for example, silicon carbide (SiC), gallium nitride (GaN), gallium arsenide (GaAs), indium phosphide (InP), diamond, and the like. Manufactured with a substrate made of a material with a wide gap. These wide bandgap materials exhibit a critical electric field that is higher than that for silicon, making it possible to greatly reduce the on-resistance of the transistor.

トランジスタのオン抵抗に対する別の主要因は、ドリフト領域の厚さおよびドープ濃度である。ドリフト領域は、通常、エピタキシャル成長したシリコンによって形成される。RDSonを低減するために、このエピされたドリフト領域の厚さを最小限にすることが望ましい。エピ層の厚さは、出発基板のタイプによって部分的に影響される。例えば、赤リンをドープした基板は、個別半導体デバイスに対する出発基板材料として一般的に使用される。しかし、リン原子は、シリコン中に素早く拡散するという特性を有している。従って、基板の上面に形成されるエピ領域の厚さは、下にある高濃度ドープされた基板からのリン原子の上方拡散に対応するように定められる。 Another major factor for the on-resistance of the transistor is the drift region thickness and doping concentration. The drift region is usually formed by epitaxially grown silicon. In order to reduce R DSon , it is desirable to minimize the thickness of this epi drift region. The thickness of the epi layer is partially influenced by the type of starting substrate. For example, red phosphorus doped substrates are commonly used as starting substrate materials for discrete semiconductor devices. However, phosphorus atoms have the property of quickly diffusing into silicon. Accordingly, the thickness of the epi region formed on the top surface of the substrate is determined to correspond to the upward diffusion of phosphorus atoms from the underlying heavily doped substrate.

エピ層の厚さを最小化するために、図34に示される一つの実施例によると、例えばヒ素のような相対的に拡散率の小さいドーパントを有するエピスペーサまたはバッファ(またはバリア)層3415が、リン基板3414上に形成される。リンドープされた基板とヒ素ドープされたバッファ層との組み合わせは、その後のエピドリフト領域3406の形成の土台を与える。層3415におけるヒ素ドーパント濃度は、デバイスの降伏電圧要求によって定められ、ヒ素のエピ層3415の厚さは、特定の熱量によって定められる。その後、通常のエピ層3406がヒ素のエピの上面に蒸着され、その厚さは、デバイス要求によって定められる。ヒ素のかなり低い拡散率は、ドリフト領域の全体的な厚が低減されることを可能にし、トランジスタのオン抵抗が低減することとなる。   In order to minimize the thickness of the epi layer, according to one embodiment shown in FIG. 34, an epi spacer or buffer (or barrier) layer 3415 having a relatively low diffusivity dopant such as arsenic is A phosphorus substrate 3414 is formed. The combination of the phosphorus doped substrate and the arsenic doped buffer layer provides the basis for the subsequent formation of the epi drift region 3406. The arsenic dopant concentration in layer 3415 is determined by the breakdown voltage requirement of the device, and the thickness of the arsenic epilayer 3415 is determined by the specific amount of heat. A conventional epi layer 3406 is then deposited on top of the arsenic epi and its thickness is determined by device requirements. The much lower diffusion rate of arsenic allows the overall thickness of the drift region to be reduced, which will reduce the on-resistance of the transistor.

別の実施例において、高濃度ドープされた基板からエピ層へのドーパント種の上方拡散に対処するために、当該2つの層の間に拡散層が使用される。図35に示される1つの例示的な実施例によると、例えば炭化ケイ素Six1-xからなるバリア層は、ボロンまたはリンを含む基板3514上にエピタキシャル蒸着される。その後、エピ層3506がバリア層3515の上に蒸着される。厚さおよび炭素組成は、製造技術の熱量によって変化し得る。炭素ドーパントは基板に最初に注入され、その後、熱処理によって炭素原子が活性化し、基板3514の表面にSix1-x化合物を形成することとなる。 In another embodiment, a diffusion layer is used between the two layers to address upward diffusion of dopant species from the heavily doped substrate to the epi layer. According to one exemplary embodiment shown in FIG. 35, a barrier layer of, for example, silicon carbide Si x C 1-x is epitaxially deposited on a substrate 3514 containing boron or phosphorus. Thereafter, an epi layer 3506 is deposited on the barrier layer 3515. Thickness and carbon composition can vary with the amount of heat in the manufacturing technique. The carbon dopant is first implanted into the substrate, and then the carbon atoms are activated by heat treatment to form a Si x C 1-x compound on the surface of the substrate 3514.

エピの厚さを低減する性能を制限する、特定のトランジスタ技術の別のアスペクトは、あるときはアクティブ領域に使用され、あるときは終端領域に使用されるエピ層と深い本体との間に形成される接合である。この深い本体領域の形成は、通常、工程初期に埋め込みステップを有する。フィールド酸化膜およびゲート酸化物によって要求される、その後の大きな熱量によって、深い本体およびドリフト領域での接合は、大体において徐々に変化している。チップ端での初期破壊を回避するために、高いオン抵抗をもたらす、かなり厚いドリフト領域が必要とされる。必要とされるエピ厚みを最小限にするために、拡散バリア層は、深い本体−エピの接合にも使用され得る。図36に示される例示的な実施例によると、炭素ドーパントは深い本体の窓を通して埋め込まれ、深い本体の埋め込みが実行される。次に続く熱プロセスは炭素原子を活性化し、p−井戸エピ接合にSix1-x化合物の層3615を深い本体領域3630の境界に形成する。炭化ケイ素層3615は、ボロン拡散を妨げる拡散バリアとして機能する。結果として得られる深い本体の接合は、狭くなり、エピ層3606の厚さが低減されることを可能にする。拡散バリアの利益を享受し得る、典型的なトレンチトランジスタにおけるさらに別の接合は、井戸−ドリフト領域の接合である。かかるバリア層を使用する実施例の簡略例は、図37に示されている。図31Mの構造に対する例示的な工程フローにおいて、p−井戸は図31Hおよび図31Iに示される2つのステップの間で形成される。井戸のドーパント(この例示的なn−チャンネルの実施例に対してはp型)を埋め込む前に、最初に炭素が埋め込まれる。次に続く熱プロセスは炭素原子を活性化し、p−井戸とエピとの接合にSix1-xの層3715を形成する。層3715は、拡散バリアとして機能してボロンの拡散を妨げ、p−井戸3704の深さは維持され得る。このことは、リーチスルー(reach-through)に対する電位を増加することなく、トランジスタのチャンネル長を低減するのに役立つ。リーチスルーは、ドレイン−ソース電圧が増加するにつれて、前進する空乏境界の端部がソース接合に達するときに生じる。拡散バリアとしての機能を果たすことによって、層3715はリーチスルーをも妨げる。 Another aspect of certain transistor technologies that limit the ability to reduce the thickness of the epi is formed between the epi layer and the deep body used in the active region, sometimes in the termination region, and sometimes in the termination region Is a joint. The formation of this deep body region usually has an embedding step early in the process. Due to the subsequent large amount of heat required by the field oxide and the gate oxide, the junctions in the deep body and drift region are gradually changing. To avoid initial breakdown at the chip edge, a fairly thick drift region is required that provides a high on-resistance. In order to minimize the required epi thickness, diffusion barrier layers can also be used for deep body-epi junctions. According to the exemplary embodiment shown in FIG. 36, the carbon dopant is implanted through the deep body window and a deep body implant is performed. The subsequent thermal process activates the carbon atoms and forms a layer 3615 of Si x C 1-x compound at the boundary of the deep body region 3630 at the p-well epi junction. The silicon carbide layer 3615 functions as a diffusion barrier that prevents boron diffusion. The resulting deep body junction becomes narrow and allows the thickness of the epi layer 3606 to be reduced. Yet another junction in a typical trench transistor that can benefit from a diffusion barrier is a well-drift region junction. A simplified example of an embodiment using such a barrier layer is shown in FIG. In the exemplary process flow for the structure of FIG. 31M, a p-well is formed between the two steps shown in FIGS. 31H and 31I. Carbon is first embedded before the well dopant (p-type for this exemplary n-channel embodiment) is embedded. The subsequent thermal process activates the carbon atoms and forms a Si x C 1-x layer 3715 at the p-well and epi junction. Layer 3715 functions as a diffusion barrier to prevent boron diffusion and the depth of p-well 3704 can be maintained. This helps to reduce the channel length of the transistor without increasing the potential for reach-through. Reach-through occurs when the edge of the forward depletion boundary reaches the source junction as the drain-source voltage increases. By serving as a diffusion barrier, layer 3715 also prevents reach through.

上述のように、トランジスタのチャンネル長を短くすることが望ましい。その理由は、このことによりオン抵抗が低減される結果となるからである。別の実施例において、トランジスタのチャンネル長は、エピタキシャル成長したシリコンを使用した井戸領域を形成することによって最小化される。つまり、ドリフトエピ層中への埋め込み(拡散ステップが次に続けられる)を含む、井戸形成の従来方法の代わりに、井戸領域は、エピドリフト層の上面に形成される。エピ−井戸の形成から得られ得る、短いチャンネル長以外の利点がある。シールドゲートトレンチトランジスタにおいて、例えば、井戸の底部(トレンチとの接触部(ゲートからドレインへの重なり)の下にゲート電極が伸張する距離は、ゲート電荷Qgdを定めるのに重要である。ゲート電荷Qgdは、トランジスタのスイッチング速度に直接的に影響を与える。従って、この距離を的確に最小化し且つ制御することができるということが望ましい。しかし、例えば図31Iに示されるように、井戸がエピ中に埋め込まれて広がっている製造工程において、この距離は制御し難い。   As described above, it is desirable to shorten the channel length of the transistor. The reason is that this results in a reduction in on-resistance. In another embodiment, the channel length of the transistor is minimized by forming a well region using epitaxially grown silicon. That is, instead of the conventional method of well formation including embedding in the drift epi layer (the diffusion step is then continued), the well region is formed on the top surface of the epi drift layer. There are advantages other than the short channel length that can be obtained from the formation of epi-wells. In a shielded gate trench transistor, for example, the distance that the gate electrode extends under the bottom of the well (the contact with the trench (overlap from the gate to the drain) is important in determining the gate charge Qgd. Directly affects the switching speed of the transistor, so it is desirable to be able to accurately minimize and control this distance, but, for example, as shown in FIG. This distance is difficult to control in a manufacturing process that is embedded and spreading.

井戸の角でゲート−ドレインの重なりをより良好に制御するために、自己整合井戸を有するトレンチデバイスを形成するさまざまな方法が提案されている。1つの実施例において、エピ−井戸の蒸着を含む工程フローは、本体の接合の下部をゲートの下部に自己整合することを可能にする。図38A〜図38Dを参照すると、埋め込み電極(またはシールドゲート)を有する、自己整合エピ−井戸トレンチデバイスの1つの例に対する簡略化された工程フローが示されている。トレンチ3802は、基板3814の上面に形成された第1のエピ層3806中にエッチングされる。n−チャンネルトランジスタに関しては、基板3814および第1のエピ層3806はn型材料である。   In order to better control the gate-drain overlap at the well corners, various methods have been proposed for forming trench devices with self-aligned wells. In one embodiment, a process flow that includes epi-well deposition allows the bottom of the body junction to self-align to the bottom of the gate. Referring to FIGS. 38A-38D, a simplified process flow is shown for one example of a self-aligned epi-well trench device having a buried electrode (or shield gate). The trench 3802 is etched into the first epi layer 3806 formed on the top surface of the substrate 3814. For an n-channel transistor, the substrate 3814 and the first epi layer 3806 are n-type material.

図38Aは、内部トレンチ3802を含むエピ層3806の上面に成長されたシールド誘電体3808Sの層を示す。その後、例えばポリシリコンのような導電材料3811は、トレンチ3802の内部に堆積され、図38Bに示されるようにエピメサより下にエッチバックされる。さらなる誘電体3809Sをシールドポリ3811を覆うように堆積させる。メサを明らかにするように誘電体をエッチバックした後、第2のエピ層3804は、図38Cに示されるように、第1のエピ層3806の上面に選択的に成長される。エピ層3804によって形成されたメサは、示されているように最初のトレンチ3802の上に上部トレンチ部を作成する。この第2のエピ層3804は、第1のエピ層3806に対して異極性(例えばp型)のドーパントを有している。第2のエピ層3804におけるドーパント濃度は、トランジスタの井戸領域に対して所望のレベルに設定されている。層3804を形成する選択エピ成長(SEG)のステップの後、ゲート誘電体3808Gの層は、上面に形成され且つトレンチの側壁に沿って形成される。その後、ゲートの導電材料(ポリ)は、トレンチ3802の残りの部分を満たすために堆積され、その後、図38Dに示されるように平坦化される。工程は、例えば図31J〜図31Mに示される工程フローのように続き。トランジスタ構造が完成する。   FIG. 38A shows a layer of shield dielectric 3808S grown on top of the epi layer 3806 including the internal trench 3802. FIG. Thereafter, a conductive material 3811 such as polysilicon is deposited inside the trench 3802 and etched back below the epimesa as shown in FIG. 38B. Additional dielectric 3809S is deposited over the shield poly 3811. After etching back the dielectric to reveal mesas, a second epi layer 3804 is selectively grown on top of the first epi layer 3806, as shown in FIG. 38C. The mesa formed by the epi layer 3804 creates an upper trench portion over the first trench 3802 as shown. The second epi layer 3804 has a dopant having a polarity different from that of the first epi layer 3806 (for example, p-type). The dopant concentration in the second epi layer 3804 is set to a desired level with respect to the well region of the transistor. After a selective epi growth (SEG) step to form layer 3804, a layer of gate dielectric 3808G is formed on the top surface and along the sidewalls of the trench. A gate conductive material (poly) is then deposited to fill the remaining portion of trench 3802 and then planarized as shown in FIG. 38D. The process continues as in the process flow shown in FIGS. 31J to 31M, for example. The transistor structure is completed.

図38Dに示されるように、この工程は、井戸エピ3804で自己整合されるゲートポリ3810をもたらす。ゲートポリ3810の底部をエピの井戸3804より下に下げるために、図38Cに示されるような中間のポリ誘電体層3809Sの上面は、トレンチ3802の内部の所望の位置まで僅かにエッチングされ得る。従って、この工程は、ゲート電極の底部と井戸の角との間の距離の正確な制御を与える。SEG井戸の形成工程は、シールドゲートトレンチトランジスタに制限されることはなく、他の多くのトレンチゲートトランジスタの構造(そのうちの幾つかは、本明細書に説明されている)に使用され得る、ということが当業者によって認識される。SEGメサ構造を形成する他の方法は、Madsonなどによる同一出願人による米国特許第6,391,699号およびBrushなどによる米国特許第6,373,098号において説明されている。当該特許の内容全体は、本願に引用して援用される。   As shown in FIG. 38D, this process results in a gate poly 3810 that is self-aligned with the well epi 3804. To lower the bottom of the gate poly 3810 below the epi well 3804, the top surface of the intermediate poly dielectric layer 3809S as shown in FIG. 38C can be slightly etched to the desired location inside the trench 3802. This process thus gives precise control of the distance between the bottom of the gate electrode and the corner of the well. The SEG well formation process is not limited to shielded gate trench transistors, but can be used for many other trench gate transistor structures, some of which are described herein. Will be recognized by those skilled in the art. Other methods of forming SEG mesa structures are described in commonly assigned US Pat. No. 6,391,699 by Madson et al. And US Pat. No. 6,373,098 by Brush et al. The entire contents of the patent are incorporated herein by reference.

自己整合の目的のために、井戸の角を制御する別の方法は、SEG井戸形成に依存せず、その代わりに角をなした井戸の埋め込みを含む工程を使用する。図39A及び図39Bは、この実施例に対する例示的な工程を示している。例えば図31Hおよび図31Iに示されているように、トレンチがゲートポリで満たされた後に井戸を形成する代わりに、この実施例においては、トレンチ3902の内部の誘電体層3908においてシールドポリを埋め込んだ後に且つトレンチの残りの部分が満たされる前に、任意の部分容量で第1の井戸埋め込みが行われる。その後、第2であるが角をなした井戸埋め込みは、図39Bに示されるように、トレンチ3902の側壁を通して行われる。その後ドライブサイクルが完了し、トレンチの角におけるドリフト-エピ界面に、井戸に対する所望の外形を得ることとなる。埋め込み容量,ドライブサイクルの詳細およびエネルギーは、デバイスの構造要求によって変化する。この技術は、多くの異なるデバイスタイプにおいて使用され得る。別の実施例において、トレンチのピッチおよび角度埋め込みは、角度埋め込みが拡散されるときに、当該角度埋め込みは、隣接するセルから連続的な井戸を形成するためにその領域と同化し、第1の井戸埋め込みの必要性を取り除く。   For the purpose of self-alignment, another method of controlling the well corners does not rely on SEG well formation, but instead uses a process that includes corner well filling. 39A and 39B illustrate exemplary steps for this example. For example, as shown in FIGS. 31H and 31I, instead of forming a well after the trench is filled with gate poly, in this embodiment, a shield poly is embedded in a dielectric layer 3908 inside the trench 3902. A first well fill is performed with an arbitrary partial capacitance later and before the remainder of the trench is filled. Thereafter, a second but horned well fill is performed through the sidewalls of the trench 3902, as shown in FIG. 39B. The drive cycle is then completed and the desired profile for the well is obtained at the drift-epi interface at the corner of the trench. Embedded capacity, drive cycle details and energy will vary depending on device structural requirements. This technique can be used in many different device types. In another embodiment, the pitch and angle burying of the trench is assimilated with the region to form a continuous well from neighboring cells when the angle burying is diffused, Eliminate the need for well filling.

トレンチデバイスを形成する自己整合エピ井戸工程に対する別の実施例は、図40A〜図40Eに関連して説明されている。上述のように、ゲートとドレインとの間の容量を低減するために、幾つかのトレンチゲートトランジスタは、内部の垂直方向の側壁に沿った誘電体層より、ゲートポリの下のトレンチの底部でより厚い。図40A〜図40Eに示される例示的な工程実施例によると、図40Aに示されるように、誘電体層4008Bが最初にエピドリフト層4006の上面に形成される。誘電体層4208Bは、トレンチの底部に対して所望の厚さで形成され、その後、図40Bに示されるように誘電体の柱を残してエッチングされる。当該誘電体の柱は、次に形成されるトレンチと同じ幅を有している。次に、図40Cにおいて、選択的エピ成長ステップが実施され、誘電体の柱4008Bの周囲に第2のエピドリフト領域を形成する。第2のドリフトエピ層4006−1は、第1のエピドリフト層と同じ導電型であり且つ同じ材料であり得る。或いは、第2のエピドリフト層4006−1に対して他のタイプの材料を使用することが可能である。1つの例示的な実施例において、第2のドリフトエピ層4006−1は、シリコンゲルマニウム(SixGe1-x)合金が行われるSEGのステップによって形成される。SiGe合金は、トレンチの底部近辺の蓄積領域でキャリア移動度を改善する。このことは、トランジスタのスイッチング速度を改善し、RDSonを低減する。例えばGaAsまたはGaNのような他の化合物の使用も可能である。 Another embodiment for a self-aligned epiwell process for forming a trench device is described in connection with FIGS. 40A-40E. As noted above, in order to reduce the capacitance between the gate and drain, some trench gate transistors are more at the bottom of the trench below the gate poly than the dielectric layer along the internal vertical sidewalls. thick. According to the exemplary process embodiment shown in FIGS. 40A-40E, dielectric layer 4008B is first formed on top of epi drift layer 4006, as shown in FIG. 40A. Dielectric layer 4208B is formed to the desired thickness relative to the bottom of the trench and is then etched leaving the dielectric pillars as shown in FIG. 40B. The dielectric pillar has the same width as the trench to be formed next. Next, in FIG. 40C, a selective epi growth step is performed to form a second epi drift region around the dielectric post 4008B. The second drift epi layer 4006-1 may be the same conductivity type and the same material as the first epi drift layer. Alternatively, other types of materials can be used for the second epi drift layer 4006-1. In one exemplary embodiment, the second drift epi layer 4006-1 is formed by SEG step is silicon germanium (Si x Ge 1-x) alloy is performed. SiGe alloys improve carrier mobility in the accumulation region near the bottom of the trench. This improves the transistor switching speed and reduces R DSon . Other compounds such as GaAs or GaN can also be used.

その後、図40Dおよび図40Eにそれぞれ示されるように、ブランケットエピ井戸層(blanket epi well layer)が上面に形成され、その後、トレンチ4002を形成するためにエッチングされる。次に、ゲート酸化物の形成およびゲートポリの堆積(図示せず)が続けられる。結果として得られる構造は、自己整合エピ井戸を有するトレンチゲートである。従来の加工技術は、残りの工程ステップを完了するために使用され得る。バリエーションが可能であるということが当業者によって認識される。例えば、ブランケットエピ井戸層4004を形成した後にトレンチ4002をエッチングする代わりに、エピ井戸4002は、第2のドリフトエピ層4006−1の上面にのみ選択成長され、それが成長するにつれてトレンチ4002が形成され得る。   Thereafter, as shown in FIGS. 40D and 40E, respectively, a blanket epi well layer is formed on the top surface and then etched to form trench 4002. Next, gate oxide formation and gate poly deposition (not shown) are continued. The resulting structure is a trench gate with a self-aligned epiwell. Conventional processing techniques can be used to complete the remaining process steps. Those skilled in the art will recognize that variations are possible. For example, instead of etching the trench 4002 after forming the blanket epiwell layer 4004, the epiwell 4002 is selectively grown only on the top surface of the second drift epilayer 4006-1 and the trench 4002 is formed as it grows. Can be done.

上述のさまざまな加工技術は、井戸領域の形成に焦点を当てることによってデバイス性能を高め、チャンネル長およびRDSonを低減することとなる。工程フローの他のアスペクトを改善することによって、同様にデバイス性能を高めることができる。例えば、デバイス抵抗は、基板厚みを薄くすることによってさらに低減され得る。従って、ウエハの薄膜化工程は、基板の厚さを薄くするために一般的に行われている。ウエハの薄膜化は、通常、機械研磨およびテープの工程によって実施される。研磨およびテープの工程は、ウエハ上に機械力を与え、当該機械力は、ウエハ表面への損傷をもたらし製造問題を引き起こす。 The various processing techniques described above will increase device performance by reducing the well region formation and reduce channel length and R DSon . By improving other aspects of the process flow, device performance can be enhanced as well. For example, device resistance can be further reduced by reducing the substrate thickness. Therefore, the wafer thinning process is generally performed to reduce the thickness of the substrate. The wafer thinning is usually performed by mechanical polishing and a tape process. The polishing and tape process imparts mechanical force on the wafer, which can cause damage to the wafer surface and cause manufacturing problems.

以下に説明される実施例において、改善されたウエハ薄膜化工程は、極めて基板抵抗を低減する。図40R,図40S,図40Tおよび図40Uは、基盤の厚さを薄くする1つの方法を示している。ウエハ上への所望の回路の製造が終了した後、回路が製造されているウエハ表面は、一時的にキャリアに接着される。図40Rは、結合材4003によってキャリア4005に接着された完成基板4001を示している。その後、当該完成基板の裏側は、例えば研磨および化学エッチングなどの工程を使用して所望の厚さに研磨される。図40Sは、薄膜化されている完成基板4001を有する、図40Rと同じサンドイッチ構造を示している。ウエハ4001の裏側の研磨後、ウエハの裏側は、図40Tに示されるように、低抵抗(例えば金属)ウエハ4009に接着される。このことは、薄膜化された完成ウエハ4001に金属ウエハ4009を接着するために、温度および圧力の下で、例えばはんだ4007のめっきを使用する従来方法を使用してなされ得る。その後、キャリア4005は除去され、薄膜化された完成ウエハ4001の上面はさらなる加工の前に洗浄される。高伝導性の金属基板4009は、熱放散および抵抗減少を容易にし且つ薄膜化されたウエハに対する機械的強度を与える。   In the embodiments described below, the improved wafer thinning process significantly reduces substrate resistance. 40R, 40S, 40T, and 40U illustrate one way to reduce the thickness of the substrate. After the desired circuit is manufactured on the wafer, the wafer surface on which the circuit is manufactured is temporarily bonded to a carrier. FIG. 40R shows the completed substrate 4001 bonded to the carrier 4005 with a binder 4003. Thereafter, the back side of the finished substrate is polished to a desired thickness using processes such as polishing and chemical etching. FIG. 40S shows the same sandwich structure as FIG. 40R with the finished substrate 4001 being thinned. After polishing the back side of the wafer 4001, the back side of the wafer is bonded to a low resistance (eg, metal) wafer 4009, as shown in FIG. 40T. This can be done using conventional methods using, for example, solder 4007 plating under temperature and pressure to adhere the metal wafer 4009 to the thinned finished wafer 4001. Thereafter, the carrier 4005 is removed, and the upper surface of the thinned finished wafer 4001 is cleaned before further processing. A highly conductive metal substrate 4009 facilitates heat dissipation and resistance reduction and provides mechanical strength to the thinned wafer.

別の実施例は、化学工程を使用する最終的な薄膜化ステップを実施することによる、従来の機械プロセスの欠点なしで、薄いウエハを実現する。この実施例によると、アクティブデバイスは、厚いガラス上のシリコン(SOTG)基板のシリコン層に形成される。研磨ステージで、ウエハはSOTG基板の裏面でガラスを化学エッチングすることによって薄くされる。図41は、この実施例による例示的な工程フローを示している。シリコン基板から始めて、最初にステップ4110で、例えばHeまたはH2のようなドーパントがシリコン基板中に注入される。次にステップ4112で、シリコン基板はガラス基板に接着される。異なる接着工程が使用され得る。1つの例において、シリコンウエハおよびガラスウエハはサンドイッチ状にはさまれ、2つの基板を接着するために例えば400℃近辺に加熱される。ガラスは、例えばシリコン酸化物などであることができ、例えば約600μmの厚さを有し得る。次に、ステップ4114でシリコン基板の任意の切断およびSOTG基板の形成が続けられる。処理中および後の処理中のの応力から基盤を保護するために、接着工程は、基板の反対側にSOTG層を形成するように繰り返され得る(ステップ4116)。次に、エピ層が基板のシリコン面上に堆積される(ステップ4118)。このことは、前面に加えて裏面に行われ得る。裏面エピのドーピングレベルは、裏面のシリコンと同様であることが好ましいが、表側のエピは、デバイスによって必要とされるとおりにドーピングされる。その後、基板は、表側のシリコン層にアクティブデバイスを形成する製造工程におけるさまざまなステップにかけられる。 Another embodiment achieves a thin wafer without the disadvantages of conventional mechanical processes by performing a final thinning step using a chemical process. According to this embodiment, the active device is formed in the silicon layer of a silicon on thick glass (SOTG) substrate. At the polishing stage, the wafer is thinned by chemically etching the glass on the back side of the SOTG substrate. FIG. 41 shows an exemplary process flow according to this embodiment. Starting with a silicon substrate, first in step 4110 a dopant such as He or H 2 is implanted into the silicon substrate. Next, in step 4112, the silicon substrate is bonded to the glass substrate. Different bonding processes can be used. In one example, a silicon wafer and a glass wafer are sandwiched and heated, eg, around 400 ° C., to bond the two substrates. The glass can be, for example, silicon oxide and can have a thickness of about 600 μm, for example. Next, in step 4114, optional cutting of the silicon substrate and formation of the SOTG substrate are continued. In order to protect the substrate from stresses during and after processing, the bonding process may be repeated to form a SOTG layer on the opposite side of the substrate (step 4116). Next, an epi layer is deposited on the silicon surface of the substrate (step 4118). This can be done on the back side in addition to the front side. The doping level of the backside epi is preferably similar to the backside silicon, but the frontside epi is doped as required by the device. The substrate is then subjected to various steps in the manufacturing process that form active devices in the front side silicon layer.

1つの実施例において、表側の処理ステップによって導入された応力への耐性において、基板強度をさらに高めるために、基板の裏面は、表側のチップフレームの反対の構造を近似するようにパターン化され得る。この方法において、ガラス基板は格子状にエッチングされ、薄い基板がウエハにおける応力に耐えるのに役立つ。研磨で、裏側のシリコン層が従来の研磨工程によって除去される(ステップ4120)。次に、ガラスの一部分(例えば半分)を除去する別の研磨ステップ4122が続けられる。その後、ガラスの残りの部分が、例えばフッ酸を使用した化学エッチング工程によって除去される。裏側のガラスのエッチングは、アクティブシリコン層を攻撃する危険なくしてまたはアクティブシリコン層に対する物理的ダメージの原因となることなくして実施され得る。このことによって、ウエハにテープを貼る必要性が取り除かれ、結果として、テープおよびリテープ(re-tape)の設備の必要性およびそれらの各工程に関連したプロセスリスクが取り除かれる。従って、この工程は基板厚みをさらに最小化することを可能にし、デバイスの性能を高めることとなる。おの改善されたウエハ薄膜化工程の多くのバリエーションが可能である、ということが理解されるべきである。例えば、最終的な基板に対する所望の厚さによって、薄膜化ステップは、研磨を含むかもしれないしまたは含まないかもしれないし、化学エッチングが十分であり得る。また、改善されたウエハ薄膜化工程は、個別デバイスの加工に限定されず、他のタイプのデバイスの加工に使用され得る。他のウエハ薄膜化工程は、Pritchettによる同一出願人による米国特許第6,500,764号に説明されており、当該米国特許の内容全体は、本願に引用して援用される。   In one embodiment, the back side of the substrate can be patterned to approximate the opposite structure of the front side chip frame to further enhance the substrate strength in resistance to stress introduced by the front side processing steps. . In this method, the glass substrate is etched in a grid, and the thin substrate helps to withstand the stress on the wafer. During polishing, the backside silicon layer is removed by a conventional polishing process (step 4120). Next, another polishing step 4122 is followed to remove a portion (eg, half) of the glass. Thereafter, the remaining part of the glass is removed, for example, by a chemical etching process using hydrofluoric acid. Etching the backside glass can be performed without the risk of attacking the active silicon layer or causing physical damage to the active silicon layer. This eliminates the need to tape the wafer and, as a result, eliminates the need for tape and re-tape equipment and the process risks associated with each of those steps. Thus, this process allows the substrate thickness to be further minimized and enhances device performance. It should be understood that many variations of the improved wafer thinning process are possible. For example, depending on the desired thickness for the final substrate, the thinning step may or may not include polishing, and chemical etching may be sufficient. Also, the improved wafer thinning process is not limited to processing individual devices, but can be used to process other types of devices. Another wafer thinning process is described in commonly assigned US Pat. No. 6,500,764 by Pritchett, the entire contents of which are incorporated herein by reference.

パワートランジスタおよびそれらの性能にかなり影響を与え得る他のパワーデバイスについての他の多くの構造上のアスペクトおよび加工のアスペクトがある。トレンチの形状は1つの例である。トレンチの角周辺に集中しがちな、ダメージを与える恐れのある電界を低減するために、先が尖った角を避け、その代わりに丸い角を有するトレンチを形成することが望ましい。信頼性を改善するために、トレンチの側壁が滑らかな表面を有していることも望ましい。異なる化学エッチングは、例えばシリコンのエッチングレート,マスク層に対する選択性,エッチングプロファイル(側壁の角度),一番上の角の丸み,側壁の粗さおよびトレンチ底部の丸みなどのような幾つかの反応の間でトレードオフを与える。例えばSF6であるフッ素化物(fluorinated chemistry)は、高いシリコンのエッチングレート(1.5μm/min),丸みを帯びたトレンチ底部および一直線のプロファイルを与える。フッ素化化学の欠点は、粗い側壁およびトレンチ(凹部であり得る)の表面の制御困難性である。例えばCl2である塩素化物は、より滑らかな側壁およびエッチングプロファイルおよびトレンチ表面のより良好な制御を与える。塩素化物でのトレードオフは、低いシリコンのエッチングレート(1.0μm/min)およびトレンチ底部の丸みの少なさである。 There are many other structural and processing aspects for power transistors and other power devices that can significantly affect their performance. The shape of the trench is one example. In order to reduce damaging electric fields that tend to concentrate around the corners of the trench, it is desirable to avoid pointed corners and instead form trenches with rounded corners. It is also desirable that the trench sidewalls have a smooth surface to improve reliability. Different chemical etches have several reactions such as silicon etch rate, selectivity to mask layer, etch profile (sidewall angle), top corner roundness, sidewall roughness and trench bottom roundness, etc. Give a trade-off between. SF 6 fluorinated chemistry, for example, gives a high silicon etch rate (1.5 μm / min), a rounded trench bottom and a straight profile. The disadvantage of fluorination chemistry is the difficulty of controlling the surface of the rough sidewalls and trenches (which can be depressions). For example chlorinated a Cl 2 gives a better control of the smoother side wall and etch profile and the trench surface. The trade-off with chlorides is low silicon etch rate (1.0 μm / min) and low roundness at the bottom of the trench.

付加的なガスは、エッチング中に側壁を表面安定化処理するのに役立つように各化学物質に加えられ得る。側壁の表面安定化処理は、横のエッチングを最小化するために使用され、所望のトレンチ深さにエッチングする。さらなる加工ステップがトレンチの側壁を滑らかにするために使用され、一番上の角およびトレンチ底部の丸み付けを実現する。トレンチの側壁の表面品質が重要である。その理由は、トレンチの側壁に成長され得る酸化層の質に影響を与える。使用される化学物質にかかわらず、通常、主要なエッチングステップの前に画期的なステップが使用される。当該画期的なステップの目的は、主要なエッチングステップの間にシリコンのエッチングをマスクし得る、シリコンの表面上の自然酸化物を除去することである。画期的なエッチングについての代表的な化学物質はCF4またはCl2を含む。 Additional gas can be added to each chemical to help surface stabilize the sidewalls during etching. Sidewall surface stabilization is used to minimize lateral etching and etch to the desired trench depth. Further processing steps are used to smooth the trench sidewalls to achieve rounding of the top corner and the bottom of the trench. The surface quality of the trench sidewalls is important. The reason affects the quality of the oxide layer that can be grown on the sidewalls of the trench. Regardless of the chemical used, a breakthrough step is usually used before the main etch step. The purpose of this breakthrough step is to remove the native oxide on the surface of the silicon that can mask the silicon etch during the main etch step. Typical chemicals for breakthrough etching include CF 4 or Cl 2 .

図42Aに示される、改善されたエッチング工程に対する1つの実施例は、塩素をベースにした、主要なシリコントレンチエッチングを使用し、フッ素をベースにしたエッチング工程が続けられる。この工程の1つの例は、Cl2/HBrの主要エッチングステップを使用し、次にSF6エッチングステップが続けられる。塩素処理ステップが主要なトレンチを所望の深さ部分までエッチングするために使用される。このことは、ある程度のテーパーおよび滑らかな側壁を有するトレンチプロファイルを定める。次の塩素処理ステップが、トレンチの残りの部分をエッチングするために使用され、トレンチ底部を丸め且つトレンチの側壁上のシリコンのダングリングボンドをさらに滑らかにする。フッ素化エッチング工程は、平滑化および丸み付けを制御するために、相対的に小さいフッ素流量,低圧および低電力で行われることが好ましい。2つの化学エッチング間のエッチング速度における差異によって、許容範囲にある全エッチング時間で、より信頼性があり且つ製造可能な工程を実現するように、2つのステップの時間はバランスを保たれ得るが、所望のトレンチプロファイル,側壁粗さおよびトレンチ底部の丸みは維持される。 One embodiment for the improved etching process shown in FIG. 42A uses a main silicon trench etch based on chlorine, followed by a fluorine based etching process. One example of this process uses a Cl 2 / HBr main etch step followed by an SF 6 etch step. A chlorination step is used to etch the main trench to the desired depth. This defines a trench profile with some taper and smooth sidewalls. The next chlorination step is used to etch the rest of the trench, rounding the bottom of the trench and further smoothing the silicon dangling bonds on the sidewalls of the trench. The fluorinated etching process is preferably performed at a relatively low fluorine flow rate, low pressure and low power to control smoothing and rounding. The difference in etch rate between the two chemical etches can balance the time of the two steps to achieve a more reliable and manufacturable process at an acceptable total etch time, The desired trench profile, sidewall roughness and trench bottom roundness are maintained.

図42Bに示される他の実施例において、シリコンエッチングに対する改良方法は、フッ素をベースにした主要なエッチングステップと次に続けられる塩素をベースにした第2のエッチングステップとを含む。この工程の1つの例は、SF6/O2の主要なエッチングと次に続けられるCl2のステップとを含む。フッ素のステップは、大部分の深さを占める主要なトレンチをエッチングするために使用される。このステップによって、まっすぐな側壁および丸められたトレンチ底部を有するトレンチが作られる。状況に応じて、酸素がこのステップに加えられて、側壁の安定化処理を与え、側方エッチングを低減することによってまっすぐな側壁を維持することを補佐する。引き続き行われる塩素のステップは、トレンチの先端部の角を丸め且つ側壁粗さを低減させる。フッ素のステップの速いシリコンエッチング速度は、エッチングシステムの処理能力を増加させることによって、工程の生産性を増大させる。 In another embodiment shown in FIG. 42B, an improved method for silicon etching includes a main etching step based on fluorine and a second etching step based on chlorine followed by a second. One example of this process includes a main etch of SF 6 / O 2 followed by a Cl 2 step. The fluorine step is used to etch the main trench occupying most of the depth. This step creates a trench with straight sidewalls and a rounded trench bottom. Depending on the situation, oxygen may be added to this step to provide sidewall stabilization and help maintain straight sidewalls by reducing side etching. Subsequent chlorine steps round the corners of the trench tips and reduce sidewall roughness. The fluorine step fast silicon etch rate increases process productivity by increasing the throughput of the etching system.

図42Cに示されるさらに別の実施例において、改良されたシリコンエッチング工程が、フッ素をベースにした化学反応にアルゴンを付加することによって得られる。この実施例による、主要なエッチングステップ用に使用される化学の例は、SF6/O2/Arである。エッチングステップにアルゴンを加えることによってイオン衝撃が増大し、その結果エッチングがより物理的になる。このことは、トレンチの先端部を制御するのに役立ち、トレンチの先端部が凹角になることをなくす。アルゴンの付加は、トレンチ底部の丸みを増大させ得る。付加的なエッチング工程は、側壁の平滑化のために必要とされ得る。 In yet another embodiment shown in FIG. 42C, an improved silicon etch process is obtained by adding argon to a fluorine-based chemical reaction. An example of chemistry used for the main etching step according to this example is SF 6 / O 2 / Ar. By adding argon to the etching step, ion bombardment is increased, resulting in a more physical etching. This is useful for controlling the tip of the trench and prevents the trench tip from becoming a concave angle. The addition of argon can increase the roundness of the trench bottom. An additional etching step may be required for sidewall smoothing.

改良されたシリコンエッチング工程に対する別の実施例は、図42Dに示されるように、
フッ素をベースにした化学を酸素とともに使用するが、酸素は主要なエッチングステップの出発点からは取り除かれている。この工程の1つの例は、SF6を使用し、次にSF6/O2のステップが続けられる。エッチングの第1段階において、O2不足による側壁の安定化処理不足がある。このことは、トレンチの先端部で側方エッチングの量を増大させる結果をもたらす。その後、第2のエッチングステップSF6/O2が、トレンチ深さの残部のエッチングを続け、まっすぐなプロファイルおよび丸み付けられたトレンチ底部にする。このことは、時々T−トレンチとして言及される、先端部でより幅広いトレンチ構造をもたらす。T−トレンチ構造を使用するデバイスの例は、Robert Herrickによる「自動位置合わせ機能を有するトレンチMOSFETを形成する構造および方法」と表題が付けられた、同一出願人による米国特許出願第10/442,670号(代理人整理番号:18865−131/17732−66850)に詳細に説明されている。当該特許出願の内容全体は、本願に引用して援用される。2つの主要なエッチングステップに対する時間は、T−トレンチの各部分(T部分の先端,底部,まっすぐな側壁部)に対する所望のエッチングを実現するように調整され得る。付加的な処理は、T−トレンチの先端角部を丸み付けし且つトレンチの側壁を滑らかにするために使用され得る。これらの付加的な処理方法は、例えば、(1)トレンチのエッチングレシピの終わりのフッ素をベースにしたステップまたは(2)分離したエッチングシステムにおける分離したフッ素をベースにしたエッチングまたは(3)犠牲酸化物(sacrificial oxide)またはその他の組み合わせである。化学機械平坦化(CMP)のステップが、トレンチプロファイルの先端凹角部分を除去するために使用され得る。H2アニールも、丸み付けしたり、好ましいスロープトレンチプロファイルを作ることを補佐するために使用され得る。
Another example for an improved silicon etch process is as shown in FIG.
Fluorine-based chemistry is used with oxygen, but oxygen is removed from the starting point of the main etching step. One example of this process, using the SF 6, then step SF 6 / O 2 is continued. In the first stage of etching, there is a lack of sidewall stabilization due to lack of O 2 . This results in an increased amount of lateral etching at the trench tip. Thereafter, a second etching step SF 6 / O 2 continues to etch the remainder of the trench depth, resulting in a straight profile and a rounded trench bottom. This results in a wider trench structure at the tip, sometimes referred to as a T-trench. An example of a device using a T-trench structure is the same applicant's US patent application Ser. No. 10/442, entitled “Structure and Method of Forming Trench MOSFET with Self-Alignment” by Robert Herrick. No. 670 (Agent reference number: 18865-131 / 17732-66850). The entire contents of the patent application are incorporated herein by reference. The time for the two main etch steps can be adjusted to achieve the desired etch for each portion of the T-trench (T portion tip, bottom, straight sidewalls). Additional processing can be used to round the tip corners of the T-trench and smooth the sidewalls of the trench. These additional processing methods include, for example, (1) a fluorine-based step at the end of the trench etch recipe or (2) a separate fluorine-based etch in a separate etch system or (3) a sacrificial oxidation Sacrificial oxide or other combinations. A chemical mechanical planarization (CMP) step can be used to remove the tip re-entrant portion of the trench profile. An H 2 anneal can also be used to assist in rounding or creating a preferred slope trench profile.

トレンチがより深い傾向にある、高電圧アプリケーションに対して、さらなる考慮がある。例えば、深いトレンチによって、シリコンエッチング速度は、製造可能な工程を実現するために重要である。このアプリケーションに対する化学エッチングは、通常フッ素化化学である。その理由は、塩酸化学エッチングは遅すぎるからである。また、滑らかな側壁を有する、一直線からテーパーがつけられたトレンチプロファイルが望ましい。トレンチの深さによって、エッチング工程は、マスク層に対する優れた選択性を有することも必要とされる。選択性に乏しい場合には、厚いマスク層が必要とされる。当該厚いマスク層は、機能の全アスペクト比を増大させる。側壁の安定化処理も極めて重要であり、微妙なバランスが実現されることを必要とする。側壁の過剰の安定化処理は、トレンチの底部が閉じるポイントまで狭くなる原因となり、側壁の過小な安定化処理は、側方エッチングが増大することをもたらす。   There are additional considerations for high voltage applications where trenches tend to be deeper. For example, due to the deep trench, the silicon etch rate is important to achieve a manufacturable process. The chemical etch for this application is usually fluorination chemistry. This is because hydrochloric acid chemical etching is too slow. A trench profile tapered from a straight line with smooth sidewalls is also desirable. Depending on the depth of the trench, the etching process is also required to have excellent selectivity for the mask layer. If the selectivity is poor, a thick mask layer is required. The thick mask layer increases the overall aspect ratio of the function. Side wall stabilization is also extremely important and requires that a delicate balance be achieved. Excessive sidewall stabilization causes the bottom of the trench to narrow to the point of closure, and excessive sidewall stabilization results in increased lateral etching.

1つの実施例において、深いトレンチのエッチング工程は、これら全ての要求を最適にバランスを保つように与えられる。図42Eに示されるこの実施例によると、エッチング工程は、傾斜をつけたO2(ramped 2),傾斜をつけた電力(ramped power)および/または傾斜をつけた圧力(ramped pressure)とともにフッ素をベースにした化学エッチングを含む。1つの例示的な実施例は、エッチングの間中にエッチングプロファイルおよびシリコンエッチング速度を維持するような態様でSF6/O2エッチングステップを使用することである。O2に傾斜をつけることによって、側壁の表面安定化処理量は、エッチングの間中制御され、側方エッチングが増大するのを防ぎ(表面安定化処理が少なすぎる場合)またはトレンチの底部がくびれ切れることを防ぐ(表面安定化処理が多すぎる場合)。傾斜がつけられた酸素ガスの流れとともにフッ素をベースにしたエッチングを使用する例は、Grebsなどによる「増加する酸素フローを有する集積回路のトレンチエッチング」と表題が付けられた自己の米国特許第6,680,232号に詳細に説明されている。当該特許の内容全体は、本願に引用して援用される。電力および圧力に傾斜をつけることは、イオン流出密度を制御し且つシリコンのエッチング速度を維持することを補佐する。 トレンチがより深くエッチングされるにつれて、シリコンのエッチング速度がエッチング中にかなり遅くなる場合には、全エッチング時間は長くなる。このことは、エッチングをする工程に対して低いウエハ処理能力をもたらす。また、O2に傾斜をつけることは、マスキング材料に対する選択性を制御することに役立つ結果となる。例えば10μmより深いトレンチに対する、この実施例による例示的な工程は、10〜20ワット/分の電力レベルおよび2〜3mT/分の圧力レベルで、3〜5sccm/分のO2流量を有し得る。 In one embodiment, a deep trench etch process is provided to optimally balance all these requirements. According to this embodiment shown in FIG. 42E, the etching process is based on fluorine with graded O 2 (ramped 2), ramped power and / or ramped pressure. Chemical etching. One exemplary embodiment is to use the SF 6 / O 2 etch step in a manner that maintains the etch profile and silicon etch rate during etching. By tilting O 2 , the sidewall surface stabilization throughput is controlled throughout the etch to prevent side etching from increasing (if there is too little surface stabilization) or the bottom of the trench is constricted. Prevent cutting (when there is too much surface stabilization treatment). An example of using a fluorine-based etch with a graded oxygen gas flow is self-assigned US Pat. No. 6, entitled “Integrated Circuit Trench Etch with Increasing Oxygen Flow” by Grebs et al. , 680,232. The entire contents of the patent are incorporated herein by reference. Increasing the power and pressure assists in controlling the ion flux density and maintaining the silicon etch rate. As the trench is etched deeper, the total etch time increases if the silicon etch rate becomes significantly slower during the etch. This results in low wafer throughput for the etching process. Also, inclining O 2 results in helping to control the selectivity to the masking material. An exemplary process according to this embodiment, for example for trenches deeper than 10 μm, may have an O 2 flow rate of 3-5 sccm / min at a power level of 10-20 watts / min and a pressure level of 2-3 mT / min. .

深いトレンチのエッチング工程の別の実施例は、例えばNF3のようなフッ素をベースにしたより攻撃的な化学を使用する。NF3は、シリコンエッチングに対してNF6より反応性があるので、NF3を使用することによって、より速いシリコンエッチング速度が実現される。付加的なガスが、側壁の表面安定化処理およびプロファイル制御のために加えられる必要があり得る。 Another example of a deep trench etch process uses a more aggressive chemistry based on fluorine, such as NF 3 . Since NF 3 is more reactive than NF 6 to silicon etching, a faster silicon etch rate is achieved by using NF 3 . Additional gas may need to be added for sidewall surface stabilization and profile control.

他の実施例においては、NF3エッチングステップに続いて、SF6/O2工程が続けられる。この実施例によると、NF3ステップは、シリコンの高エッチング速度でトレンチの深さの大部分をエッチングするために使用される。その後、SF6/O2のエッチングステップが、現存するトレンチ側壁の表面安定化処理をし且つトレンチ深さの残りの部分をエッチングするために使用される。図42Fに示される、この実施例のバリエーションにおいて、NF3およびSF6/O2のエッチングステップは交互の態様で行われる。このことは、立て続けのSF6/O2工程よりも、より大きなシリコンエッチング速度での工程を与える。このことは、速いエッチング速度のステップ(NF3)とプロファイル制御のための側壁表面安定化処理をもたらすステップ(SF6/O2)との間のバランスを保つ。ステップ間の当該バランスは、側壁粗さを制御する。シリコンのエッチング速度を維持し且つエッチングプロファイルを制御するのに役立つ十分な側壁表面安定化処理をもたらすために、エッチングのSF6/O2部分に対するO2,電力および圧力に傾斜をつける必要もあり得る。上述の実施例に関連して説明されるさまざまな工程ステップは、最適なトレンチのエッチング処理を実現するために異なる方法で組み合わせられ得る、ということが当業者によって認識されるであろう。これらのエッチング工程は、本願に説明されたいかなるパワーデバイスにおけるいかなるトレンチにも、集積回路の他のタイプに使用されるトレンチのほかのタイプにも使用され得る、ということが理解されるべきである。 In another embodiment, the SF 6 / O 2 process is followed by the NF 3 etch step. According to this embodiment, the NF 3 step is used to etch most of the trench depth at high silicon etch rates. An SF 6 / O 2 etch step is then used to surface treat the existing trench sidewalls and etch the remainder of the trench depth. In a variation of this embodiment shown in FIG. 42F, the NF 3 and SF 6 / O 2 etch steps are performed in an alternating fashion. This provides a process with a higher silicon etch rate than the standing SF 6 / O 2 process. This keeps a balance between the fast etch rate step (NF 3 ) and the step providing the sidewall surface stabilization process for profile control (SF 6 / O 2 ). This balance between steps controls the sidewall roughness. It is also necessary to ramp the O 2 , power and pressure for the SF 6 / O 2 portion of the etch in order to provide sufficient sidewall surface stabilization to help maintain the silicon etch rate and control the etch profile. obtain. It will be appreciated by those skilled in the art that the various process steps described in connection with the above embodiments can be combined in different ways to achieve an optimal trench etch process. It should be understood that these etching steps can be used for any trench in any power device described herein, as well as other types of trenches used in other types of integrated circuits. .

トレンチのエッチング工程に先立って、トレンチのエッチングマスクがシリコンの表面上に形成され、トレンチ形成されるべき領域を露出するようにパターンがつけられる。図43Aに示されるように、通常のデバイスにおいては、シリコン基板をエッチングする前に、トレンチのエッチングは、窒化物の層4305およびパッド酸化物の別の薄層4303を最初にエッチングする。トレンチにおける酸化層の形成中にトレンチが形成された後、パッド酸化物4303は、下にある窒化物層を持ち上げながらトレンチの端にも成長し得る。このことは、パッド酸化物が窒化物層4305の下のトレンチ端部近辺に局所的に成長するにつれて、一般的に”鳥の嘴”構造4307として言及されるものをもたらす。鳥の嘴構造を有するパッド酸化物の下のトレンチ端部の隣に次に形成されるソース領域は、トレンチの近くでより浅くなる。このことは極めて望ましくない。鳥の嘴効果を取り除くために、図43Bに示される1つの実施例において、例えばポリシリコン4309のような非酸化材料の層が、窒化物層4305とパッド酸化物4303との間にはさまれる。ポリ層4309は、次のトレンチ酸化物形成の間にパッド酸化物4303がさらに酸化されることを防ぐ。図44Aに示される他の実施例において、トレンチの開口を定める、窒化物層4405およびパッド酸化物4403を通じたエッチングの後に、例えば窒化物のような非酸化材料4405−1が表面構造上に形成される。その後、保護層4405−1は、図44Bに示されるような窒化物−パッド酸化物の構造の垂直な端面に沿ったスペーサを残して、水平な表面から除去される。窒化物のスペーサは、鳥の嘴効果を低減する次のステップの間に、パッド酸化物4403をさらなる酸化から保護する。別の実施例において、鳥の嘴の形成の程度を減じるために、図43Bおよび図44Bに示される両方の実施例は、組み合わせられ得る。つまり、ポリシリコン層は、図44Aおよび図44Bに関連して説明された工程から生じたスペーサに加えて、パッド酸化物と下にある窒化物との間に挟まれ得る。例えば、シリコントレンチをエッチングする間に、窒化物選択性に役立つように窒化物の表面上に他の層(例えば酸化物)を付加することを含む他のバリエーションが可能である。   Prior to the trench etching process, a trench etch mask is formed on the surface of the silicon and patterned to expose the region to be trenched. As shown in FIG. 43A, in a typical device, the trench etch first etches the nitride layer 4305 and another thin layer of pad oxide 4303 prior to etching the silicon substrate. After the trench is formed during the formation of the oxide layer in the trench, the pad oxide 4303 can also grow on the edge of the trench while lifting the underlying nitride layer. This results in what is commonly referred to as a “bird's-eye” structure 4307 as the pad oxide grows locally near the trench edge below the nitride layer 4305. The next source region formed next to the trench edge under the pad oxide with a birdcage structure becomes shallower near the trench. This is highly undesirable. To remove the bird's beak effect, in one embodiment shown in FIG. 43B, a layer of non-oxidized material, such as polysilicon 4309, is sandwiched between the nitride layer 4305 and the pad oxide 4303. . Poly layer 4309 prevents pad oxide 4303 from being further oxidized during subsequent trench oxide formation. In another embodiment shown in FIG. 44A, after etching through nitride layer 4405 and pad oxide 4403, which defines the opening of the trench, a non-oxidized material 4405-1 such as nitride is formed on the surface structure. Is done. Thereafter, the protective layer 4405-1 is removed from the horizontal surface leaving a spacer along the vertical end face of the nitride-pad oxide structure as shown in FIG. 44B. The nitride spacer protects the pad oxide 4403 from further oxidation during the next step of reducing the birdcage effect. In another embodiment, both embodiments shown in FIGS. 43B and 44B can be combined to reduce the degree of birdcage formation. That is, the polysilicon layer can be sandwiched between the pad oxide and the underlying nitride in addition to the spacer resulting from the process described in connection with FIGS. 44A and 44B. Other variations are possible including, for example, adding other layers (eg, oxides) on the nitride surface to help nitride selectivity while etching the silicon trench.

シールドゲート構造を有するさまざまなトランジスタに関連して上述されたように、誘電体層は、シールド電極をゲート電極から絶縁する。時々ポリ間誘電体(inter-poly dielectric)すなわちIPDとして言及される電極間誘電体層は、シールド電極とゲート電極との間に存在し得る電位差に耐えることができるように、頑強で信頼性のある態様で形成されなければならない。図31E,図31Fおよび図31Gを参照すると、関連した工程ステップに対する簡略化フローが示されている。トレンチの内部でシールドポリ3111のエッチバックの後、シールド誘電体層3108はシールドポリ3111と同じレベルまでエッチバックされる(図31F)。その後、図31Gに示されたように、ゲート誘電体層3108aがシリコンの上面上に形成される。IPD層を形成するのはこのステップである。シールド誘電体のリセスエッチング(recess etch)の人工産物は、シールド誘電体の上面上への浅い溝の形成であり、シールド電極のどちら側にも残される。これは図45Aに示される。平坦でないトポグラフィーを有する、結果として得られた構造は、特に次の充填ステップで正角性の問題(conformality problem)を引き起こし得る。かかる問題をなくすために、IPDを形成するためのさまざまな改良方法が示されている。   As described above in connection with various transistors having a shielded gate structure, the dielectric layer insulates the shield electrode from the gate electrode. Interelectrode dielectric layers, sometimes referred to as inter-poly dielectrics or IPDs, are robust and reliable so that they can withstand potential differences that may exist between the shield electrode and the gate electrode. It must be formed in some way. Referring to FIGS. 31E, 31F and 31G, a simplified flow for related process steps is shown. After the etch back of the shield poly 3111 inside the trench, the shield dielectric layer 3108 is etched back to the same level as the shield poly 3111 (FIG. 31F). Thereafter, a gate dielectric layer 3108a is formed on the top surface of the silicon, as shown in FIG. 31G. It is this step that forms the IPD layer. The artifact of recess etching of the shield dielectric is the formation of a shallow trench on the top surface of the shield dielectric and is left on either side of the shield electrode. This is shown in FIG. 45A. The resulting structure with non-planar topography can cause conformality problems, especially in the next filling step. In order to eliminate such problems, various improved methods for forming an IPD have been shown.

1つの実施例によると、シールド誘電体のリセスエッチング後に、多結晶シリコン(ポリ)のライナー4508Pが、例えば低圧化学気相成長法(LPCVD)の工程を使用して、図45Bに示されるように堆積される。或いは、ポリライナー4508Pは、シールドポリおよびシールド誘電体にわたってのみ形成され、ポリに対する選択成長またはポリの平衡スパッタを使用することによってトレンチ側壁を実質的にポリがない状態にする。ポリライナー4508Pは、その後酸化されて二酸化ケイ素に変わる。このことは、従来の熱酸化工程によって行われる。トレンチ側壁にポリが形成されていない実施例において、この酸化工程はゲート誘電体層4508Gをも形成する。トレンチの側壁から酸化したポリ層をエッチングした後、ゲート誘電体4508Gの薄層が形成され、図45Cに示されるように、残りのトレンチの空洞はゲート電極4510で満たされる。この工程の利点は、ポリが等角法で堆積するということである。このことは、ボイドおよび他の欠陥を最小化し、ポリがひとたびシールド誘電体およびシールド電極の上に堆積されると、より平坦な表面が形成される。結果として、より頑強で信頼性のある、改善されたIPD層が得られる。酸化の前に、トレンチ側壁および隣接するシリコン表面積をポリシリコンで囲む(ライニング)することによって、次の酸化ステップはメサの消費量が少なくなり且つトレンチの幅が不必要に広がることを最小化される。   According to one embodiment, after recess etching of the shield dielectric, a polysilicon (poly) liner 4508P is used, as shown in FIG. 45B, using, for example, a low pressure chemical vapor deposition (LPCVD) process. Is deposited. Alternatively, the polyliner 4508P is formed only over the shield poly and shield dielectric, leaving the trench sidewalls substantially poly free by using selective growth over poly or balanced sputtering of poly. Polyliner 4508P is then oxidized to silicon dioxide. This is done by a conventional thermal oxidation process. In embodiments where no poly is formed on the trench sidewalls, this oxidation step also forms a gate dielectric layer 4508G. After etching the oxidized poly layer from the trench sidewalls, a thin layer of gate dielectric 4508G is formed and the remaining trench cavities are filled with gate electrode 4510, as shown in FIG. 45C. The advantage of this process is that the poly is deposited conformally. This minimizes voids and other defects, and a flatter surface is formed once the poly is deposited over the shield dielectric and shield electrode. The result is an improved IPD layer that is more robust and reliable. By lining the trench sidewalls and adjacent silicon surface area with polysilicon prior to oxidation, the next oxidation step is minimized by reducing mesa consumption and unnecessarily widening the trench width. The

別の実施例において、図46A,図46Bおよび図46Cに示される簡略断面図において、シールドポリのリセスエッチングから生じた、トレンチ内部の空洞は、誘電体充てん材料4608Fで満たされる。当該誘電体充てん材料4608Fは、シールド誘電体4608Sのエッチング速度と同様のエッチング速度を有する、このステップは、高密度プラズマ(HDP)酸化物沈着,気相成長法(CVD)またはスピンオンガラス(SOG)工程のいずれかを使用して実施され得る。次に平坦化ステップが続けられ、トレンチの最上部で平面が得られることとなる。その後、誘電体充てん材料4608Fおよびシールド誘電体材料4608Sは、必要な厚さを有する絶縁材料の層が図46Bに示されるようにシールド電極4611の一面に残るように均一にエッチバックされる。その後、トレンチ側壁はゲート誘電体でライニングされ、その後、図46Cに示されるように、残りのトレンチの空洞はゲート電極で満たされる。結果として、局所的な非均一性がない、極めて等角なIPD層が得られる。   In another embodiment, in the simplified cross-sectional views shown in FIGS. 46A, 46B, and 46C, the cavities inside the trench resulting from the recess etch of the shield poly are filled with dielectric fill material 4608F. The dielectric fill material 4608F has an etch rate similar to the etch rate of the shield dielectric 4608S, which may include high density plasma (HDP) oxide deposition, vapor deposition (CVD) or spin-on glass (SOG). It can be performed using any of the steps. The planarization step is then continued and a plane is obtained at the top of the trench. Thereafter, dielectric fill material 4608F and shield dielectric material 4608S are uniformly etched back so that a layer of insulating material having the required thickness remains on one side of shield electrode 4611 as shown in FIG. 46B. Thereafter, the trench sidewalls are lined with a gate dielectric, after which the remaining trench cavities are filled with a gate electrode, as shown in FIG. 46C. The result is a highly conformal IPD layer with no local non-uniformity.

高品質IPDを形成する別の方法に対する例示的な実施例は、図47Aおよび図47Bの簡略断面図に示されている。トレンチの内部にシールド誘電体層4708Sを形成し、空洞をシールドポリ4711で満たした後、シールドポリのエッチバックステップが行われ、トレンチ内部にシールドポリが埋め込まれることとなる。この実施例において、シールドポリのリセスエッチングは、トレンチにより多くのポリを残し、埋め込まれたシールドポリの上面が最終的な目標深さよりも高くなるようになる。シールドポリの上面の余分なポリの厚さは、IPDの目標厚さとほぼ同じになるように設計される。その後、シールド電極のこの上部は、その酸化率をさらに高めるように物理的改変または化学変換させられる。電極を化学変換または物理的改変させるための方法は、例えばフッ素またはアルゴンのイオンのような不純物をポリシリコン中にイオン注入することによって行われ、シールド電極の酸化率をそれぞれ高めることとなる。注入は、0度で行われることが好ましい。すなわち、トレンチ側壁を物理的改変または化学変換させないように、図47Aに示されるようにシールド電極に直角に行われることが好ましい。次に、シールド誘電体4708Sは、トレンチ側壁から誘電体を除去するためにエッチングされる。このシールド誘電体リセスエッチングは、(図45Aに示されたものと同様に)シールド電極4711に隣接する、残りのシールド誘電体にわずかな凹部をもたらす。次に、従来の酸化ステップが続けられ、その結果、シールドポリ4711の変化された上部は、トレンチの側壁よりも速い速度で酸化する。このことは、トレンチシリコン表面の側壁に沿った部分より、シールド電極にわたった部分に実質的により厚い絶縁体4708Tの形成をもたらす。シールド電極にわたった厚い絶縁体4708Tは、OPDを形成する。変化したポリは、シールド誘電体リセスエッチングの結果としてシールド誘電体の上面に形成された幾つかの凹部を補償すると同時に、横方向に酸化する。その後、従来ステップが実行されてトレンチにゲート電極を形成し、図47Bに示される構造が得られることとなる。1つの実施例において、シールド電極は、IPD対ゲート酸化物の厚さ比が2対1から5対1の範囲になるように変化させられる。例として、4対1の割合が選択される場合には、シールド電極にわたって形成されたIPDの約2000Aに対して、約500Aのゲート酸化物がトレンチ側壁に沿って形成される。   An exemplary embodiment for another method of forming a high quality IPD is shown in the simplified cross-sectional views of FIGS. 47A and 47B. After the shield dielectric layer 4708S is formed inside the trench and the cavity is filled with the shield poly 4711, a shield poly etch back step is performed, and the shield poly is buried inside the trench. In this embodiment, the recess etching of the shield poly leaves more poly in the trench, so that the upper surface of the buried shield poly becomes higher than the final target depth. The extra poly thickness on the top surface of the shield poly is designed to be approximately the same as the IPD target thickness. Thereafter, this upper part of the shield electrode is physically modified or chemically transformed to further increase its oxidation rate. A method for chemically converting or physically modifying the electrode is performed by ion-implanting impurities such as fluorine or argon ions into the polysilicon to increase the oxidation rate of the shield electrode, respectively. The implantation is preferably performed at 0 degrees. That is, it is preferably performed at right angles to the shield electrode as shown in FIG. 47A so that the trench sidewall is not physically altered or chemically transformed. Next, the shield dielectric 4708S is etched to remove the dielectric from the trench sidewalls. This shield dielectric recess etch results in a slight recess in the remaining shield dielectric adjacent to shield electrode 4711 (similar to that shown in FIG. 45A). The conventional oxidation step is then continued so that the altered top of shield poly 4711 oxidizes at a faster rate than the trench sidewalls. This results in the formation of a substantially thicker insulator 4708T in the portion across the shield electrode than in the portion along the sidewall of the trench silicon surface. A thick insulator 4708T across the shield electrode forms the OPD. The altered poly oxidizes laterally while simultaneously compensating for some recesses formed in the top surface of the shield dielectric as a result of the shield dielectric recess etch. Thereafter, conventional steps are performed to form a gate electrode in the trench, and the structure shown in FIG. 47B is obtained. In one embodiment, the shield electrode is varied such that the IPD to gate oxide thickness ratio ranges from 2: 1 to 5: 1. As an example, if a 4 to 1 ratio is selected, about 500 A of gate oxide is formed along the trench sidewall for about 2000 A of IPD formed over the shield electrode.

別の実施例において、物理的改変または化学変換のステップは、シールド誘電体リセスエッチングの後に実行される。つまり、シールド酸化物4708Sは、トレンチ側壁から酸化物を除去するためにエッチングされる。このことは、シリコンおよびシールド電極の上部を、上述の物理的改変または化学的変換の方法に曝す。曝されたトレンチ側壁で、変更ステップは水平面、すなわちシリコンメサおよびシールド電極のみに制限される。例えばドーパントのイオン注入のような変化方法は、トレンチ側壁を物理的改変または化学的変換させないように0度(シールド電極に垂直)で行われる。その後、従来ステップが実行され、トレンチ中にゲート電極が形成される結果、シールド電極にわたった厚い誘電体をもたらす。   In another embodiment, the physical modification or chemical conversion step is performed after the shield dielectric recess etch. That is, the shield oxide 4708S is etched to remove the oxide from the trench sidewalls. This exposes the top of the silicon and shield electrode to the physical modification or chemical transformation methods described above. With exposed trench sidewalls, the modification step is limited to the horizontal plane, i.e., the silicon mesa and shield electrode. For example, changing methods such as dopant ion implantation are performed at 0 degrees (perpendicular to the shield electrode) so as not to physically modify or chemically transform the trench sidewalls. Thereafter, conventional steps are performed to form a gate electrode in the trench resulting in a thick dielectric over the shield electrode.

改善されたIPD層を形成するさらに他の実施例は、図48に示されている。この実施例によると、例えば酸化物から作られている厚い絶縁層4808Tは、埋め込まれたシールド酸化物4808Sおよびシールド電極4811にわたって形成されている。厚い絶縁膜4808Tは、高密度プラズマ(HDP)法またはプラズマ化学気相成長法(PECVD)のような指向性蒸着法を使用して選択的に形成される(すなわち下から上への充てん)。指向性蒸着法は、図48に示されるように、垂直面に沿った(すなわちトレンチ側壁にわたった)部分よりも、水平面に沿って(すなわちシールド電極およびシールド酸化物にわたって)実質的に厚い絶縁体の形成をもたらす。その後、側壁から酸化物を除去するためにエッチングステップが行われるが、シールドポリシリコンにわたって十分な酸化物を残しておく。その後、トレンチ中にゲート電極を形成するために従来ステップが実行される。等角のIPDを得ること以外の、この実施例の利点は、IPDが酸化工程よりむしろ蒸着工程を通して形成されるので、メサの破壊やトレンチの拡がりが妨げられるということである。この方法の他の利点は、トレンチの上端角部で得られる丸みである。   Yet another example of forming an improved IPD layer is shown in FIG. According to this embodiment, a thick insulating layer 4808T made of, for example, oxide is formed over the buried shield oxide 4808S and shield electrode 4811. Thick insulating film 4808T is selectively formed using a directional deposition method such as high density plasma (HDP) or plasma enhanced chemical vapor deposition (PECVD) (ie, filling from bottom to top). The directional deposition method provides substantially thicker insulation along the horizontal plane (ie, over the shield electrode and shield oxide) than the portion along the vertical plane (ie, across the trench sidewalls), as shown in FIG. Causes body formation. An etching step is then performed to remove the oxide from the sidewalls, leaving enough oxide over the shield polysilicon. Thereafter, conventional steps are performed to form a gate electrode in the trench. The advantage of this embodiment, other than obtaining a conformal IPD, is that the IPD is formed through a deposition process rather than an oxidation process, thus preventing mesa breakdown and trench spreading. Another advantage of this method is the roundness obtained at the top corner of the trench.

別の実施例において、シールド誘電体およびシールドポリが配置された後、スクリーン酸化物4908Pの薄層がトレンチの内部に成長される。その後、窒化ケイ素の層4903が、図49Aに示されるようにスクリーン酸化物4908Pを覆うように蒸着される。その後、窒化ケイ素層4903は、トレンチの底部面(すなわちシールドポリ上)からは除去されるがトレンチ側壁からは除去されないように等方エッチングされる。結果として得られる構造は、図49Bに示されている。その後、ウエハは酸化環境に曝され、図49Cに示されるように、厚い酸化物4908Tがシールドポリシリコン表面を形成することとなる。窒化物層4903は酸化に耐性があるので、著しい酸化はトレンチ側壁に沿って起こらない。その後、窒化物層4903は、例えば熱リン酸を使用してウエットエッチングによって除去される。図49Dに示されるように、従来の工程がゲート酸化物およびゲート電極を形成するために続けられる。   In another embodiment, after the shield dielectric and shield poly are placed, a thin layer of screen oxide 4908P is grown inside the trench. A layer of silicon nitride 4903 is then deposited over the screen oxide 4908P as shown in FIG. 49A. Thereafter, the silicon nitride layer 4903 is isotropically etched so that it is removed from the bottom surface of the trench (ie, on the shield poly) but not from the trench sidewalls. The resulting structure is shown in FIG. 49B. The wafer is then exposed to an oxidizing environment and a thick oxide 4908T will form a shielded polysilicon surface, as shown in FIG. 49C. Since the nitride layer 4903 is resistant to oxidation, no significant oxidation occurs along the trench sidewalls. Thereafter, the nitride layer 4903 is removed by wet etching using, for example, hot phosphoric acid. As shown in FIG. 49D, conventional processes are continued to form the gate oxide and gate electrode.

幾つかの実施例において、IPD層の形成はエッチング工程を含む。例えば、IPDフィルムがトポグラフィーにわたって蒸着されている実施例に対して、所望の最終的なIPDの厚さよりもかなり厚いフィルム層が最初に蒸着され得る。このことは、平面のフィルム層を得るためになされ、トレンチ中の出発層の凹みを最小限にする。その後、厚いフィルムトレンチ(トレンチを完全に満たし且つシリコン表面にわたって伸長している)は、その厚さをIPD層の目標の厚さまで減じるためにエッチングされる。1つの実施例によると、IPDのエッチング工程は、少なくとも2つのエッチングステップにおいて行われる。第1ステップは。フィルムをシリコン表面へ平坦化することを目的としている。第2ステップは、IPD層をトレンチ内の所望の深さに配置しようとすることである。この第2のステップにおいて、シリコンに対するIPDフィルムのエッチング選択性が重要である。リセスエッチングステップの間に、IPD層のようなシリコンのトレンチ側壁と同様にシリコンメサが露出されトレンチ中に埋め込まれる。メサにおけるシリコンの損失は、実際のトレンチ深さに影響し、T−トレンチが必要とされる場合にはTの深さも影響される。   In some embodiments, the formation of the IPD layer includes an etching step. For example, for embodiments where the IPD film is deposited over the topography, a film layer that is significantly thicker than the desired final IPD thickness may be deposited first. This is done to obtain a planar film layer, minimizing the indentation of the starting layer in the trench. The thick film trench (which completely fills the trench and extends across the silicon surface) is then etched to reduce its thickness to the target thickness of the IPD layer. According to one embodiment, the IPD etching process is performed in at least two etching steps. The first step is: The purpose is to flatten the film to the silicon surface. The second step is to try to place the IPD layer at the desired depth in the trench. In this second step, the etch selectivity of the IPD film to silicon is important. During the recess etch step, a silicon mesa is exposed and buried in the trench, as well as a silicon trench sidewall such as an IPD layer. The silicon loss in the mesa affects the actual trench depth, and if a T-trench is required, the T depth is also affected.

図50Aに示される1つの例示的な実施例において、異方性プラズマエッチングのステップ5002が、シリコン表面に至るまでIPDフィルムを平坦化するために使用される。プラズマエッチングに対する例示的なエッチング速度は、5000A/分であり得る。次に等方性ウエットエッチング5004が続けられ、トレンチ中にIPDを設けることとなる。ウエットエッチングは、曝されたときにシリコン側壁を攻撃しないように且つ特定のリセス深さを得るために再現可能なエッチングを与えるように、シリコンに対して選択的な制御された溶液を使用して行われることが好ましい。ウエットエッチングに対する例示的な化学は、25℃で約1100A/分のエッチング速度を実現する、6:1の緩衝酸化物エッチング(BOE)であり得る。Rodney Ridleyによる同一出願人による米国特許第6,465,325号は、この工程に適した例示的なプラズマおよびウエットエッチングレシピに対する詳細を与え、その内容全体は本願に引用して援用される。最初のプラズマエッチングのステップは、ウエットエッチングよりもトレンチにわたったIPD層の凹みを少なくすることをもたらす。リセスエッチング用の第2のウエットエッチングの工程は、プラズマエッチングでもたらされるよりもシリコンに対する選択性に優れ且つシリコンに対するダメージが少ないという結果をもたらす。図50Bに示される別の実施例において、化学機械平坦化(CMP)工程は、シリコン表面までIPDフィルムを平坦化するために使用される。次に、IPDをトレンチ中に設けるためにウエットエッチングが続けられる。CMP工程は、トレンチにわたったIPD層の凹みを少なくすることをもたらす。リセスエッチングに対するウエットエッチングのステップは、シリコンに対する良好な選択性およびシリコンに対する少ないダメージをもたらす(CMPによって生じる)。これらの工程の他の組み合わせも可能である。   In one exemplary embodiment shown in FIG. 50A, an anisotropic plasma etch step 5002 is used to planarize the IPD film down to the silicon surface. An exemplary etch rate for plasma etching may be 5000 A / min. Then isotropic wet etching 5004 is continued to provide an IPD in the trench. Wet etching uses a controlled solution that is selective to silicon so as not to attack the silicon sidewalls when exposed and to provide a reproducible etch to obtain a specific recess depth. Preferably, it is done. An exemplary chemistry for a wet etch may be a 6: 1 buffered oxide etch (BOE) that achieves an etch rate of about 1100 A / min at 25 ° C. Commonly assigned US Pat. No. 6,465,325 by Rodney Ridley provides details on exemplary plasma and wet etch recipes suitable for this process, the entire contents of which are incorporated herein by reference. The first plasma etch step results in less dip of the IPD layer across the trench than the wet etch. The second wet etching process for the recess etching results in better selectivity to silicon and less damage to silicon than that provided by plasma etching. In another example shown in FIG. 50B, a chemical mechanical planarization (CMP) process is used to planarize the IPD film to the silicon surface. Next, wet etching is continued to provide the IPD in the trench. The CMP process results in less dent of the IPD layer across the trench. The wet etch step relative to the recess etch results in good selectivity to silicon and less damage to silicon (caused by CMP). Other combinations of these steps are possible.

高品質絶縁層の形成は、トレンチおよびプレーナゲート誘電体,層間絶縁膜等を含むIPD以外の構造において望ましい。最も一般的に使用される誘電体は二酸化ケイ素である。高品質酸化物フィルムを定義する幾つかのパラメータがある。主要特性は、特に、均一な厚さ,良好な整合性(低い界面トラップ密度),高電界崩壊強度(high electric field breakdown strength)および低リークレベルである。これらの特性の多くに影響を与える要素の1つは、酸化物が成長する速度である。酸化物の成長速度を正確に制御することができることが望ましい。熱酸化の間に、ウエハ表面で荷電粒子との気相反応がある。1つの実施例において、酸化を制御する方法は、酸化の速度を増減するためにウエハに対する外部ポテンシャルのアプリケーションによって、荷電粒子,通常はシリコンおよび酸素に影響を与えることによって行われる。このことは、プラズマ(反応種とともに)がウエハ上に形成されないという点でプラズマ酸化とは異なる。また、この実施例によると、ガスは表面の方に加速されず、単に表面と反応しないにすぎない。例示的な実施例において、高温性能を有する反応性イオンエッチング(RIE)のチャンバーは、必要とされるエネルギーレベルを調整するために使用され得る。RIEチャンバーは、エッチング用には使用されないが、DCバイアスを加えるために使用され、酸化の速度を遅くしたり酸化を停止するために必要とされるエネルギーを制御する。図51は、この実施例による例示的な方法に対するフローチャートである。最初に、RIEチャンバーは試験環境において、ウエハにDCバイアスを印加するために使用される(5100)。表面反応を抑制するために必要とされる位置エネルギーを定めた後に(5200)、酸化が発生することを妨げるのに十分大きな外部バイアスが印加される(5200)。その後、例えばパルシングまたは他の方法のような外部バイアスを操作することによって、超高温での酸化速度でさえも制御され得る(5130)。この方法は、高温酸化の利点(良好な酸化物フロー,低応力,さまざまな結晶方向における分化成長など)を、急速且つ非均一な成長の欠点なしで可能にさせる。   Formation of a high quality insulating layer is desirable in structures other than IPD including trenches and planar gate dielectrics, interlayer dielectrics, and the like. The most commonly used dielectric is silicon dioxide. There are several parameters that define a high quality oxide film. The main properties are in particular uniform thickness, good consistency (low interface trap density), high electric field breakdown strength and low leakage level. One factor that affects many of these properties is the rate at which the oxide grows. It is desirable to be able to accurately control the oxide growth rate. During thermal oxidation, there is a gas phase reaction with charged particles at the wafer surface. In one embodiment, the method of controlling oxidation is performed by influencing charged particles, usually silicon and oxygen, by application of an external potential to the wafer to increase or decrease the rate of oxidation. This differs from plasma oxidation in that plasma (along with reactive species) is not formed on the wafer. Also, according to this embodiment, the gas is not accelerated towards the surface, it simply does not react with the surface. In an exemplary embodiment, a reactive ion etch (RIE) chamber with high temperature performance can be used to adjust the required energy level. The RIE chamber is not used for etching but is used to apply a DC bias to control the energy required to slow down the oxidation or stop the oxidation. FIG. 51 is a flowchart for an exemplary method according to this embodiment. Initially, the RIE chamber is used (5100) to apply a DC bias to the wafer in a test environment. After defining the potential energy required to suppress the surface reaction (5200), a sufficiently large external bias is applied (5200) to prevent oxidation from occurring. Thereafter, even the oxidation rate at ultra high temperatures can be controlled (5130) by manipulating an external bias, such as pulsing or other methods. This method allows the advantages of high temperature oxidation (good oxide flow, low stress, differentiated growth in various crystal orientations, etc.) without the disadvantages of rapid and non-uniform growth.

図51に関連した上述のような方法は、結果として得られる酸化層の質を改善することができるが、酸化物の信頼性は、特にトレンチ−ゲートデバイスにおいて懸念を残している。主要な劣化メカニズムの1つは、トレンチの角での高電界でよるものであり、このことは、当該トレンチの角でゲート酸化物が局所的に薄くなることから生じる。このことは、ゲートの高リーク電流およびゲート酸化物の低降伏電圧の原因となる。この効果は、トレンチデバイスがオン抵抗を低減するためにさらに調整されるとき且つ低減したゲート電圧要求が薄いゲート酸化物をもたらすときにより厳しくなることが予想される。   Although the method as described above in connection with FIG. 51 can improve the quality of the resulting oxide layer, oxide reliability remains a concern, particularly in trench-gate devices. One of the main degradation mechanisms is due to the high electric field at the corner of the trench, which results from the local thinning of the gate oxide at the corner of the trench. This causes high gate leakage current and low gate oxide breakdown voltage. This effect is expected to become more severe when the trench device is further tuned to reduce on-resistance and when the reduced gate voltage requirement results in a thin gate oxide.

1つの実施例において、ゲート酸化物の信頼性に関する懸念は、二酸化ケイ素より高い誘電率(高い−K誘電体)を有する誘電体を使用することによって緩和される。このことは、かなり厚い誘電体で、同様な閾値電圧および相互コンダクタンスを可能にする。この実施例によると、高い−K誘電体は、デバイスのオン抵抗またはドレインの降伏電圧の劣化なしでゲートのリークを低減し且つゲート誘電体の降伏電圧を増大させる。要求される熱安定性,トレンチ−ゲートデバイスおよび他のパワーデバイス中に集積されるために適切な界面準位密度を示す高い−K材料は、Al23,HfO2,AlxHfyz,TiO2およびZrO2などである。 In one embodiment, gate oxide reliability concerns are mitigated by using a dielectric having a higher dielectric constant (high-K dielectric) than silicon dioxide. This allows similar threshold voltages and transconductances with fairly thick dielectrics. According to this embodiment, a high-K dielectric reduces gate leakage and increases gate dielectric breakdown voltage without degradation of device on-resistance or drain breakdown voltage. High-K materials exhibiting the required thermal stability, suitable interface state density to be integrated into trench-gate devices and other power devices are Al 2 O 3 , HfO 2 , Al x Hf y O. z , TiO 2 and ZrO 2 .

上述のように、トレンチゲートパワーMOSFETのスイッチング速度を改善するために、トランジスタのゲート−ドレイン間の容量Cgdを最小化することが望ましい。トレンチの側壁と比較して、トレンチの底部により厚い誘電体層を使用することは、Cgdを低減するための上述の方法のうちの1つである。厚い底部酸化層を形成する1つの方法は、トレンチの側壁および底部に沿ってスクリーン酸化物の薄層を形成することを含む。その後、薄い酸化層は、例えば窒化物のような酸化阻害材料の層によって覆われる。その後、トレンチの水平底面から全ての窒化物が除去されるが、トレンチの側壁は窒化物層で覆われたままになるように窒化物層は等方エッチングされる。トレンチの底部から窒化物を除去した後、所望の厚さを有する酸化層がトレンチの底部に形成される。その後、トレンチ側壁から窒化物およびスクリーン酸化物を除去した後、薄いチャンネル酸化層が形成される。厚い底部酸化物を形成する方法およびそのバリエーションは、Hurstなどによる同一出願人による米国特許第6,437,386号にかなり詳細に説明されている。当該特許の内容算体は本願に引用して援用される。選択的酸化物蒸着を含む、トレンチの底部に厚い酸化物を形成する他の方法は、Murphyによる自己の米国特許第6,444,528号に説明されており、その内容全体は本願に引用して援用される。   As described above, in order to improve the switching speed of the trench gate power MOSFET, it is desirable to minimize the gate-drain capacitance Cgd of the transistor. Using a thicker dielectric layer at the bottom of the trench compared to the trench sidewall is one of the above-described methods for reducing Cgd. One method of forming a thick bottom oxide layer includes forming a thin layer of screen oxide along the sidewalls and bottom of the trench. The thin oxide layer is then covered with a layer of an oxidation inhibiting material such as nitride. Thereafter, all nitride is removed from the horizontal bottom surface of the trench, but the nitride layer is isotropically etched so that the sidewalls of the trench remain covered with the nitride layer. After removing the nitride from the bottom of the trench, an oxide layer having a desired thickness is formed at the bottom of the trench. A thin channel oxide layer is then formed after removing nitride and screen oxide from the trench sidewalls. The method of forming a thick bottom oxide and variations thereof are described in considerable detail in commonly assigned US Pat. No. 6,437,386 by Hurst et al. The content arithmetic of the patent is incorporated herein by reference. Other methods of forming thick oxide at the bottom of the trench, including selective oxide deposition, are described in Murphy, US Pat. No. 6,444,528, the entire contents of which are incorporated herein by reference. Incorporated.

1つの実施例において、トレンチの底部に厚い酸化物を形成する改良された方法は、準常圧CVD(SACVD)の工程である。この方法(図52に示されている例示的なフローチャート)によると、トレンチをエッチング後(5210)に、SACVDは極めて等角である酸化物フィルムを蒸着するために使用され(5220)、このことは、酸化物中にボイドなくしてトレンチを充てんする、例えば温熱性の正珪酸四エチル(TEOS)を使用して行われる。SACVDのステップは、100トールから700トールの範囲の準常圧で且つ約450℃から600℃の範囲にある例示的な温度で実行され得る。オゾン(cm3/min)に対するTEOS(mg/min)の比は、例えば2から3の範囲に設定され得るが、約2.4であることが好ましい。この工程を使用することによって、約2000Aから10,000Aの範囲にある厚さまたはそれ以上の厚さを有する酸化物フィルムが形成され得る。これらの数は説明目的のみのためであり、特定の工程要求および生産設備の場所の大気圧のような他の要素によって変動しうる。最適温度は、蒸着速度を結果として得られる酸化層の質とバランスをとることによって得られ得る。高温では、蒸着速度は減速され、このことはフィルム収縮を低減し得る。かかるフィルム収縮は、薄層に沿ったトレンチの中央の酸化物フィルムにおけるギャップ形成をもたらす。 In one embodiment, an improved method of forming a thick oxide at the bottom of the trench is a subatmospheric pressure CVD (SACVD) process. According to this method (exemplary flowchart shown in FIG. 52), after etching the trench (5210), SACVD is used to deposit an oxide film that is very conformal (5220), which Is performed using, for example, hot tetraethyl silicate (TEOS) that fills the trench without voids in the oxide. The SACVD step may be performed at an exemplary temperature in the range of about 450 ° C. to 600 ° C. with a sub-atmospheric pressure in the range of 100 to 700 torr. The ratio of TEOS (mg / min) to ozone (cm 3 / min) can be set, for example, in the range of 2 to 3, but is preferably about 2.4. By using this process, an oxide film having a thickness in the range of about 2000A to 10,000A or more can be formed. These numbers are for illustrative purposes only and may vary depending on other process requirements such as specific process requirements and the atmospheric pressure at the location of the production facility. The optimum temperature can be obtained by balancing the deposition rate with the quality of the resulting oxide layer. At high temperatures, the deposition rate is slowed, which can reduce film shrinkage. Such film shrinkage results in gap formation in the oxide film in the middle of the trench along the thin layer.

酸化物フィルムが形成された後、当該酸化物フィルムはシリコン表面およびトレンチの内部からエッチバックされ、トレンチの底部に所望の厚さを有する、酸化物の相対的に平坦な層を残す(5240)。このエッチングは、例えば希フッ酸を使用して、ウエットエッチング工程またはウエットエッチング工程とドライエッチング工程の組み合わせによって行われうる。SACVDで形成された酸化物は多孔性である傾向があるので、蒸着後に環境湿度を吸収する。好ましい実施例において、この効果を改善するために、緻密化ステップ5250がエッチバック工程に続いて行われる。緻密化は、例えば1000℃で約20分間熱処理することによって行われ得る。   After the oxide film is formed, the oxide film is etched back from the silicon surface and from the interior of the trench, leaving a relatively flat layer of oxide having the desired thickness at the bottom of the trench (5240). . This etching can be performed by using, for example, dilute hydrofluoric acid or a wet etching process or a combination of a wet etching process and a dry etching process. Since oxides formed by SACVD tend to be porous, they absorb environmental humidity after deposition. In a preferred embodiment, a densification step 5250 is performed following the etch back process to improve this effect. Densification can be performed, for example, by heat treatment at 1000 ° C. for about 20 minutes.

この方法に対する別の利点は、SACVD酸化物のエッチバックステップの間に、トレンチ端部をマスクオフ(mask off)する性能であり、酸化物で満たされた終端トレンチを残すこととなる。つまり、誘電体で満たされたトレンチを含む、上述の終端構造のさまざまな実施例に関して、同じSACVDのステップが終端トレンチを酸化物で満たすために使用され得る。また、エッチバックの間にフィールド終端領域をマスクすることによって、同じSACVD工程のステップは終端領域にフィールド酸化物の形成をもたらし、熱フィールド酸化物を形成する所要の工程を取り除くこととなる。さらに、この工程は、かなりエッチングされた場合に、終端の誘電体層および厚い底部酸化物の両方が完全に再加工されることを可能にする。その理由は、シリコンは熱酸化工程で消費されず、その代わりにSACVD蒸着中に両方の位置に与えられるからである。   Another advantage to this method is the ability to mask off the trench edges during the SACVD oxide etchback step, leaving an oxide filled termination trench. That is, for the various embodiments of the termination structure described above, including dielectric filled trenches, the same SACVD step can be used to fill the termination trench with oxide. Also, by masking the field termination region during etch back, the same SACVD process step results in the formation of field oxide in the termination region and eliminates the required process of forming thermal field oxide. In addition, this process allows both the terminating dielectric layer and the thick bottom oxide to be completely reworked if significantly etched. The reason is that silicon is not consumed in the thermal oxidation process, but instead is applied to both locations during SACVD deposition.

他の実施例において、トレンチの底部に厚い酸化物を形成する別の方法は、指向性TEOSの工程を使用する。この実施例(図53に示される例示的なフローチャート)によると、TEOSの等角特性は、プラズマ化学気相成長法(PECVD)の指向性の性質と組み合わせられて、選択的に酸化物を蒸着することとなる(5310)。この組み合わせは、垂直面より水平面においてより大きな蒸着速度を可能にする。例えば、この工程を使用して蒸着された酸化物フィルムは、トレンチの底部で約2500Aの厚さを有し、トレンチ側壁で約800Aの平均厚みを有し得る。その後、全ての酸化物が側壁から除去されるまで酸化物は等方性エッチングされ、トレンチの底部に酸化物の層が残ることとなる。エッチング工程は、酸化物表面のドライエッチングのステップ5320を含み、次にウエット緩衝酸化物エッチング(BOE)のステップ5340が続けられる。本願で説明されている例示的な実施例に対しては、エッチング後に、トレンチの底部に例えば1250Aの厚さを有する酸化物の層が残り、側壁の酸化物は全て除去されている。   In another embodiment, another method of forming a thick oxide at the bottom of the trench uses a directional TEOS process. According to this example (exemplary flowchart shown in FIG. 53), the conformal properties of TEOS are combined with the directional nature of plasma enhanced chemical vapor deposition (PECVD) to selectively deposit oxide. (5310). This combination allows a greater deposition rate in the horizontal plane than in the vertical plane. For example, an oxide film deposited using this process may have a thickness of about 2500 A at the bottom of the trench and an average thickness of about 800 A at the trench sidewalls. The oxide is then isotropically etched until all the oxide is removed from the sidewalls, leaving an oxide layer at the bottom of the trench. The etching process includes an oxide surface dry etch step 5320 followed by a wet buffered oxide etch (BOE) step 5340. For the exemplary embodiment described herein, after etching, an oxide layer having a thickness of, for example, 1250 A remains at the bottom of the trench, and all sidewall oxide has been removed.

特定の実施例において、酸化物表面のドライエッチングは、構造の上面に集中して、加速して上面領域から酸化物をエッチングするが、トレンチの底部における酸化物をかなり減速した状態でエッチングする。本願でフォッグエッチング(fog etch)として言及するこのタイプのエッチングは、所望の選択性を与えるように、エッチング状態と化学エッチングとの慎重な調整を必要とする。1つの例において、このエッチングは例えばLAM4400のようなトップの電源を有するプラズマエッチャーを使用して、相対的に低電力および低圧で行われる。電力および圧力の例示的な値は、それぞれ200ワット〜500ワットの範囲および250〜500ミリトールの範囲のどこかであり得る。異なる化学エッチングが使用されることができる。1つの実施例において、例えば約5:1(例えば190sccmでのC26および40sccmでのCl)の最適比で混合された、例えばC2F6であるフッ素化合物と塩素との組み合わせは、所望の選択性を与える。酸化物の化学エッチングの一環として塩素を使用することは珍しいことである。その理由は、塩素は金属またはポリシリコンのエッチング用に一般的に用いられており、通常は酸化物のエッチングを妨げるからである。しかし、このタイプの選択エッチングの目的に対しては、この組み合わせはうまく機能する。その理由は、C2F6は上面近辺の酸化物を攻撃的にエッチングするが(当該上面において、高エネルギーはC26が塩素の影響に打ち勝つことを可能にする)、トレンチの底部に近くなると、塩素がエッチング速度を減速する。この最初のドライエッチングのステップ5320の次にはBOE浸漬5340に先立っておそらく清浄化エッチング530が続けられる。この実施例によると、最適な選択性は、プラズマエッチングマシンに依存して変動し得る圧力,エネルギーおよび化学エッチングを細かく調整することによって実現される、ということが理解されるべきである。 In certain embodiments, the dry etching of the oxide surface concentrates on the top surface of the structure and accelerates to etch the oxide from the top region, but etches the oxide at the bottom of the trench at a much slower rate. This type of etching, referred to herein as a fog etch, requires careful adjustment of the etch state and chemical etching to provide the desired selectivity. In one example, this etch is performed at a relatively low power and low pressure using a plasma etcher with a top power source, such as LAM4400. Exemplary values for power and pressure can be anywhere in the range of 200 watts to 500 watts and 250 to 500 millitorr, respectively. Different chemical etches can be used. In one embodiment, a combination of a fluorine compound and chlorine, for example C2F6, mixed at an optimal ratio of, for example, about 5: 1 (eg, C 2 F 6 at 190 sccm and Cl at 40 sccm) is a desired choice. Give sex. It is unusual to use chlorine as part of oxide chemical etching. The reason is that chlorine is commonly used for metal or polysilicon etching and usually prevents oxide etching. However, this combination works well for the purpose of this type of selective etching. The reason is, C2 F6 is to etch the oxide near the top surface aggressive (in the upper surface, high energy allows the C 2 F 6 overcomes the effects of chlorine), the closer to the bottom of the trench, Chlorine slows down the etching rate. This initial dry etch step 5320 is followed by possibly a clean etch 530 prior to the BOE dipping 5340. It should be understood that according to this embodiment, optimal selectivity is achieved by fine tuning pressure, energy and chemical etching that can vary depending on the plasma etching machine.

この実施例によるPECVD/エッチングの工程は、底部の酸化物が目標厚さを有するように、必要に応じて1回または2回以上繰り返され得る。この工程は、トレンチ間の水平メサ表面において厚い酸化物の形成をもたらす。ポリシリコンがトレンチに蒸着され、表面においてエッチバックされた後にこの酸化物はエッチングされることができ、トレンチ底部の酸化物は次のエッチングステップから保護されるようになる。   The PECVD / etching process according to this embodiment can be repeated one or more times as necessary so that the bottom oxide has the target thickness. This process results in the formation of thick oxide at the horizontal mesa surface between the trenches. This oxide can be etched after polysilicon is deposited in the trench and etched back at the surface, so that the oxide at the bottom of the trench is protected from the next etching step.

トレンチの底部に選択的に厚い酸化物を形成する他の方法が可能である。図54は、トレンチ側壁に酸化物が形成しないようにするために高密度プラズマ法(HDP)を使用する、1つの例示的な方法に対するフローチャートを示している(5410)。HDP法の特性は、蒸着しながらエッチングするということであり、指向性TEOS法と比較すると、トレンチ底部の酸化物に対してトレンチ側壁への酸化物の形成が少ないという結果になる。その後、ウエットエッチング(ステップ5420)が側壁から酸化物を除去するかまたはきれいにするために使用されるが、トレンチ底部には厚い酸化物を残す。この工程の利点は、トレンチの上端のプロファイルが、図55に示されるようにトレンチ(5500)から傾斜しており(5510)、ボイドフリーなポリ充てんを実現させている。上述のフォッグエッチング(ステップ5430)は、ポリを充てんする(ステップ5440)前に幾らかの酸化物を上端からエッチングするために使用されることができ、ポリエッチングの後に、上端からエッチングされる必要がある酸化物がより少なくなるようになる。HDP法の工程は、埋め込み電極を有するトレンチ(例えば、シールドゲート構造を有するトレンチMOSFET)における2つのポリ層の間に酸化物を蒸着するためにも使用されることができる。   Other methods of selectively forming a thick oxide at the bottom of the trench are possible. FIG. 54 shows a flow chart for one exemplary method that uses high density plasma (HDP) to prevent oxide from forming on the trench sidewalls (5410). The characteristic of the HDP method is that etching is performed while vapor deposition, and as a result, compared with the directional TEOS method, less oxide is formed on the trench side wall than the oxide at the bottom of the trench. A wet etch (step 5420) is then used to remove or clean the oxide from the sidewalls, leaving a thick oxide at the bottom of the trench. The advantage of this process is that the profile at the top of the trench is inclined (5510) from the trench (5500) as shown in FIG. 55, realizing void-free polyfilling. The fog etching described above (step 5430) can be used to etch some oxide from the top before filling the poly (step 5440) and needs to be etched from the top after the poly etch. There will be less oxide. The HDP process can also be used to deposit oxide between two poly layers in a trench with a buried electrode (eg, a trench MOSFET with a shield gate structure).

図56に示されるさらに別の方法によると、選択的SACVD工程がトレンチ底部に厚い酸化物を形成するために使用される。この方法は、TEOS対オゾンの比がより低いときに選択的になるというSACVDの性能を利用している。酸化物は窒化ケイ素上において極めて遅い蒸着速度を有するが、シリコン上には容易に堆積する。オゾンに対するTEOSの割合が小さくなるにつれて、蒸着はより選択的になる。この方法によると、トレンチをエッチング後に(5610)、パッド酸化物がトレンチアレイのシリコン表面に成長される(5620)。その後、窒化物の薄層がパッド酸化物上に蒸着される(5630)。次に異方性エッチングが続けられ、水平面から窒化物を取り除き、トレンチ側壁に窒化物を残す(5640)。その後、選択的SACVD酸化物は、約405℃で例えば約0.6のTEOS対オゾンの比で、トレンチ底部を含む水平面に蒸着される(5650)。その後、必要に応じて、SACVD酸化物は熱処理(5660)によって緻密化される。その後、酸化物−窒化物−酸化物(ONO)のエッチングが、トレンチの側壁における窒化物および酸化物を取り除くために行われる(5670)。   According to yet another method shown in FIG. 56, a selective SACVD process is used to form a thick oxide at the bottom of the trench. This method takes advantage of SACVD's ability to be selective when the TEOS to ozone ratio is lower. The oxide has a very slow deposition rate on silicon nitride, but easily deposits on silicon. As the ratio of TEOS to ozone decreases, deposition becomes more selective. According to this method, after etching the trench (5610), a pad oxide is grown on the silicon surface of the trench array (5620). A thin layer of nitride is then deposited on the pad oxide (5630). Anisotropic etching is then continued to remove nitride from the horizontal surface and leave nitride on the trench sidewalls (5640). Thereafter, selective SACVD oxide is deposited (5650) on the horizontal plane including the trench bottom at a temperature of about 405 ° C., for example at a ratio of TEOS to ozone of about 0.6. Thereafter, if necessary, the SACVD oxide is densified by heat treatment (5660). An oxide-nitride-oxide (ONO) etch is then performed (5670) to remove nitride and oxide on the trench sidewalls.

すでに説明されたように、ゲートトレンチの底部にその側壁と比較して厚い酸化層を使用する1つの理由は、Qgdまたはゲート−ドレイン間の電荷を低減することである(スイッチング速度が改善される)。同じ理由は、トレンチの深さはドリフト領域中へのトレンチの重なりを最小現にするために、井戸接合の深さとほぼ同じである、ということを与える。1つの実施例において、トレンチの底部に厚い誘電体層を形成する方法は、トレンチの側面に厚い誘電体層を伸長する。このことは、底部酸化物の厚さをトレンチの深さおよび井戸接合の深さとは無関係にさせ、且つトレンチおよびトレンチ内部のポリが、かなりQgdを増加させることなく井戸接合より深くなることを可能にする。   As already explained, one reason to use a thick oxide layer at the bottom of the gate trench compared to its sidewalls is to reduce the Qgd or gate-drain charge (improved switching speed). ). The same reason provides that the trench depth is approximately the same as the well junction depth in order to minimize the overlap of the trench into the drift region. In one embodiment, a method for forming a thick dielectric layer at the bottom of a trench extends the thick dielectric layer on the sides of the trench. This allows the bottom oxide thickness to be independent of the trench depth and well junction depth, and allows the poly in the trench and trench to be deeper than the well junction without significantly increasing Qgd. To.

この方法による、厚い底部誘電体層を形成する方法は、図57〜図59に示されている。図57Aは、トレンチの側壁のみを覆うようにエッチングされた後、パッド酸化物5710の薄層と窒化物層5720で覆われたトレンチの簡略化され且つ部分的な断面図を示している。このことは、図57Bに示されるように、パッド酸化物5710のエッチングがトレンチの底部およびチップの上面にあるシリコンを露出させることを可能にする。次に露出したシリコンの異方性エッチングが続けられ、図58Aに示されるような構造をもたらす(上面のシリコンおよびトレンチの底部にあるシリコンは、共に所望の深さまで除去される)。別の実施例において、シリコンエッチング中にトレンチの底部のみがエッチングされるように、上面のシリコンはマスクされ得る。次に、窒化物層5720で覆われていない位置に厚い酸化物5730を成長するように酸化ステップが行われ、図58Bに示される構造をもたらす。酸化物の厚さは、例えば約1200Aから2000Aであり得る。その後、窒化物層は除去され、パッド酸化物5710はエッチングされる。パッド酸化物のエッチングによって、厚い酸化物5730は多少薄くなる。残りの工程は、ゲートポリおよび井戸およびソースの接合を形成するために標準のフローを使用することができ、図59に示される例示的な構造をもたらす。   A method for forming a thick bottom dielectric layer according to this method is illustrated in FIGS. FIG. 57A shows a simplified and partial cross-sectional view of a trench covered with a thin layer of pad oxide 5710 and a nitride layer 5720 after etching to cover only the sidewalls of the trench. This allows the pad oxide 5710 etch to expose the silicon at the bottom of the trench and the top surface of the chip, as shown in FIG. 57B. The exposed silicon is then anisotropically etched, resulting in the structure shown in FIG. 58A (both top silicon and silicon at the bottom of the trench are both removed to the desired depth). In another embodiment, the top silicon can be masked so that only the bottom of the trench is etched during the silicon etch. Next, an oxidation step is performed to grow a thick oxide 5730 in a location not covered by the nitride layer 5720, resulting in the structure shown in FIG. 58B. The oxide thickness can be, for example, about 1200A to 2000A. Thereafter, the nitride layer is removed and the pad oxide 5710 is etched. By etching the pad oxide, the thick oxide 5730 becomes somewhat thinner. The remaining steps can use standard flow to form the gate poly and well and source junctions, resulting in the exemplary structure shown in FIG.

図59に示されるように、結果として得られるゲート酸化物は、トレンチの側壁に沿って領域5740における井戸接合より上に伸長する、底部の厚い層5730を含む。幾つかの実施例において、トレンチに沿った井戸領域におけるチャンネルドーピングは、ドレイン側5740の近くで低ドープで段階的になっており、この領域は、ソース近辺の領域と比較して通常は低閾値電圧を有している。従って、領域5740におけるチャンネル中に重なっているトレンチの側面に沿って厚い酸化物を伸長することによって、デバイスの閾値電圧は増加しない。つまり、この実施例は、井戸接合の深さおよび側壁の酸化物を最適化することが、デバイスのオン抵抗に対して不利に影響を与えることなくQgdを最小化することを可能にする。トレンチの底部に厚い酸化物を形成するこの方法は、他のいかなるトレンチゲートデバイスと同様に、電荷調整構造と組み合わせたシールドゲート,デュアルゲートを含む上述のさまざまなデバイスに適用され得る、ということが当業者に認識される。   As shown in FIG. 59, the resulting gate oxide includes a bottom thick layer 5730 that extends above the well junction in region 5740 along the sidewalls of the trench. In some embodiments, channel doping in the well region along the trench is stepped with low doping near the drain side 5740, which is typically a low threshold compared to the region near the source. Has voltage. Thus, by extending the thick oxide along the side of the trench that overlaps the channel in region 5740, the threshold voltage of the device does not increase. That is, this embodiment allows optimization of the well junction depth and sidewall oxide to minimize Qgd without adversely affecting the on-resistance of the device. This method of forming a thick oxide at the bottom of the trench, like any other trench gate device, can be applied to the various devices described above, including shielded gates, dual gates combined with charge control structures. Recognized by those skilled in the art.

トレンチの底部に厚い酸化物を形成する上述の工程およびIPDに対する上述の工程は、本願に説明されているいかなるトレンチゲートトランジスタを形成する工程において使用され得る、ということも当業者に認識される。これらの工程に対する他のバリエーションが可能である。例えば、図47Aおよび図47Bに関連して説明された工程の場合のように、シリコンの化学変換または物理的改変はその酸化速度を高めることができる。1つのかかる例示的な実施例によると、例えばフッ素および臭素のようなハロゲンイオン種は、トレンチ底部のシリコン中に0度で注入される。当該注入は、約15KeV以下の例示的なエネルギー,1E14(例えば1E15〜5E17)より大きい例示的な量および900℃〜1150℃の範囲にある例示的な温度で生じ得る。トレンチ底部のハロゲン注入領域において、酸化物はトレンチ側壁と比較して加速された速度で成長する。 Those skilled in the art will also recognize that the above-described steps of forming a thick oxide at the bottom of the trench and the steps described above for IPD can be used in the formation of any trench gate transistor described herein. Other variations on these processes are possible. For example, as in the process described in connection with FIGS. 47A and 47B, chemical conversion or physical modification of silicon can increase its oxidation rate. According to one such exemplary embodiment, halogen ion species such as fluorine and bromine are implanted at 0 degrees into the silicon at the bottom of the trench. The implantation may occur at an exemplary energy of about 15 KeV or less, an exemplary amount greater than 1E 14 (eg, 1E 15 to 5E 17 ), and an exemplary temperature in the range of 900 ° C. to 1150 ° C. In the halogen implanted region at the bottom of the trench, the oxide grows at an accelerated rate compared to the trench sidewall.

上述の多くのトレンチデバイスは、電荷調整の目的のためにドープしているトレンチ側壁を有する。例えば、図5B,図5Cおよび図6〜図9Aに示された全ての実施例は、幾つかのタイプのトレンチ側壁ドープ構造を有している。側壁ドープ法は、狭く深いトレンチおよび/またはトレンチの垂直側壁の物理的制約によって多少制限される。ガス状のソースまたは角をなした注入は、トレンチ側壁のドープ領域を形成するために使用され得る。1つの実施例において、改善されたトレンチ側壁ドープ法は、プラズマドーピング法またはパルスプラズマドーピング法を利用する。この方法は、ドーパントイオンのプラズマに取り囲まれたウエハに印加されるパルス電圧を利用する。印加電圧は、イオンをカソードシースからウエハの方へ且つウエハ中に加速する。印加電圧はパルスにされ、所望の分量が実現されるまで持続時間が続く。この方法は、これらトレンチデバイスの多くを等角ドープ法(conformal doping technique)とともに行うことを可能にする。さらに、この工程の高処理能力は、製造工程の全費用を低減する。   Many of the trench devices described above have trench sidewalls that are doped for charge conditioning purposes. For example, all of the embodiments shown in FIGS. 5B, 5C and FIGS. 6-9A have several types of trench sidewall doping structures. Sidewall doping is somewhat limited by the physical constraints of narrow and deep trenches and / or vertical sidewalls of the trenches. A gaseous source or angular implantation may be used to form the doped regions of the trench sidewalls. In one embodiment, the improved trench sidewall doping method utilizes a plasma doping method or a pulsed plasma doping method. This method utilizes a pulsed voltage applied to a wafer surrounded by a plasma of dopant ions. The applied voltage accelerates ions from the cathode sheath toward the wafer and into the wafer. The applied voltage is pulsed and continues for the duration until the desired amount is achieved. This method allows many of these trench devices to be performed with a conformal doping technique. Furthermore, the high throughput of this process reduces the overall cost of the manufacturing process.

プラズマドーピング法またはパルスプラズマドーピング法の使用は、トレンチ電荷調整構造に限定されるものではなく、トレンチ終端構造およびトレンチが形成されたドレイン,ソースまたは本体の結合を含む他の構造にも適用され得る。例えば、この方法論は、図4D,図4E,図5B,図5C,図6,図7,図8および図9Aに関連して説明されたようなシールドトレンチ構造のトレンチ側壁をドープするために使用され得る。さらに、この方法は、均一にドープされたチャンネル領域を作るために使用され得る。パワーデバイスに逆バイアスがかけられたとき、チャンネル領域(p−井戸接合)中への空乏領域の浸透は、接合の両側の電荷濃度によって制御される。エピ層におけるドープ濃度が高いときには、接合内の空乏は、突き抜け現象が降伏電圧を制限することを可能にするかまたは低いオン抵抗を維持するために必要とされるよりも長いチャンネル長を必要とする。チャンネル中への空乏を最小現にするために、より高いチャンネルドープ濃度が要求され得る(閾値を増加させ得る)。閾値は、トレンチMOSFETにおけるソースの下のピーク濃度によって定められるので、チャンネルにおける均一なドープ濃度は、チャンネル長とブレークダウンとの間の良好なトレードオフを与えることができる。   The use of plasma doping or pulsed plasma doping is not limited to trench charge conditioning structures, but can also be applied to other structures including trench termination structures and drain, source or body bonds in which the trenches are formed. . For example, this methodology is used to dope trench sidewalls of shielded trench structures as described in connection with FIGS. 4D, 4E, 5B, 5C, 6, 7, 8, and 9A. Can be done. Furthermore, this method can be used to create a uniformly doped channel region. When the power device is reverse biased, the penetration of the depletion region into the channel region (p-well junction) is controlled by the charge concentration on both sides of the junction. When the doping concentration in the epilayer is high, depletion in the junction requires a longer channel length than is required to allow the punch-through phenomenon to limit the breakdown voltage or to maintain a low on-resistance. To do. A higher channel doping concentration may be required (threshold may be increased) to minimize depletion into the channel. Since the threshold is defined by the peak concentration under the source in the trench MOSFET, a uniform doping concentration in the channel can give a good tradeoff between channel length and breakdown.

より均一なチャンネル濃度を得るために使用され得る他の方法は、エピタキシャル工程,複数のエネルギー注入および階段接合を作成する他の方法を使用してチャンネル接合を形成することを含む。他の方法は、低ドープキャップ層を有する出発ウエハを使用する。この方法において、補正は最小現にされアップ拡散(up diffusion)がより均一なチャンネルドーピングプロファイルを作成するために用いられる。   Other methods that can be used to obtain a more uniform channel concentration include forming channel junctions using epitaxial processes, multiple energy injections, and other methods of creating step junctions. Another method uses a starting wafer with a lightly doped cap layer. In this method, the correction is minimized and used to create a channel doping profile with a more uniform up diffusion.

トレンチデバイスは、閾値がトレンチ側壁に沿ったチャンネルドーピング濃度によって定められるという事実を利用することができる。低閾値を維持しつつ、高ドーピング濃度をトレンチから離すことを可能にする工程は、突き抜け現象メカニズムを抑制するのに役立つ。ゲート酸化工程の前にp−井戸ドーピングを与えることは、トレンチ酸化物中への井戸のp型不純物(例えばボロン)の偏析を可能にさせ、チャンネルにおける濃度を低減する。その結果、閾値が低くなる。このことを上述の方法と組み合わせることによって、突き抜け現象なしでより短いチャンネル長を与えることができる。   Trench devices can take advantage of the fact that the threshold is defined by the channel doping concentration along the trench sidewalls. A process that allows a high doping concentration to be removed from the trench while maintaining a low threshold helps to suppress the punch-through mechanism. Providing p-well doping prior to the gate oxidation step allows segregation of p-type impurities (eg, boron) in the well into the trench oxide, reducing the concentration in the channel. As a result, the threshold value is lowered. By combining this with the method described above, a shorter channel length can be provided without the punch-through phenomenon.

幾つかのパワーアプリケーションは、パワートランジスタを通って流れる電流の量を測定することを要求する。このことは、デバイスを通って流れる全電流を推定するために使用される全デバイス電流の一部を分離して測定することによってなされる。全デバイス電流の分離部は、当該分離された電流の量を示す信号を発生させる電流検出装置または電流検知器を通って流れる、その後、全デバイス電流を定めるために使用される。この処理は、電流ミラーとして一般に知られている。電流検出トランジスタは、通常、パワーデバイスと一体となって組み立てられ、両者は共通の基板(ドレイン)およびゲートを共有する。図60は、電流検出装置6002を有するMOSFET6000の略図である。主要なMOSFET6000を通って流れる電流は、主要なトランジスタと電流検出部との間で各々のアクティブ領域に比例して分割される。従って、主要なMOSFETを通って流れる電流は、検出装置を通る電流を測定し、アクティブ領域の比によって当該測定値を乗じることによって計算される。   Some power applications require measuring the amount of current flowing through the power transistor. This is done by separately measuring a portion of the total device current that is used to estimate the total current flowing through the device. The total device current separator flows through a current detector or current detector that generates a signal indicative of the amount of the separated current, and is then used to determine the total device current. This process is commonly known as a current mirror. The current detection transistor is usually assembled integrally with a power device, and both share a common substrate (drain) and gate. FIG. 60 is a schematic diagram of a MOSFET 6000 having a current detection device 6002. The current flowing through the main MOSFET 6000 is divided in proportion to each active region between the main transistor and the current detector. Thus, the current flowing through the main MOSFET is calculated by measuring the current through the detector and multiplying that measurement by the active area ratio.

主要なデバイスから電流検出装置を分離するさまざまな方法は、Yedinakなどによる「連続的なストリップ電解槽を維持しつつ、パワーデバイスにおける電流検出を分離する方法」と表題がつけられた自己の米国特許出願第10/315,719号に説明されている。当該特許出願の内容全体は本願に引用して援用される。電荷調整構造を有するものを含む、さまざまなパワーデバイスとともに検出装置を集積する実施例は、以下に説明される。1つの実施例によると、電荷調整構造およびモノリシックに集積した電流検出装置を有するパワートランジスタにおいて、電流検出領域は、電荷調整構造と同様に同じ連続的なMOSFET構造とともに形成されることが好ましい。電荷調整構造における導通の維持なしでは、デバイスの降伏電圧は、電圧支持領域を完全に涸渇させる電荷不整合によって低下するであろう。図61Aは、プレーナゲート構造および分離電流検出構造6115を有する電荷調整MOSFET6100に対する1つの例示的な実施例を示している。この実施例において、電荷調整構造は、ドリフト領域6104の内部(n型)に形成された反対の伝導性を有する(この実施例においてはp型)ピラー6126を有する。p型ピラー6126は、例えばドープされたポリシリコンまたはエピが満たされたトレンチとして形成され得る。図61Aに示されているように、電流調整構造は、電流検出構造6115の下に導通を維持する。電流検出装置6115の表面積を覆う検出パッド金属6113は、誘電体領域6117によってソース金属6116から電気的に分離されている。同様の構造を有する電流検出装置は、本願で説明されている他のいかなるパワーデバイスと集積化され得る、ということが理解されるべきである。例えば、図61Bは、電流検出装置が、如何にシールドゲートを有するトレンチMOSFET(電荷調整は、トレンチの深さを調整し且つトレンチ内部のシールドポリにバイアスをかけることによって得られ得る)と集積化されるかを示している。   Various methods of separating the current sensing device from the main device are self-patented by Yedinak et al. Entitled "Method of separating current sensing in power devices while maintaining a continuous strip electrolyser". Application 10 / 315,719. The entire contents of the patent application are incorporated herein by reference. Examples of integrating the sensing device with various power devices, including those having charge control structures, are described below. According to one embodiment, in a power transistor having a charge adjustment structure and a monolithically integrated current detection device, the current detection region is preferably formed with the same continuous MOSFET structure as the charge adjustment structure. Without maintaining conduction in the charge regulation structure, the breakdown voltage of the device will be reduced by a charge mismatch that completely depletes the voltage support region. FIG. 61A shows one exemplary embodiment for a charge adjustment MOSFET 6100 having a planar gate structure and an isolation current detection structure 6115. In this embodiment, the charge control structure has a pillar 6126 with opposite conductivity (p-type in this embodiment) formed inside the drift region 6104 (n-type). The p-type pillar 6126 can be formed, for example, as a trench filled with doped polysilicon or epi. As shown in FIG. 61A, the current regulation structure remains conductive under the current detection structure 6115. The detection pad metal 6113 covering the surface area of the current detection device 6115 is electrically separated from the source metal 6116 by the dielectric region 6117. It should be understood that a current sensing device having a similar structure can be integrated with any other power device described herein. For example, FIG. 61B shows how the current sensing device is integrated with a trench MOSFET with a shield gate (charge adjustment can be obtained by adjusting the depth of the trench and biasing the shield poly inside the trench). Indicates what will be done.

ダイオードをパワートランジスタの同じチップ上に集積することが望ましい、多くのパワーアプリケーションがある。かかるアプリケーションは、特に、温度検出,静電気放電(ESD)保護,アクティブクランピングおよび分圧を含む。温度検出に関しては、例えば、1または2以上の直列接続ダイオードは、パワーデバイスと一体集積されており、その結果、ダイオードの陽極端子および陰極端子は、別個のボンドパッドに引き出されるかまたは導電性相互接続を使用するモノリシック制御回路コンポーネントに接続される。温度は、ダイオードの準電圧(Vf)における変化によって検出される。例えば、パワートランジスタのゲート端子に対する適切な配線で、ゲート電圧は、所望の温度が得られるまで、デバイスを通って流れる電流を低減して低く引かれる。   There are many power applications where it is desirable to integrate the diode on the same chip of the power transistor. Such applications include temperature sensing, electrostatic discharge (ESD) protection, active clamping and partial pressure, among others. With respect to temperature detection, for example, one or more series connected diodes are integrated with the power device so that the anode and cathode terminals of the diodes are either drawn to separate bond pads or are conductively interconnected. Connected to a monolithic control circuit component that uses the connection. The temperature is detected by a change in the quasi-voltage (Vf) of the diode. For example, with appropriate wiring to the gate terminal of the power transistor, the gate voltage is pulled low, reducing the current flowing through the device until the desired temperature is obtained.

図62Aは、直列温度検出ダイオードを有するMOSFET6200Aに対する例示的な実施例を示している。MOSFET6200Aは、ダイオード構造6215を有し、当該ダイオード構造において、交互の導電性を有するドープされたポリシリコンは、3つの直列温度検出ダイオードを形成する。この実例となる実施例において、デバイス6200AのMOSFET部は、p型のエピが満たされた電荷調整トレンチを使用し、n型エピドリフト領域6204の内部に反対の導電性を有する領域を形成する。示されているように、電荷調整構造は、温度検出ダイオード構造6215の下で導通を維持することが好ましい。ダイオード構造は、シリコンの表面上にフィールド誘電体(酸化物)層6219の上に形成される。p型接合分離領域6221は、誘電体層6219の下に必要に応じて広がり得る。このp型接合を有さないデバイス6200Bは、図62Bに示されている。直列に順方向バイアスがかけられたデバイスが得られることを確認するために、短絡金属6223は、逆バイアスがかけられているP/N+接合を短絡させるために使用される。1つの実施例において、p+が注入され、接合にわたって拡散され、N+/P/P+/N+構造を形成する。当該構造において、p+は、短絡金属6223の下に現れ、改善されたオーミック接触を得る。反対の伝導性を有するN+も、N/P+接合にわたって拡散され、P+/N/N+/P+構造を形成する。このタイプの温度検出ダイオードの構造は、本願で説明されている他の多くの特性と組み合わせて、さまざまなパワーデバイスのいずれにおいても使用され得る。図62Cは、例えば、シールドトレンチゲート構造を有するMOSFET6200Cを示しており、シールドポリは電荷調整用に使用され得る。   FIG. 62A shows an exemplary embodiment for a MOSFET 6200A having a series temperature sensing diode. MOSFET 6200A has a diode structure 6215 in which doped polysilicon with alternating conductivity forms three series temperature sensing diodes. In this illustrative example, the MOSFET portion of device 6200A uses a charge adjustment trench filled with p-type epi to form a region of opposite conductivity within n-type epi drift region 6204. As shown, the charge conditioning structure preferably remains conductive under the temperature sensing diode structure 6215. The diode structure is formed on a field dielectric (oxide) layer 6219 on the surface of silicon. The p-type junction isolation region 6221 can extend under the dielectric layer 6219 as needed. A device 6200B without this p-type junction is shown in FIG. 62B. To confirm that a forward biased device in series is obtained, the shorting metal 6223 is used to short the P / N + junction that is reverse biased. In one embodiment, p + is implanted and diffused across the junction to form an N + / P / P + / N + structure. In this structure, p + appears under the shorting metal 6223 to obtain an improved ohmic contact. N + with the opposite conductivity is also diffused across the N / P + junction, forming a P + / N / N + / P + structure. This type of temperature sensing diode structure can be used in any of a variety of power devices in combination with many other characteristics described herein. FIG. 62C shows, for example, a MOSFET 6200C having a shield trench gate structure, and shield poly can be used for charge adjustment.

他の実施例において、温度検出ダイオードに対してデバイス6200に示されているような、同様の分離方法を使用することによって、非対称のESD保護が行われる。ESD保護の目的のために、ダイオード構造の一端はソース端子に接続され、もう一方の端は、デバイスのゲート端子に接続される。或いは、対照的なESD保護は、図63Aおよび図63Bに示されるように、連続したN+/P/N+接合のいずれをも短絡しないことによって得られる。図63Aに示された例示的なMOSFET6300Aは、プレーナゲート構造を利用し、電荷調整用に反対の伝導性を有するピラーを使用するが、図63Bに示された例示的なMOSFET6300Bは、シールドゲート構造を有するトレンチゲートデバイスである。電荷調整における非均一を防止するために、電荷調整構造は、ゲートのボンドパッド金属および他の制御要素のボンドパッドの下に続けられる。   In other embodiments, asymmetric ESD protection is provided by using a similar isolation method, such as that shown in device 6200 for the temperature sensing diode. For the purpose of ESD protection, one end of the diode structure is connected to the source terminal and the other end is connected to the gate terminal of the device. Alternatively, contrasting ESD protection is obtained by not shorting any of the consecutive N + / P / N + junctions, as shown in FIGS. 63A and 63B. The exemplary MOSFET 6300A shown in FIG. 63A utilizes a planar gate structure and uses pillars with opposite conductivity for charge adjustment, whereas the exemplary MOSFET 6300B shown in FIG. 63B has a shielded gate structure. A trench gate device having: In order to prevent non-uniformities in charge adjustment, the charge adjustment structure is continued under the bond pad metal of the gate and the bond pads of other control elements.

例示的なESD保護回路は図64A〜図64Dに示されており、主要なデバイス(ゲートは、上述のダイオード構造によって保護されている)は、電荷調整または他の方法のいずれかを使用する、本願に説明されたパワーデバイスのいずれでもあり得る。図64Aは、非対称に分離されたポリダイオードESD保護に対する簡略図を示しており、一方、図64Bは、標準的な連続分離されたポリダイオードESD保護回路を示している。図64Cに示されるESD保護回路は、BVcerスナップバック(snap-back)に対してNPNトランジスタを使用する。BVcerにおける下付きの添字cerは、逆バイアスがかけられたコレクタ−エミッタバイポーラトランジスタ接合を言及しており、ベースへの接続は、ベース電流を制御するためにレジスタを使用する。低抵抗は、ほとんどのエミッタ電流をベースを通して除去させ、エミッタ−ベース接合がオンにされることを防ぐ、つまり、コレクタ中に少数キャリアを注入し戻す。オン状態は、レジスタ値によって設定され得る。キャリアがコレクタ中に注入し戻されると、エミッタとコレクタとの間の維持電圧は減少する(スナップバックと呼ばれる現象)。BVcerスナップバックがトリガーされる電流は、ベース−エミッタ抵抗RBEの値を調整することによって設定され得る。図64Dは、示されているように、シリコン制御整流器すなわちSCRおよびダイオードを使用するESD保護回路を示している。ゲートの陰極短絡構造を使用することによって、トリガー電流は制御され得る。ダイオード降伏電圧は、SCRがラッチする電圧をオフセットするために使用され得る。上述のモノリシックダイオード構造は、これらおよび他のESD保護回路のいずれにも使用され得る。 An exemplary ESD protection circuit is shown in FIGS. 64A-64D, where the primary device (the gate is protected by the diode structure described above) uses either charge regulation or other methods. It can be any of the power devices described in this application. FIG. 64A shows a simplified diagram for asymmetrically isolated polydiode ESD protection, while FIG. 64B shows a standard continuous isolated polydiode ESD protection circuit. The ESD protection circuit shown in FIG. 64C uses NPN transistors for BV cer snap-back. The subscript cer in BV cer refers to a reverse-biased collector-emitter bipolar transistor junction, and the connection to the base uses a resistor to control the base current. The low resistance causes most of the emitter current to be removed through the base and prevents the emitter-base junction from being turned on, i.e., injecting minority carriers back into the collector. The on state can be set by a register value. When carriers are injected back into the collector, the sustain voltage between the emitter and collector decreases (a phenomenon called snapback). The current at which BV cer snapback is triggered can be set by adjusting the value of the base-emitter resistance R BE . FIG. 64D shows an ESD protection circuit using a silicon controlled rectifier or SCR and a diode as shown. By using the cathode short-circuit structure of the gate, the trigger current can be controlled. The diode breakdown voltage can be used to offset the voltage that the SCR latches. The monolithic diode structure described above can be used for any of these and other ESD protection circuits.

幾つかのパワーアプリケーションにおいて、パワースイッチングデバイスの重要な動作特性は、スイッチング端子またはゲートのインピーダンスの基準である、その等価直列抵抗すなわちESRである。例えば、パワーMOSFETを使用する同期式降圧型コンバータにおいて、より低いESRはスイッチング損失を低減するのに役立つ。トレンチゲートMOSFETの場合において、それらのゲートESRは、ポリシリコンが満たされたトレンチの寸法によって主に定められる。例えば、ゲートトレンチの長さは、最小のワイヤボンドパッドサイズのようなパッケージ制限によって制約され得る。ポリシリコンにケイ化物膜を塗布することによって、ゲートの抵抗が小さくなる。しかし、トレンチMOSFETにおいてケイ化物を適用したポリを使用することは、多くの課題を引き起こす。標準的なプレーナ分散型MOS構造において、ゲートポリは、接合が埋め込まれ且つそれぞれの深さにドライブされた後、ケイ化物で処理され得る。ゲートポリが引っ込んでいるトレンチゲートデバイスに対して、ケイ化物を適用することはより困難である。従来のケイ化物の使用は最大温度を制限し、ウエハは約900℃未満までポストケイ化物処理にさらされる。ソース,ドレインおよび井戸のような拡散領域が形成されるとき、このことによって、製造工程の段階においてかなりの制約が生じる。ケイ化物に使われる最も典型的な金属はチタンである。タングステン,タンタル,コバルトおよびプラチナのような他の金属も使用されることができ、より処理許容度を与える高熱量ポストケイ化物処理(higher thermal budget post silicide processing)を可能にする。ゲートESRも、さまざまなレイアウト方法によって低減され得る。   In some power applications, an important operating characteristic of a power switching device is its equivalent series resistance or ESR, which is a measure of the impedance of the switching terminal or gate. For example, in a synchronous buck converter using a power MOSFET, a lower ESR helps reduce switching losses. In the case of trench gate MOSFETs, their gate ESR is mainly defined by the dimensions of the trench filled with polysilicon. For example, the length of the gate trench can be constrained by package limitations such as minimum wire bond pad size. By applying a silicide film to polysilicon, the gate resistance is reduced. However, the use of poly applied silicide in trench MOSFETs poses many challenges. In a standard planar distributed MOS structure, the gate poly can be treated with silicide after the junction is buried and driven to the respective depth. It is more difficult to apply silicide to trench gate devices where the gate poly is recessed. The use of conventional silicide limits the maximum temperature and the wafer is subjected to post silicide processing to less than about 900 ° C. When diffusion regions such as sources, drains and wells are formed, this creates considerable constraints at the manufacturing process stage. The most typical metal used for silicides is titanium. Other metals such as tungsten, tantalum, cobalt and platinum can also be used, allowing higher thermal budget post silicide processing that provides more processing latitude. Gate ESR can also be reduced by various layout methods.

低いESRを有する電荷調整パワースイッチングデバイスを形成するさまざまな実施例が以下に説明されている。図65に示されている1つの実施例において、工程6500は、シールドおよび/または電荷調整の目的のために、トレンチの低部で形成された低部電極を有するトレンチを形成することを含む(ステップ6502)。次に、IPD層を蒸着してエッチングする工程が続けられる(ステップ6504)IPD層は、周知の工程によって形成され得る。或いは、図45〜図50に関連して上述されたいずれかの工程が、IPD層を形成するために使用され得る。次に、上部電極またはゲートポリは、周知の工程を使用してステップ6506で蒸着され且つエッチングされる。次に、井戸およびソース領域を埋め込み且つドライブすることが続けられる(ステップ6508)。ケイ化物がステップ6510でゲートポリに塗布されるのはステップ6508の後である。その後、ステップ6512で誘電体の蒸着および平坦化が続けられる。この工程のバリエーションにおいて、誘電体領域が蒸着され且つ平坦化されるステップ6512は最初に行われ、その後、コンタクトホールがソース/本体およびゲートに達するように開けられる。その後、ケイ化物コンタクト形成される。これら2つの実施例は、ケイ化物膜の転移点より低い低温アニールによって活性化される、高濃度の本体埋め込み領域に依存している。   Various embodiments for forming charge-regulated power switching devices with low ESR are described below. In one embodiment shown in FIG. 65, step 6500 includes forming a trench with a lower electrode formed at the lower portion of the trench for shielding and / or charge adjustment purposes (see FIG. Step 6502). Next, the process of depositing and etching the IPD layer is continued (step 6504). The IPD layer can be formed by well-known processes. Alternatively, any of the processes described above in connection with FIGS. 45-50 can be used to form the IPD layer. The top electrode or gate poly is then deposited and etched at step 6506 using well known processes. Next, the well and source regions are continued to be buried and driven (step 6508). It is after step 6508 that the silicide is applied to the gate poly at step 6510. Thereafter, dielectric deposition and planarization continues at step 6512. In a variation of this process, step 6512, where the dielectric regions are deposited and planarized, is performed first, after which contact holes are opened to reach the source / body and gate. Thereafter, silicide contacts are formed. These two embodiments rely on high concentration body buried regions that are activated by low temperature annealing below the transition point of the silicide film.

他の実施例において、ポリゲートは金属ゲートによって置き換えられる。この実施例によると、金属ゲートは平行ソースを使用して、例えばチタンを蒸着することによって形成され、トレンチ構造の充てん性能を改善する。金属ゲートを適用した後、ひとたび接合が埋め込まれ且つドライブされると、誘電体オプションはHDPおよびTEOSを含み、ゲートをソース/本体のコンタクトから絶縁する。別の実施例において、アルミニウムから銅の最上部金属であるさまざまな金属オプションを有するダマスク模様またはデュアルダマスク模様のアプローチが、ゲート端子を形成するために使用される。   In other embodiments, the poly gate is replaced by a metal gate. According to this embodiment, the metal gate is formed using a parallel source, for example by depositing titanium, improving the filling performance of the trench structure. After applying the metal gate, once the junction is buried and driven, the dielectric options include HDP and TEOS to insulate the gate from the source / body contacts. In another embodiment, a damascene or dual damask pattern approach with various metal options from aluminum to copper top metal is used to form the gate terminals.

ゲートコンダクタのレイアウトも、ゲートのESRおよびデバイスの全スイッチング速度に影響を与え得る。図66Aおよび図66Bに示される他の実施例において、レイアウト法は、ケイ化物が適用された垂直面ポリストライプを窪んだトレンチポリと組み合わせ、ゲートのESRを低減する。図66Aを参照すると、極めて簡略化されたデバイス構造6600が示されており、ケイ化物で被覆されたポリライン6604は、トレンチストライプ6602に垂直にシリコンの表面に沿って伸長している。図66Bは、AA’軸に沿った、デバイス6600の簡略断面図を示している。ケイ化物が塗布されたポリライン6604は、トレンチとの交点でゲートポリと接している。ケイ化物が塗布された複数のポリライン6604は、シリコン表面上に伸長し、ゲート電極の抵抗率を減じる。例えば相互接続の2または3以上の層を有する工程によって可能となった、このおよび他のレイアウト法は、本願に説明されているトレンチゲートデバイスのいずれにおいても、ゲートのESRを改善するために使用され得る。
回路アプリケーション
例えば、本願に説明されているさまざまなデバイスおよび工程方法によって与えられるように、デバイスのオン抵抗における劇的な低減のために、パワーデバイスによって占められるチップ面積は低減され得る。結果として、低い電圧ロジックおよび制御回路を有するこれらの高電圧デバイスのモノリシック集積化はより実現可能になる。通常の回路アプリケーションにおいて、パワートランジスタと同じチップ上に集積され得る機能のタイプは、電力制御,検出,保護およびインターフェース回路を含む。他の回路を有するパワーデバイスのモノリシック集積において考慮すべき重要なことは、高電圧パワーデバイスを低電圧ロジックまたは制御回路から電気的に絶縁するために使用される方法である。これを実現するための、接合分離,誘電体分離およびシリコン・オン・インシュレータ等を含む多くの周知方法がある。
The layout of the gate conductor can also affect the gate ESR and the overall switching speed of the device. In another embodiment shown in FIGS. 66A and 66B, the layout method combines a vertical poly stripe with silicide applied with a recessed trench poly to reduce gate ESR. Referring to FIG. 66A, a highly simplified device structure 6600 is shown with a silicide-covered polyline 6604 extending along the surface of the silicon perpendicular to the trench stripe 6602. FIG. 66B shows a simplified cross-sectional view of device 6600 along the AA ′ axis. Polyline 6604 coated with silicide is in contact with the gate poly at the intersection with the trench. The plurality of polylines 6604 coated with silicide extend on the silicon surface and reduce the resistivity of the gate electrode. This and other layout methods made possible by, for example, a process having two or more layers of interconnect can be used to improve gate ESR in any of the trench gate devices described herein. Can be done.
Due to the dramatic reduction in device on-resistance, as provided by circuit applications such as the various devices and process methods described herein, the chip area occupied by the power device can be reduced. As a result, monolithic integration of these high voltage devices with low voltage logic and control circuitry becomes more feasible. In normal circuit applications, the types of functions that can be integrated on the same chip as the power transistor include power control, detection, protection and interface circuits. An important consideration in monolithic integration of power devices with other circuits is the method used to electrically isolate the high voltage power device from the low voltage logic or control circuitry. There are many well-known methods for accomplishing this, including junction isolation, dielectric isolation, silicon on insulator, and the like.

以下に、パワースイッチングに対する多くの回路アプリケーションが説明され、ここでは、さまざまな回路部品が、さまざまな程度に同じチップ上に集積され得る。図67は、低電圧デバイスを必要とする同期式降圧型コンバータ(DC−DCコンバータ)を示している。この回路において、一般的にハイサイドスイッチとして言及されるn−チャンネルMOSFET Q1は、中程度に低いオン抵抗を有するように設計されるが、電力損失を最小化するために速いスイッチング速度を有するように設計される。一般的にローサイドスイッチとして言及されるMOSFET Q2は、極めて低いオン抵抗と中程度に高いスイッチング速度を有するように設計される。図68は、中程度の電圧から高電圧のデバイスに対してより適した、他のDC−DCコンバータを示している。この回路において、主要なスイッチングデバイスQaは、速いスイッチング速度および高い阻止電圧を示している。この回路は、中程度に低いオン抵抗を有することを可能にするトランジスタQaを通る低電流フローおよび変圧器を使用する。同期整流器Qsに対して、低から超低のオン抵抗,速いスイッチング速度,極めて低いリバースリカバリー電荷(reverse recovery charge)および低い相互電極容量(inter-electrode capacitance)
を有するMOSFETが使用され得る。かかるDC−DCコンバータに対する他の実施例および改善は、Elbanhawvによる「DC−DCコンバータにおける損失を低減する方法および回路」と表題がつけられた、同一出願人による売国特許出願第10/222,481号(代理人整理番号第18865−91−1/17732−51430号)により詳細に説明されている。当該特許出願の内容全体は、本願に引用して援用される。
In the following, many circuit applications for power switching are described, where various circuit components can be integrated on the same chip to varying degrees. FIG. 67 shows a synchronous step-down converter (DC-DC converter) that requires a low-voltage device. In this circuit, the n-channel MOSFET Q1, commonly referred to as a high-side switch, is designed to have a moderately low on-resistance, but to have a fast switching speed to minimize power loss. Designed to. MOSFET Q2, commonly referred to as a low-side switch, is designed to have very low on-resistance and moderately high switching speed. FIG. 68 shows another DC-DC converter that is more suitable for medium to high voltage devices. In this circuit, the main switching device Qa exhibits a fast switching speed and a high blocking voltage. This circuit uses a low current flow through the transistor Qa and a transformer that allows it to have a moderately low on-resistance. Low to very low on-resistance, fast switching speed, very low reverse recovery charge and low inter-electrode capacitance for synchronous rectifier Qs
MOSFETs with can be used. Other embodiments and improvements to such DC-DC converters are described in the same applicant's vendor patent application 10 / 222,481, entitled “Method and Circuit for Reducing Loss in DC-DC Converters” by Elbanhawv. No. (Attorney Docket No. 18865-91-1 / 17732-51430). The entire contents of the patent application are incorporated herein by reference.

上述のさまざまなパワーデバイス構造のいずれもが、図67および図68のコンバータ回路におけるMOSFETを実行するために使用され得る。図4Aに示されたタイプのデュアルゲートMOSFETは、例えば、同期式降圧型コンバータを実行することに使用されるとき特定の利点を与えるタイプのデバイスである。1つの実施例において、特別なドライブスキームは、デュアルゲートMOSFETによって与えられる全ての機能を利用する。この実施例の例は、図69に示されており、ここでは、ハイサイドMOSFET Q1の第1のゲート端子G2は、ダイオードD1,レジスタR1とR2,およびコンデンサC1から構成される回路によって定められる電位を有する。Q1のゲート電極G2での固定電位は、最善のQgdに対して調整され、トランジスタのスイッチング時間を最適化し得る。ハイサイドスイッチトランジスタQ1の第2のゲート端子G1は、パルス幅変調された(PWM)制御器/ドライバ(図示せず)からの通常のゲートドライブ信号を受け取る。ローサイドスイッチトランジスタQ2の2つのゲート電極は、示されているように同様にドライブされる。   Any of the various power device structures described above can be used to implement the MOSFETs in the converter circuits of FIGS. A dual-gate MOSFET of the type shown in FIG. 4A is a type of device that provides certain advantages when used, for example, in implementing a synchronous buck converter. In one embodiment, a special drive scheme utilizes all the functions provided by the dual gate MOSFET. An example of this embodiment is shown in FIG. 69, where the first gate terminal G2 of the high side MOSFET Q1 is defined by a circuit comprising a diode D1, resistors R1 and R2, and a capacitor C1. Has a potential. The fixed potential at the gate electrode G2 of Q1 can be adjusted for the best Qgd to optimize the transistor switching time. The second gate terminal G1 of the high side switch transistor Q1 receives a normal gate drive signal from a pulse width modulated (PWM) controller / driver (not shown). The two gate electrodes of the low side switch transistor Q2 are similarly driven as shown.

別の実施例において、ハイサイドスイッチの両方のゲート電極は別々にドライブされ、回路の性能をさらに最適化する。この実施例によると、異なる波形はハイサイドスイッチQ1のゲート端子G1およびG2をドライブし、遷移の間に最高のスイッチング速度を、残りのサイクルの間に最善のオン抵抗RDSonを実現する。示された実施例において、スイッチング中の約5Vの電圧Vaは、ハイサイドスイッチQ1のゲートに極めて低いQgdを運び、高いスイッチング速度をもたらすが、遷移td1,td2前後のRDSonは、その最低値ではない。しかし、スイッチングの間に、RDSonは損失に顕著に寄与している訳ではないので、このことは、回路の動作に不利に影響を与えない。パルス幅の残りの間に最低のRDSonを確実にするために、ゲート端子G2での電位Vg2は、図70Bのタイミング図に示されるように、時間tpの間にVaより高い第2の電圧Vbにドライブされる。このドライブスキームは、最適効率をもたらす。これらのドライブスキームにおけるバリエーションは、Elbanhawvによる「デュアルゲートMOSFETに対するドライバー」と表題がつけられた、同一出願人による米国特許出願第10/686,859号(代理人整理番号第17732−66930)により詳細に説明されており、その内容全体は本願に引用して援用される。
パッケージ技術
全てのパワー半導体デバイスに対して考慮すべき重要なことは、デバイスを回路に接続するために使用されるハウジングまたはパッケージである。半導体チップは、通常、例えば半田または金属が注入されたエポキシ接着剤のような金属ボンディング層を使用して、金属パッドに取り付けられる。ワイヤは、通常、チップの上面にボンディングされ、その後、モールドされた本体を通して突き出たリードにボンディングされる。その後、アセンブリが回路基板に実装される。ハウジングは、半導体チップ,電子装置およびその環境との間に電気的接続および熱接続の両方を与える。低い寄生抵抗,容量およびインダクタンスは、チップに対するより良好な界面を可能にするハウジングに対する、所望の電気的特徴である。
In another embodiment, both gate electrodes of the high side switch are driven separately to further optimize circuit performance. According to this embodiment, the different waveforms drive the gate terminals G1 and G2 of the high-side switch Q1, achieving the highest switching speed during the transition and the best on-resistance R DSon during the rest of the cycle. In the embodiment shown, the voltage Va of about 5V during switching carries a very low Qgd to the gate of the high-side switch Q1, a high result in switching speeds, but the transition td1, td2 before and after the R DSon, the minimum value is not. However, this does not adversely affect the operation of the circuit, since R DSon does not contribute significantly to losses during switching. To ensure the lowest R DSon during the remainder of the pulse width, the potential Vg2 at the gate terminal G2 is a second higher than Va during time t p as shown in the timing diagram of FIG. 70B. Driven to voltage Vb. This drive scheme provides optimal efficiency. Variations on these drive schemes are more detailed in commonly assigned US patent application Ser. No. 10 / 686,859 (Attorney Docket No. 17732-66930) entitled “Driver to Dual-Gate MOSFET” by Elbanhawv. The entire contents of which are incorporated herein by reference.
Package Technology An important consideration for all power semiconductor devices is the housing or package used to connect the device to the circuit. The semiconductor chip is typically attached to a metal pad using a metal bonding layer, such as an epoxy adhesive into which solder or metal is injected. The wire is typically bonded to the top surface of the chip and then bonded to a lead protruding through the molded body. Thereafter, the assembly is mounted on a circuit board. The housing provides both electrical and thermal connections between the semiconductor chip, the electronic device and its environment. Low parasitic resistance, capacitance and inductance are desirable electrical features for the housing that allow a better interface to the chip.

パッケージング技術に対する改良は、パッケージにおける抵抗およびインダクタンスを低減することに焦点をあてて提案されている。あるパッケージ技術において、はんだくずまたは銅のスタッドは、チップの相対的に薄い金属面(例えば2〜5μm)上に配される。金属表面の大面積に金属接続を配することによって、金属における電流路は短くなり且つ金属抵抗は低減される。チップのバンプ側が、銅のリードフレームまたはプリント回路基板の銅のトレースに接続される場合には、パワーデバイスの抵抗は、ワイヤボンディングされる解決法と比較すると低減される。   Improvements to packaging technology have been proposed with a focus on reducing resistance and inductance in the package. In some packaging technologies, solder scrap or copper studs are placed on the relatively thin metal surface of the chip (eg, 2-5 μm). By placing the metal connection over a large area of the metal surface, the current path in the metal is shortened and the metal resistance is reduced. If the bump side of the chip is connected to a copper lead frame or a copper trace on a printed circuit board, the resistance of the power device is reduced compared to a wire bonded solution.

図71および図72は、それぞれモールドされたおよびモールドされていないパッケージの簡略断面図であり、チップの金属表面にリードフレームを接続するはんだくずまたは銅のスタッドを使用している。図71に示されるようなモールドされたパッケージ7100は、はんだくずまたは銅のスタッド7104を介してチップ7102の第1面に接続するリードフレーム7106を含む。リードフレーム7106から離れて向かい合う、チップ7102の第2面は、モールド材料7108を通して露出されている。標準的な縦型パワートランジスタにおいて、チップの第2面はドレイン端子を形成する。チップの第2面は、回路基板上のパッドに対する直接的な電気接続を形成することができ、その結果、チップに対する低抵抗パスおよび電気パスを与える。このタイプのパッケージおよびそのバリエーションは、Joshiなどによる「リードされてモールドされたパッケージにおけるフリップチップおよびその製造方法」と表題がつけられた、同一出願人による米国特許出願第10/607,633号(代理人整理番号第18865−42−1/17732−1342)により詳細に説明されている。当該特許出願の内容全体は、本願に引用して援用される。   71 and 72 are simplified cross-sectional views of molded and unmolded packages, respectively, using solder scraps or copper studs connecting the lead frame to the metal surface of the chip. A molded package 7100 as shown in FIG. 71 includes a lead frame 7106 that connects to the first surface of the chip 7102 via solder scrap or copper studs 7104. The second surface of the chip 7102 facing away from the lead frame 7106 is exposed through the molding material 7108. In a standard vertical power transistor, the second surface of the chip forms a drain terminal. The second side of the chip can form a direct electrical connection to pads on the circuit board, thus providing a low resistance path and an electrical path to the chip. This type of package and variations thereof are described in commonly assigned US patent application Ser. No. 10 / 607,633, entitled “Flip Chips in Leaded Molded Packages and Methods of Manufacture”. Agent reference number 18865-42-1 / 17732-1342). The entire contents of the patent application are incorporated herein by reference.

図72は、パッケージ7200のモールドされていない実施例を示している。図72に示された例示的な実施例において、パッケージ7200は、例えば金属,絶縁層7222によって分離されている金属層7221を含む基準層7220を含む多層基板7212を有する。半田構造7213(例えばはんだくず)は、基板7212に取り付けられている。チップ7211は、チップの周囲に露出した半田構造7213とともに、基板7212に取り付けられている。チップ7211は、例えば半田7230のようなチップ取り付け材料で基板7212に結合され得る。図示されたパッケージが形成された後、当該パッケージはひっくり返されて、回路基板(図示せず)または他の回路基板上に実装される。縦型パワートランジスタがチップ7211上に組み立てられている実施例において、はんだくず7230はドレイン端子接続を形成し、チップ表面はソース端子を形成する。基板7212に対するチップ7211の接続を逆にすることによって、逆の接続も可能である。示されているように、モールド材料が必要とされないときに、パッケージ7220は薄く且つモールドされない。このタイプのモールドされていないパッケージのさまざまな実施例は、Joshiによる「半導体デバイスに対するモールドされないパッケージ」と表題がつけられた、同一出願人による米国特許出願第10/235,249号(代理人整理番号第18865−007110/17732.26390.003)により詳細に説明されている。当該特許出願の内容全体は、本願に引用して援用される。   FIG. 72 illustrates an unmolded embodiment of the package 7200. FIG. In the exemplary embodiment shown in FIG. 72, package 7200 has a multilayer substrate 7212 that includes a reference layer 7220 that includes a metal layer 7221 separated by, for example, a metal, insulating layer 7222. A solder structure 7213 (eg, solder scrap) is attached to the substrate 7212. The chip 7211 is attached to the substrate 7212 together with the solder structure 7213 exposed around the chip. The chip 7211 can be coupled to the substrate 7212 with a chip attachment material such as solder 7230. After the illustrated package is formed, the package is turned over and mounted on a circuit board (not shown) or other circuit board. In the embodiment where the vertical power transistor is assembled on chip 7211, the solder scrap 7230 forms the drain terminal connection and the chip surface forms the source terminal. By reversing the connection of the chip 7211 to the substrate 7212, the reverse connection is also possible. As shown, the package 7220 is thin and unmolded when mold material is not required. Various embodiments of this type of unmolded package are described in commonly assigned US patent application Ser. No. 10 / 235,249, entitled “Unmolded Package for Semiconductor Devices,” by Joshi. No. 18865-007110 / 17732.263900.003). The entire contents of the patent application are incorporated herein by reference.

チップの上面が、半田または導電性エポキシによって銅に直接接続されている他の方法が提案されている。銅とシリコンチップとの間に生じる応力は、チップの面積とともに増加する。半田またはエポキシの界面は、ブレーキングの前にかなり応力がかけられるだけであるので、直接的な接続方法は制限され得る。他方、バンプは、ブレーキングの前に置き換えが可能になり、かなり大きなチップとともに作用することが示されている。   Other methods have been proposed in which the top surface of the chip is directly connected to copper by solder or conductive epoxy. The stress generated between the copper and the silicon chip increases with the area of the chip. Since the solder or epoxy interface is only significantly stressed before braking, the direct connection method can be limited. On the other hand, bumps can be replaced before braking and have been shown to work with fairly large chips.

パッケージにおいて考慮すべき他の重要なことは熱放散である。パワー半導体の性能における改善は、小さいチップ面積をもたらすことが多い。チップにおける電力放散が低減しない場合には、熱エネルギーは小面積に集中し、高温および信頼性の悪化をもたらし得る。パッケージからの熱伝達率を増加させるための手段は、高い熱伝導性を有する材料を使用して、熱界面の数を減らすことおよび例えばシリコン,半田,チップ取り付けおよびチップ取り付けパッドのような層の厚さを減らすことを含む。Rajeev Joshiによる「改善された熱的性能および電気的性能を有する半導体チップパッケージ」と表題が付けられた、同一出願人により米国特許第6,566,749号(その内容全体は、本願に引用して援用される)は、特にRFアプリケーションに対する縦型パワーMOSFETを含むチップに対する熱放散の問題への解決法を論じている。全体のパッケージ性能を改善する他の方法は、Joshiなどによる「リードされモールドされたパッケージにおける、薄く熱的に機能改善されたフリップチップ」と表題がつけられた米国特許出願第10/271,654号(代理人整理番号第18865−99−1/17732.53440)と同様に、Rajeev Joshiによる同一出願人による米国特許第6,133,634号および米国特許第6,469,384号により詳細に説明されている。本願に説明されているさまざまなパワーデバイスのいずれもが、本願に説明されているパッケージまたは他の適切なパッケージに収容され得る、ということが理解されるべきである。   Another important consideration in the package is heat dissipation. Improvements in power semiconductor performance often result in small chip areas. If the power dissipation at the chip is not reduced, the thermal energy is concentrated in a small area, which can lead to high temperatures and poor reliability. Means for increasing the heat transfer rate from the package use materials with high thermal conductivity to reduce the number of thermal interfaces and layers such as silicon, solder, chip attachment and chip attachment pads. Including reducing the thickness. US Pat. No. 6,566,749 by Rajeev Joshi entitled “Semiconductor Chip Package with Improved Thermal and Electrical Performance”, the entire contents of which are incorporated herein by reference. Discusses a solution to the problem of heat dissipation for chips containing vertical power MOSFETs, particularly for RF applications. Another way to improve overall package performance is by US patent application Ser. No. 10 / 271,654 entitled “Thin and thermally improved flip chip in leaded molded packages” by Joshi et al. US Pat. No. 6,133,634 and US Pat. No. 6,469,384 by Rajeev Joshi as well as US Pat. No. 6,865,384, as well as US Pat. Explained. It should be understood that any of the various power devices described herein can be housed in a package described herein or other suitable package.

熱除去に対してハウジングのより大きい表面を使用することは、例えばハウジングの最上部と底部における熱界面のような低温を維持するためのハウジングの性能をも増大させる。増大した表面積とそれらの表面の周囲への気流とを組み合わせることによって、熱除去率は増大する。ハウジング設計は、外部ヒートシンクとの容易な境界面をも可能にする。熱伝導および赤外線の方法は一般的な方法であるが、交互の冷却方法のアプリケーションが可能である。例えば、Reno Rossettiによる「熱電子冷却システムを有するパワー回路」と表題がつけられた、同一出願人による米国特許出願第10/408,471号(代理人整理番号第17732−66720号)(その内容全体は、本願に引用して援用される)に説明されているような熱電子放射は、パワーデバイスを冷却するために使用され得る熱除去の1つの方法である。   Using a larger surface of the housing for heat removal also increases the housing's ability to maintain a low temperature, such as the thermal interface at the top and bottom of the housing. By combining the increased surface area and the airflow around those surfaces, the heat removal rate is increased. The housing design also allows easy interface with the external heat sink. Thermal conduction and infrared methods are common methods, but alternative cooling method applications are possible. For example, US Patent Application No. 10 / 408,471 (Attorney Docket No. 17732-66720) by the same applicant entitled “Power Circuit with Thermoelectric Cooling System” by Reno Rossetti. Thermionic emission as described in the entirety of which is incorporated herein by reference is one method of heat removal that can be used to cool a power device.

単一のパッケージにおける電力伝達および制御機能を含む他の論理回路の集積は、さらなる課題を提起する。1つとして、ハウジングは、他の電気的機能と相互作用するためにより多くのピンを必要とする。パッケージは、パッケージにおける高電流の電力相互接続と低電流の信号相互接続とを可能にさせるべきである。これらの課題に対処することができるさまざまなパッケージング技術は、特別な界面のパッドを取り除くためのチップ間ワイヤボンディング,ハウジング内に空間を残すためのチップオンチップ(chip-on-chip)および特有のシリコン技術が単一の電気的機能に組み込まれることを可能にする多チップモジュールを含む。多チップパッケージ法に対するさまざまな実施例は、Rajeev Jeshiによる「リードされモールドされたパッケージ技術においてフリップチップを使用する積層パッケージ」と表題が付けられた、同一出願人による米国特許出願第09/730,932号(代理人整理番号第18865−50/17732−19450)およびRajeev Joshiによる「相互接続構造のアレイを有する基板を含む多チップモジュール」と表題がつけられた米国特許出願第10/330,741号(代理人整理番号第18865−121/17732−66650.08)に説明されている。当該特許出願の両方は、本願に引用して援用される。   The integration of other logic circuits, including power transfer and control functions in a single package, poses additional challenges. For one, the housing requires more pins to interact with other electrical functions. The package should allow for high current power interconnections and low current signal interconnections in the package. Various packaging technologies that can address these challenges include chip-on-chip and chip-to-chip to leave space in the housing, as well as chip-to-chip wire bonding to remove special interface pads Multi-chip modules that allow multiple silicon technologies to be integrated into a single electrical function. Various embodiments for multi-chip packaging methods are described in US patent application Ser. No. 09/730, filed by Rajeev Jeshi, entitled “Laminated Package Using Flip Chips in Leaded Molded Packaging Technology” No. 932 (Attorney Docket No. 18865-50 / 17732-19450) and US patent application Ser. No. 10 / 330,741 entitled “Multichip Modules Containing Substrate with Interconnected Array” by Rajeev Joshi. No. (Attorney Docket No. 18865-121 / 17732-66650.08). Both of these patent applications are incorporated herein by reference.

上記事項は、本発明の好ましい実施例の完全な説明を与えるが、多くの選択肢,変更および均等物が可能である。例えば、多くの電荷調整法は、MOSFET、特にはトレンチゲートMOSFETとの関連で本願において説明されている。同じ方法は、横型デバイスと同様に、IGBT,サイリスタ,ダイオードおよびプレーナMOSFETを含む他のタイプのデバイスに適用することができる、ということが当業者によって理解される。従って、このおよび他の理由に対して、上述の説明は、本発明の範囲を限定するものとしてとられるべきものではなく、当該限定は添付の特許請求の範囲によって定められる。   While the above provides a complete description of the preferred embodiment of the present invention, many alternatives, modifications and equivalents are possible. For example, many charge adjustment methods are described herein in the context of MOSFETs, particularly trench gate MOSFETs. It will be appreciated by those skilled in the art that the same method can be applied to other types of devices including IGBTs, thyristors, diodes and planar MOSFETs as well as lateral devices. Thus, for this and other reasons, the above description should not be taken as limiting the scope of the invention, which is defined by the appended claims.

例示的なn型トレンチパワーMOSFETの一部の断面図である。2 is a cross-sectional view of a portion of an exemplary n-type trench power MOSFET. FIG. デュアルトレンチパワーMOSFETの例示的な実施例を示す図である。FIG. 6 illustrates an exemplary embodiment of a dual trench power MOSFET. ソースシールドトレンチ構造を有するプレーナゲートMOSFETに対する例示的な実施例を示す図である。FIG. 6 illustrates an exemplary embodiment for a planar gate MOSFET having a source shield trench structure. シールドゲートトレンチパワーMOSFETの例示的な実施例の一部を示す図である。FIG. 6 shows a portion of an exemplary embodiment of a shielded gate trench power MOSFET. 図2Aのデュアルトレンチ構造を図3Aのシールドゲート構造と組み合わせたシールドゲートトレンチパワーMOSFETに対する代わりの実施例を示す図である。FIG. 3B illustrates an alternative embodiment for a shielded gate trench power MOSFET combining the dual trench structure of FIG. 2A with the shielded gate structure of FIG. 3A. デュアルゲートトレンチパワーMOSFETの例示的な実施例の簡略化した部分図である。FIG. 6 is a simplified partial view of an exemplary embodiment of a dual gate trench power MOSFET. プレーナデュアルゲート構造を垂直方向の電荷制御のためのトレンチ電極と組み合わせた、例示的なパワーMOSFETを示す図である。FIG. 5 shows an exemplary power MOSFET combining a planar dual gate structure with a trench electrode for vertical charge control. 同じトレンチの内部にデュアルゲートとシールドゲートの技術を組み合わせたパワーMOSFETの例示的な実施を示す図である。FIG. 6 illustrates an exemplary implementation of a power MOSFET that combines dual gate and shield gate technology within the same trench. 深い本体構造を有するパワーMOSFETに対する別の実施例についての断面図である。FIG. 6 is a cross-sectional view of another embodiment for a power MOSFET having a deep body structure. 深い本体構造を有するパワーMOSFETに対する別の実施例についての断面図である。FIG. 6 is a cross-sectional view of another embodiment for a power MOSFET having a deep body structure. ゲート電極の近くのパワーMOSFETの内部で、ポテンシャル線の分布に関してトレンチが形成された深い本体構造の効果を示す図である。It is a figure which shows the effect of the deep main body structure in which the trench was formed regarding distribution of a potential line inside the power MOSFET near a gate electrode. ゲート電極の近くのパワーMOSFETの内部で、ポテンシャル線の分布に関してトレンチが形成された深い本体構造の効果を示す図である。It is a figure which shows the effect of the deep main body structure in which the trench was formed regarding distribution of a potential line inside the power MOSFET near a gate electrode. さまざまな、垂直電荷調整構造を有する例示的なパワーMOSFETの一部分を示す断面図である。2 is a cross-sectional view illustrating portions of exemplary power MOSFETs having various vertical charge adjustment structures. FIG. さまざまな、垂直電荷調整構造を有する例示的なパワーMOSFETの一部分を示す断面図である。2 is a cross-sectional view illustrating portions of exemplary power MOSFETs having various vertical charge adjustment structures. FIG. さまざまな、垂直電荷調整構造を有する例示的なパワーMOSFETの一部分を示す断面図である。2 is a cross-sectional view illustrating portions of exemplary power MOSFETs having various vertical charge adjustment structures. FIG. 例示的な垂直電荷制御構造をシールドゲート構造と組み合わせたパワーMOSFETの簡略断面図である。2 is a simplified cross-sectional view of a power MOSFET combining an exemplary vertical charge control structure with a shield gate structure. FIG. 例示的な垂直電荷制御構造をデュアルゲート構造と組み合わせた、別のパワーMOSFETの簡略断面図である。FIG. 6 is a simplified cross-sectional view of another power MOSFET combining an exemplary vertical charge control structure with a dual gate structure. 垂直電荷制御構造と集積したショットキーダイオードとを有するシールドゲートパワーMOSFETの一例を示す図である。It is a figure which shows an example of the shield gate power MOSFET which has a vertical charge control structure and the integrated Schottky diode. 集積したショットキーダイオードを有するパワーMOSFETに対する、さまざまな例示的な実施例を示す図である。FIG. 3 shows various exemplary embodiments for a power MOSFET with an integrated Schottky diode. 集積したショットキーダイオードを有するパワーMOSFETに対する、さまざまな例示的な実施例を示す図である。FIG. 3 shows various exemplary embodiments for a power MOSFET with an integrated Schottky diode. 集積したショットキーダイオードを有するパワーMOSFETに対する、さまざまな例示的な実施例を示す図である。FIG. 3 shows various exemplary embodiments for a power MOSFET with an integrated Schottky diode. パワーMOSFETのアクティブセルアレイ内にショットキーダイオードセルを散在させるための例示的なレイアウトのバリエーションを示す図である。FIG. 6 illustrates an exemplary layout variation for interposing Schottky diode cells in an active cell array of a power MOSFET. パワーMOSFETのアクティブセルアレイ内にショットキーダイオードセルを散在させるための例示的なレイアウトのバリエーションを示す図である。FIG. 6 illustrates an exemplary layout variation for interposing Schottky diode cells in an active cell array of a power MOSFET. パワーMOSFETのアクティブセルアレイ内にショットキーダイオードセルを散在させるための例示的なレイアウトのバリエーションを示す図である。FIG. 6 illustrates an exemplary layout variation for interposing Schottky diode cells in an active cell array of a power MOSFET. 埋め込みダイオード電荷調整構造を有する、例示的なトレンチパワーMOSFETの簡略断面図である。FIG. 3 is a simplified cross-sectional view of an exemplary trench power MOSFET having a buried diode charge adjustment structure. シールドゲートの技術を埋め込みダイオード電荷調整と組み合わせたパワーMOSFETに対する実施例を示す図である。FIG. 5 is a diagram illustrating an embodiment for a power MOSFET that combines shield gate technology with embedded diode charge adjustment. デュアルゲートの技術を埋め込みダイオード電荷調整と組み合わせたパワーMOSFETに対する実施例を示す図である。FIG. 6 illustrates an embodiment for a power MOSFET that combines dual gate technology with embedded diode charge adjustment. 埋め込みダイオードの電荷調整技術を集積したショットキーダイオードと組み合わせた例示的なプレーナパワーMOSFETの簡略断面図である。2 is a simplified cross-sectional view of an exemplary planar power MOSFET in combination with a Schottky diode integrated with embedded diode charge regulation technology. FIG. 電流フローに対して平行に配置された互い違いの伝導領域を有する、例示的な蓄積モードパワートランジスタの簡略実施例を示す図である。FIG. 5 shows a simplified example of an exemplary accumulation mode power transistor having staggered conduction regions arranged parallel to the current flow. 電荷拡散用のトレンチ電極を有する別の蓄積モードデバイスの簡略図である。FIG. 6 is a simplified diagram of another accumulation mode device having a trench electrode for charge diffusion. 例示的なデュアルトレンチ蓄積モードデバイスの簡略図である。1 is a simplified diagram of an exemplary dual trench accumulation mode device. FIG. 異極性の外部ライナー(exterior liner)を有し、誘電体で満たされたトレンチを有する例示的な累積モードデバイスに対する他の簡略化した実施例を示す図である。FIG. 5 illustrates another simplified embodiment for an exemplary cumulative mode device having a heteropolar exterior liner and having a dielectric filled trench. 異極性の外部ライナー(exterior liner)を有し、誘電体で満たされたトレンチを有する例示的な累積モードデバイスに対する他の簡略化した実施例を示す図である。FIG. 5 illustrates another simplified embodiment for an exemplary cumulative mode device having a heteropolar exterior liner and having a dielectric filled trench. 1または2以上の埋め込みダイオードを使用する累積モードデバイスに対する他の簡略化した実施例を示す図である。FIG. 6 shows another simplified embodiment for a cumulative mode device that uses one or more buried diodes. シリコンの表面に沿った、高濃度にドープされた異極性領域を含む、例示的な累積モードトランジスタの簡略等角図である。1 is a simplified isometric view of an exemplary cumulative mode transistor including a heavily doped heteropolar region along a surface of silicon. FIG. 電圧維持層において交互の異極性領域を有するスーパージャンクションパワーMOSFETの簡略例を示す図である。It is a figure which shows the simplification example of the super junction power MOSFET which has an alternating different polarity area | region in a voltage maintenance layer. 電圧維持層における垂直方向において、非均一に間隔が開けられた異極性アイランドを有するスーパージャンクションパワーMOSFETに対する例示的な実施例を示す図である。FIG. 6 illustrates an exemplary embodiment for a superjunction power MOSFET having heterogeneous islands that are non-uniformly spaced in the vertical direction in the voltage sustaining layer. デュアルゲート構造を有するスーパージャンクションパワーMOSFETに対する例示的な実施例を示す図である。FIG. 5 illustrates an exemplary embodiment for a super junction power MOSFET having a dual gate structure. シールドゲート構造を有するスーパージャンクションパワーMOSFETに対する例示的な実施例を示す図である。FIG. 6 illustrates an exemplary embodiment for a super junction power MOSFET having a shield gate structure. トレンチトランジスタに対するアクティブトレンチおよび終端トレンチのレイアウトの上面図を示す図である。It is a figure which shows the upper side figure of the layout of the active trench with respect to a trench transistor, and a termination | terminus trench. トレンチ終端構造に対する別の実施例の簡略化されたレイアウト図を示す図である。FIG. 6 is a simplified layout diagram of another embodiment for a trench termination structure. トレンチ終端構造に対する別の実施例の簡略化されたレイアウト図を示す図である。FIG. 6 is a simplified layout diagram of another embodiment for a trench termination structure. トレンチ終端構造に対する別の実施例の簡略化されたレイアウト図を示す図である。FIG. 6 is a simplified layout diagram of another embodiment for a trench termination structure. トレンチ終端構造に対する別の実施例の簡略化されたレイアウト図を示す図である。FIG. 6 is a simplified layout diagram of another embodiment for a trench termination structure. トレンチ終端構造に対する別の実施例の簡略化されたレイアウト図を示す図である。FIG. 6 is a simplified layout diagram of another embodiment for a trench termination structure. 例示的なトレンチ終端構造の断面図である。2 is a cross-sectional view of an exemplary trench termination structure. FIG. 例示的なトレンチ終端構造の断面図である。2 is a cross-sectional view of an exemplary trench termination structure. FIG. 例示的なトレンチ終端構造の断面図である。2 is a cross-sectional view of an exemplary trench termination structure. FIG. 大きな半径の湾曲を有する終端トレンチを有する例示的なデバイスを示す図である。FIG. 5 illustrates an exemplary device having a termination trench with a large radius curvature. シリコンピラー電荷調整構造を有する終端領域の断面図である。It is sectional drawing of the termination | terminus area | region which has a silicon pillar electric charge adjustment structure. シリコンピラー電荷調整構造を有する終端領域の断面図である。It is sectional drawing of the termination | terminus area | region which has a silicon pillar electric charge adjustment structure. シリコンピラー電荷調整構造を有する終端領域の断面図である。It is sectional drawing of the termination | terminus area | region which has a silicon pillar electric charge adjustment structure. シリコンピラー電荷調整構造を有する終端領域の断面図である。It is sectional drawing of the termination | terminus area | region which has a silicon pillar electric charge adjustment structure. スーパージャンクション法を使用する超高電圧デバイスの例示的な実施例の断面図である。FIG. 2 is a cross-sectional view of an exemplary embodiment of an ultra high voltage device using a super junction method. スーパージャンクション法を使用する超高電圧デバイスの例示的な実施例の断面図である。FIG. 2 is a cross-sectional view of an exemplary embodiment of an ultra high voltage device using a super junction method. スーパージャンクション法を使用する超高電圧デバイスの例示的な実施例の断面図である。FIG. 2 is a cross-sectional view of an exemplary embodiment of an ultra high voltage device using a super junction method. トレンチデバイスに対して接触する端部の例を示す図である。It is a figure which shows the example of the edge part which contacts with respect to a trench device. トレンチデバイスに対する端部接触構造を形成する例示的な工程を示す図である。FIG. 6 illustrates an exemplary process for forming an end contact structure for a trench device. トレンチデバイスに対する端部接触構造を形成する例示的な工程を示す図である。FIG. 6 illustrates an exemplary process for forming an end contact structure for a trench device. トレンチデバイスに対する端部接触構造を形成する例示的な工程を示す図である。FIG. 6 illustrates an exemplary process for forming an end contact structure for a trench device. トレンチデバイスに対する端部接触構造を形成する例示的な工程を示す図である。FIG. 6 illustrates an exemplary process for forming an end contact structure for a trench device. トレンチデバイスに対する端部接触構造を形成する例示的な工程を示す図である。FIG. 6 illustrates an exemplary process for forming an end contact structure for a trench device. 複数の埋め込みポリ層に対するアクティブ領域接触構造の例である。FIG. 6 is an example of an active area contact structure for a plurality of buried poly layers. FIG. トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。It is a figure which shows the process of forming the active region shield contact structure with respect to a trench. トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。It is a figure which shows the process of forming the active region shield contact structure with respect to a trench. トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。It is a figure which shows the process of forming the active region shield contact structure with respect to a trench. トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。It is a figure which shows the process of forming the active region shield contact structure with respect to a trench. トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。It is a figure which shows the process of forming the active region shield contact structure with respect to a trench. トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。It is a figure which shows the process of forming the active region shield contact structure with respect to a trench. トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。It is a figure which shows the process of forming the active region shield contact structure with respect to a trench. トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。It is a figure which shows the process of forming the active region shield contact structure with respect to a trench. トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。It is a figure which shows the process of forming the active region shield contact structure with respect to a trench. トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。It is a figure which shows the process of forming the active region shield contact structure with respect to a trench. トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。It is a figure which shows the process of forming the active region shield contact structure with respect to a trench. トレンチに対するアクティブ領域シールド接触構造を形成する工程を示す図である。It is a figure which shows the process of forming the active region shield contact structure with respect to a trench. アクティブ領域シールド接触構造に対する、別の実施例の断面図である。FIG. 6 is a cross-sectional view of another embodiment for an active area shield contact structure. アクティブ領域シールド接触構造を有する例示的なトレンチデバイスのレイアウト図である。1 is a layout diagram of an exemplary trench device having an active area shield contact structure. FIG. アクティブ領域シールド接触構造を有する例示的なトレンチデバイスのレイアウト図である。1 is a layout diagram of an exemplary trench device having an active area shield contact structure. FIG. 中断したトレンチ構造を有するトレンチデバイスにおいて、周辺トレンチに接触を作る2つの実施例の簡略化されたレイアウト図である。FIG. 3 is a simplified layout diagram of two embodiments for making contact with a peripheral trench in a trench device having an interrupted trench structure. 中断したトレンチ構造を有するトレンチデバイスにおいて、周辺トレンチに接触を作る2つの実施例の簡略化されたレイアウト図である。FIG. 3 is a simplified layout diagram of two embodiments for making contact with a peripheral trench in a trench device having an interrupted trench structure. アクティブ領域においてトレンチシールドポリ層と接触する別の実施例である。FIG. 5 is another example of contacting the trench shield poly layer in the active region. FIG. 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。FIG. 33B is a diagram showing an example of a step of contacting an active area shield structure of the type shown in FIG. 33A. 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。FIG. 33B is a diagram showing an example of a step of contacting an active area shield structure of the type shown in FIG. 33A. 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。FIG. 33B is a diagram showing an example of a step of contacting an active area shield structure of the type shown in FIG. 33A. 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。FIG. 33B is a diagram showing an example of a step of contacting an active area shield structure of the type shown in FIG. 33A. 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。FIG. 33B is a diagram showing an example of a step of contacting an active area shield structure of the type shown in FIG. 33A. 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。FIG. 33B is a diagram showing an example of a step of contacting an active area shield structure of the type shown in FIG. 33A. 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。FIG. 33B is a diagram showing an example of a step of contacting an active area shield structure of the type shown in FIG. 33A. 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。FIG. 33B is a diagram showing an example of a step of contacting an active area shield structure of the type shown in FIG. 33A. 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。FIG. 33B is a diagram showing an example of a step of contacting an active area shield structure of the type shown in FIG. 33A. 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。FIG. 33B is a diagram showing an example of a step of contacting an active area shield structure of the type shown in FIG. 33A. 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。FIG. 33B is a diagram showing an example of a step of contacting an active area shield structure of the type shown in FIG. 33A. 図33Aに示されたタイプのアクティブ領域シールド構造に接触させる工程の例を示す図である。FIG. 33B is a diagram showing an example of a step of contacting an active area shield structure of the type shown in FIG. 33A. エピドリフト領域の厚さを低減するためにスペーサまたはバッファ(バリア)層を有するエピ層を示す図である。FIG. 6 shows an epi layer with a spacer or buffer (barrier) layer to reduce the thickness of the epi drift region. バリア層を有するデバイスに対する別の実施例を示す図である。FIG. 6 illustrates another example for a device having a barrier layer. エピ層の厚さを最小化するために深い本体−エピ接合に使用されたバリア層を示す図である。FIG. 5 shows a barrier layer used for deep body-epi junctions to minimize the thickness of the epi layer. 拡散バリア層を使用するトランジスタの井戸−ドリフト領域の接合の簡略例である。FIG. 6 is a simplified example of a well-drift region junction of a transistor using a diffusion barrier layer. FIG. 埋め込み電極を有する自己整合エピ−井戸トレンチデバイスの例に対する簡略工程を示す図である。FIG. 6 illustrates a simplified process for an example of a self-aligned epi-well trench device having a buried electrode. 埋め込み電極を有する自己整合エピ−井戸トレンチデバイスの例に対する簡略工程を示す図である。FIG. 6 illustrates a simplified process for an example of a self-aligned epi-well trench device having a buried electrode. 埋め込み電極を有する自己整合エピ−井戸トレンチデバイスの例に対する簡略工程を示す図である。FIG. 6 illustrates a simplified process for an example of a self-aligned epi-well trench device having a buried electrode. 埋め込み電極を有する自己整合エピ−井戸トレンチデバイスの例に対する簡略工程を示す図である。FIG. 6 illustrates a simplified process for an example of a self-aligned epi-well trench device having a buried electrode. 角をなした井戸トレンチに対する例示的な工程を示す図である。FIG. 6 illustrates an exemplary process for a cornered well trench. 角をなした井戸トレンチに対する例示的な工程を示す図である。FIG. 6 illustrates an exemplary process for a cornered well trench. 自己整合エピ井戸の工程の例を示す図である。It is a figure which shows the example of the process of a self alignment epitaxial well. 自己整合エピ井戸の工程の例を示す図である。It is a figure which shows the example of the process of a self alignment epitaxial well. 自己整合エピ井戸の工程の例を示す図である。It is a figure which shows the example of the process of a self alignment epitaxial well. 自己整合エピ井戸の工程の例を示す図である。It is a figure which shows the example of the process of a self alignment epitaxial well. 自己整合エピ井戸の工程の例を示す図である。It is a figure which shows the example of the process of a self alignment epitaxial well. 基板厚さを低減する方法を示す図である。It is a figure which shows the method to reduce board | substrate thickness. 基板厚さを低減する方法を示す図である。It is a figure which shows the method to reduce board | substrate thickness. 基板厚さを低減する方法を示す図である。It is a figure which shows the method to reduce board | substrate thickness. 基板厚さを低減する方法を示す図である。It is a figure which shows the method to reduce board | substrate thickness. 最後の薄層化ステップとして化学工程を使用する工程の例を示す図である。It is a figure which shows the example of the process which uses a chemical process as the last thinning step. 改善されたエッチング工程の例を示す図である。It is a figure which shows the example of the improved etching process. 改善されたエッチング工程の例を示す図である。It is a figure which shows the example of the improved etching process. 改善されたエッチング工程の例を示す図である。It is a figure which shows the example of the improved etching process. 改善されたエッチング工程の例を示す図である。It is a figure which shows the example of the improved etching process. 改善されたエッチング工程の例を示す図である。It is a figure which shows the example of the improved etching process. 改善されたエッチング工程の例を示す図である。It is a figure which shows the example of the improved etching process. 鳥の嘴の問題をなくすトレンチエッチング工程の実施例を示す図である。It is a figure which shows the Example of the trench etching process which eliminates the problem of a bird's beak. 鳥の嘴の問題をなくすトレンチエッチング工程の実施例を示す図である。It is a figure which shows the Example of the trench etching process which eliminates the problem of a bird's beak. 別のエッチング工程を示す図である。It is a figure which shows another etching process. 別のエッチング工程を示す図である。It is a figure which shows another etching process. 改善されたポリ間の誘電体層を形成する工程を示す図である。It is a figure which shows the process of forming the dielectric material layer between improved poly. 改善されたポリ間の誘電体層を形成する工程を示す図である。It is a figure which shows the process of forming the dielectric material layer between improved poly. 改善されたポリ間の誘電体層を形成する工程を示す図である。It is a figure which shows the process of forming the dielectric material layer between improved poly. IPD層を形成する別の方法を示す図である。It is a figure which shows another method of forming an IPD layer. IPD層を形成する別の方法を示す図である。It is a figure which shows another method of forming an IPD layer. IPD層を形成する別の方法を示す図である。It is a figure which shows another method of forming an IPD layer. 高品質ポリ間誘電体層を形成する、さらに他の方法の断面図である。FIG. 6 is a cross-sectional view of yet another method of forming a high quality interpoly dielectric layer. 高品質ポリ間誘電体層を形成する、さらに他の方法の断面図である。FIG. 6 is a cross-sectional view of yet another method of forming a high quality interpoly dielectric layer. 改善されたIPD層の形成に対する他の実施例を示す図である。FIG. 5 shows another embodiment for the formation of an improved IPD layer. 改善されたIPD層の形成に対する他の実施例を示す図である。FIG. 5 shows another embodiment for the formation of an improved IPD layer. 改善されたIPD層の形成に対する他の実施例を示す図である。FIG. 5 shows another embodiment for the formation of an improved IPD layer. 改善されたIPD層の形成に対する他の実施例を示す図である。FIG. 5 shows another embodiment for the formation of an improved IPD layer. 改善されたIPD層の形成に対する他の実施例を示す図である。FIG. 5 shows another embodiment for the formation of an improved IPD layer. IPD平坦化に対する異方性プラズマエッチング工程を示す図である。It is a figure which shows the anisotropic plasma etching process with respect to IPD planarization. 化学機械工程を使用する、代わりのIPD平坦化方法を示す図である。FIG. 6 shows an alternative IPD planarization method using a chemical mechanical process. 酸化率を制御する例示的な方法に対するフローチャートである。5 is a flowchart for an exemplary method of controlling the oxidation rate. 準常圧CVDを使用してトレンチの底部に厚い酸化物を形成する、改善された方法を示す図である。FIG. 6 illustrates an improved method of forming a thick oxide at the bottom of a trench using quasi-atmospheric CVD. 指向性テトラエトキシオルトケイ酸塩(tetraethoxyorthsilicate)の工程を使用して、トレンチの底部に厚い酸化物を形成する方法の例示的なフローチャートである。2 is an exemplary flowchart of a method of forming a thick oxide at the bottom of a trench using a directional tetraethoxyorthsilicate process. 厚い底部酸化物を形成する、他の実施例を示す図である。FIG. 6 illustrates another example of forming a thick bottom oxide. 厚い底部酸化物を形成する、他の実施例を示す図である。FIG. 6 illustrates another example of forming a thick bottom oxide. トレンチの底部に厚い誘電体層を形成する別の工程を示す図である。It is a figure which shows another process of forming a thick dielectric layer in the bottom part of a trench. トレンチの底部に厚い誘電体層を形成する別の工程を示す図である。It is a figure which shows another process of forming a thick dielectric layer in the bottom part of a trench. トレンチの底部に厚い誘電体層を形成する別の工程を示す図である。It is a figure which shows another process of forming a thick dielectric layer in the bottom part of a trench. トレンチの底部に厚い誘電体層を形成する別の工程を示す図である。It is a figure which shows another process of forming a thick dielectric layer in the bottom part of a trench. 電流検出装置を有するMOSFETの簡略図である。It is a simplified diagram of a MOSFET having a current detection device. プレーナゲート構造および分離した電流検出構造を有する電荷調整MOSFETの例である。2 is an example of a charge adjustment MOSFET having a planar gate structure and a separate current detection structure. トレンチMOSFETを有する電流検出装置を集積する例を示す図である。It is a figure which shows the example which integrates the current detection apparatus which has trench MOSFET. 直列温度検出ダイオードを有するMOSFETに対する、別の実施例を示す図である。FIG. 6 shows another embodiment for a MOSFET having a series temperature sensing diode. 直列温度検出ダイオードを有するMOSFETに対する、別の実施例を示す図である。FIG. 6 shows another embodiment for a MOSFET having a series temperature sensing diode. 直列温度検出ダイオードを有するMOSFETに対する、別の実施例を示す図である。FIG. 6 shows another embodiment for a MOSFET having a series temperature sensing diode. ESD保護を有するMOSFETに対する別の実施例を示す図である。FIG. 5 shows another embodiment for a MOSFET with ESD protection. ESD保護を有するMOSFETに対する別の実施例を示す図である。FIG. 5 shows another embodiment for a MOSFET with ESD protection. ESD保護回路の例を示す図である。It is a figure which shows the example of an ESD protection circuit. ESD保護回路の例を示す図である。It is a figure which shows the example of an ESD protection circuit. ESD保護回路の例を示す図である。It is a figure which shows the example of an ESD protection circuit. ESD保護回路の例を示す図である。It is a figure which shows the example of an ESD protection circuit. 低いESRを有する電荷調整パワーデバイスを形成する例示的な工程を示す図である。FIG. 6 illustrates an exemplary process for forming a charge tuning power device having a low ESR. ESRを低減するためのレイアウト法を示す図である。It is a figure which shows the layout method for reducing ESR. ESRを低減するためのレイアウト法を示す図である。It is a figure which shows the layout method for reducing ESR. パワースイッチングを使用するDC−DC回路を示す図である。It is a figure which shows the DC-DC circuit which uses power switching. パワースイッチングを使用する、他のDC−DCコンバータを示す図である。FIG. 3 is a diagram showing another DC-DC converter using power switching. デュアルゲートMOSFETに対する例示的な駆動回路を示す図である。FIG. 6 illustrates an exemplary drive circuit for a dual gate MOSFET. 分離して駆動されるゲート電極を有する、別の実施例を示す図である。FIG. 5 is a diagram showing another embodiment having gate electrodes that are driven separately. 図70Aの回路動作を示すタイミング図を示す図である。FIG. 70B is a timing chart showing the circuit operation of FIG. 70A. モールドパッケージの簡略断面図である。It is a simplified sectional view of a mold package. モールドされていないパッケージの簡略断面図である。FIG. 5 is a simplified cross-sectional view of an unmolded package.

Claims (203)

半導体デバイスであって、
第1の導電型のドリフト領域と、
前記ドリフト領域の上に伸長し且つ前記第1の導電型と反対である第2の導電型を有する井戸領域と、
前記井戸領域を通って前記ドリフト領域中に伸長するアクティブトレンチと、
前記アクティブトレンチに隣接した、前記井戸領域に形成された前記第1の導電型を有するソース領域と、
前記アクティブトレンチより前記ドリフト領域中に深く伸長し且つ前記ドリフト領域において垂直電荷制御をする材料で充填される電荷制御トレンチと、
を含み、前記アクティブトレンチは、誘電体で囲まれた側壁と底部とを有し、第1のシールド導電層およびゲート導電層で充填されており、前記第1のシールド導電層は、前記ゲート導電層の下に配置され且つ電極間誘電体によって当該ゲート電極から分離されていることを特徴とする半導体デバイス。
A semiconductor device,
A drift region of a first conductivity type;
A well region extending over the drift region and having a second conductivity type opposite to the first conductivity type;
An active trench extending through the well region and into the drift region;
A source region having the first conductivity type formed in the well region adjacent to the active trench;
A charge control trench extending deeper into the drift region than the active trench and filled with a material that provides vertical charge control in the drift region;
And the active trench has a sidewall surrounded by a dielectric and a bottom, and is filled with a first shield conductive layer and a gate conductive layer, and the first shield conductive layer includes the gate conductive layer. A semiconductor device, wherein the semiconductor device is disposed below the layer and separated from the gate electrode by an interelectrode dielectric.
前記電荷制御トレンチは誘電体の層で囲まれており、導電体で充填されていることを特徴とする請求項1記載の半導体デバイス。   The semiconductor device of claim 1, wherein the charge control trench is surrounded by a dielectric layer and filled with a conductor. ソース電極は、前記電荷制御トレンチの内部の前記導電体を前記ソース領域に連結することを特徴とする請求項2記載の半導体デバイス。   The semiconductor device according to claim 2, wherein the source electrode connects the conductor inside the charge control trench to the source region. 前記電荷制御トレンチの内部には、互いに分離され且つ誘電体によって当該電荷制御トレンチの側壁から分離されて、垂直に積み重ねられた複数の導電層が配置されていることを特徴とする請求項1記載の半導体デバイス。   2. The plurality of conductive layers stacked vertically, separated from each other and separated from a side wall of the charge control trench by a dielectric, are disposed in the charge control trench. Semiconductor devices. 前記電荷制御トレンチの内部の前記複数の導電層は、前記ドリフト領域において垂直電荷調整を与えるために電気的にバイアスがかけられることを特徴とする請求項4記載の半導体デバイス。   5. The semiconductor device of claim 4, wherein the plurality of conductive layers within the charge control trench are electrically biased to provide vertical charge adjustment in the drift region. 前記電荷制御トレンチの内部の前記複数の導電層は、独立してバイアスがかけられるように構成されていることを特徴とする請求項5記載の半導体デバイス。   The semiconductor device according to claim 5, wherein the plurality of conductive layers inside the charge control trench are configured to be independently biased. 前記電荷制御トレンチの内部の前記複数の導電層の厚さは変動することを特徴とする請求項4記載の半導体デバイス。   The semiconductor device according to claim 4, wherein the thickness of the plurality of conductive layers inside the charge control trench varies. 前記電荷制御トレンチの内部のより深い位置にある第1の導電層の厚さは、前記第1の導電層の上に配された第2の導電層の厚さよりも薄いことを特徴とする請求項1記載の半導体デバイス。   The thickness of the first conductive layer at a deeper position inside the charge control trench is smaller than the thickness of the second conductive layer disposed on the first conductive layer. Item 14. A semiconductor device according to Item 1. 前記アクティブトレンチの内部の前記第1のシールド導電層は、所望の電位に電気的にバイアスがかけられるように構成されていることを特徴とする請求項1記載の半導体デバイス。   2. The semiconductor device according to claim 1, wherein the first shield conductive layer inside the active trench is configured to be electrically biased to a desired potential. 前記第1のシールド導電層および前記ソース領域は、同電位に電気的に連結されることを特徴とする請求項1記載の半導体デバイス。   The semiconductor device according to claim 1, wherein the first shield conductive layer and the source region are electrically connected to the same potential. 前記アクティブトレンチは、前記第1のシールド導電層の下に配された第2のシールド導電層をさらに含むことを特徴とする請求項1記載の半導体デバイス。   The semiconductor device according to claim 1, wherein the active trench further includes a second shield conductive layer disposed under the first shield conductive layer. 前記第1および第2のシールド導電層は、厚さが変動することを特徴とする請求項11記載の半導体デバイス。   12. The semiconductor device according to claim 11, wherein the thickness of the first and second shield conductive layers varies. 前記第1および第2のシールド導電層は、独立してバイアスがかけられるように構成されていることを特徴とする請求項11記載の半導体デバイス。   12. The semiconductor device according to claim 11, wherein the first and second shield conductive layers are configured to be independently biased. 前記電荷制御トレンチは、誘電体で充填されていることを特徴とする請求項1記載の半導体デバイス。   The semiconductor device of claim 1, wherein the charge control trench is filled with a dielectric. 前記電荷制御トレンチの外側壁に沿って伸長する第2の導電材料のライニングをさらに含むことを特徴とする請求項14記載の半導体デバイス。   The semiconductor device of claim 14, further comprising a lining of a second conductive material extending along an outer wall of the charge control trench. 前記電荷制御トレンチと第2の隣接する電荷制御トレンチとの間に形成されるショットキー構造をさらに含むことを特徴とする請求項1記載の半導体デバイス。   The semiconductor device of claim 1, further comprising a Schottky structure formed between the charge control trench and a second adjacent charge control trench. 半導体デバイスであって、
第1の導電型のドリフト領域と、
前記ドリフト領域の上に伸長し且つ前記第1の導電型と反対である第2の導電型を有する井戸領域と、
前記井戸領域を通って前記ドリフト領域中に伸長するアクティブトレンチと、
前記アクティブトレンチに隣接した、前記井戸領域に形成された前記第1の導電型を有するソース領域と、
を含み、前記アクティブトレンチの内部には、導電材料でできた第1のゲートおよび導電材料でできた第2のゲートが、互いに分離され且つ誘電体の層によって当該アクティブトレンチの側壁から分離されて形成され、前記第1のゲートは前記第2のゲートの上にあり、前記アクティブトレンチは、前記第2のゲートの下に配され且つ誘電体によって当該第2のゲートから分離された、導電材料でできた第1のシールド電極をさらに有することを特徴とする半導体デバイス。
A semiconductor device,
A drift region of a first conductivity type;
A well region extending over the drift region and having a second conductivity type opposite to the first conductivity type;
An active trench extending through the well region and into the drift region;
A source region having the first conductivity type formed in the well region adjacent to the active trench;
In the active trench, a first gate made of a conductive material and a second gate made of a conductive material are separated from each other and separated from the side wall of the active trench by a dielectric layer. A conductive material formed, wherein the first gate is over the second gate, and the active trench is disposed under the second gate and separated from the second gate by a dielectric. And a first shield electrode made of the semiconductor device.
前記第1および第2のゲートは、独立して電気的にバイアスがかけられるように構成されていることを特徴とする請求項17記載の半導体デバイス。   The semiconductor device of claim 17, wherein the first and second gates are configured to be electrically biased independently. 前記第2のゲートは、前記半導体デバイスの略閾値電圧で定電位にバイアスがかけられることを特徴とする請求項18記載の半導体デバイス。   The semiconductor device of claim 18, wherein the second gate is biased at a constant potential with a substantially threshold voltage of the semiconductor device. 前記第2のゲートは、前記ソース領域に印加された電位より大きい電位にバイアスがかけられることを特徴とする請求項18記載の半導体デバイス。   The semiconductor device of claim 18, wherein the second gate is biased at a potential greater than the potential applied to the source region. 前記第2のゲートは、スイッチング現象の前に、前記半導体デバイスの略閾値電圧で電位に連結されることを特徴とする請求項18記載の半導体デバイス。   19. The semiconductor device of claim 18, wherein the second gate is connected to a potential at a substantially threshold voltage of the semiconductor device before a switching phenomenon. 前記第1のシールド電極は、独立して所望の電位にバイアスがかけられるように構成されていることを特徴とする請求項17記載の半導体デバイス。   18. The semiconductor device according to claim 17, wherein the first shield electrode is configured to be biased to a desired potential independently. 前記アクティブトレンチは、前記第1のシールド電極に加えて、前記第1のシールド電極の下に積み重ねられた1または2以上のシールド電極をさらに含むことを特徴とする請求項17記載の半導体デバイス。   The semiconductor device according to claim 17, wherein the active trench further includes one or more shield electrodes stacked under the first shield electrode in addition to the first shield electrode. 前記第1のシールド電極および当該1または2以上の付加的なシールド電極は、サイズが変動することを特徴とする請求項23記載の半導体デバイス。   24. The semiconductor device of claim 23, wherein the first shield electrode and the one or more additional shield electrodes vary in size. 前記ドリフト領域中に伸長し且つ前記ドリフト領域において垂直電荷制御をする材料で充填された電荷制御トレンチをさらに含むことを特徴とする請求項17記載の半導体デバイス。   The semiconductor device of claim 17, further comprising a charge control trench extending into the drift region and filled with a material that provides vertical charge control in the drift region. ソース電極は、前記電荷制御トレンチの内部の導電材料を前記ソース領域に電気的に連結することを特徴とする請求項25記載の半導体デバイス。   26. The semiconductor device of claim 25, wherein the source electrode electrically couples the conductive material inside the charge control trench to the source region. 前記電荷制御トレンチの内部には、互いに分離され且つ誘電体によって当該電荷制御トレンチから分離されて、垂直に積み重ねられた複数の導電層が配置されていることを特徴とする請求項25記載の半導体デバイス。   26. The semiconductor according to claim 25, wherein a plurality of conductive layers stacked vertically are arranged in the charge control trench and separated from each other and separated from the charge control trench by a dielectric. device. 前記電荷制御トレンチの内部の前記複数の導電層は、基板において垂直電荷調整を与えるために電気的にバイアスがかけられることを特徴とする請求項27記載の半導体デバイス。   28. The semiconductor device of claim 27, wherein the plurality of conductive layers within the charge control trench are electrically biased to provide vertical charge adjustment in the substrate. 前記電荷制御トレンチの内部の前記複数の導電層は、独立してバイアスがかけられるように構成されていることを特徴とする請求項28記載の半導体デバイス。   29. The semiconductor device of claim 28, wherein the plurality of conductive layers within the charge control trench are configured to be independently biased. 前記電荷制御トレンチの内部の前記複数の導電層のサイズは変動することを特徴とする請求項27記載の半導体デバイス。   28. The semiconductor device according to claim 27, wherein the size of the plurality of conductive layers inside the charge control trench varies. 前記電荷制御トレンチの内部のより深い位置にある第1の導電層のサイズは、前記第1の導電層の上に配された第2の導電層のサイズよりも小さいことを特徴とする請求項30記載の半導体デバイス。   The size of the first conductive layer at a deeper position inside the charge control trench is smaller than the size of the second conductive layer disposed on the first conductive layer. 30. The semiconductor device according to 30. 2つの隣接するトレンチの間に形成されたショットキー構造をさらに含むことを特徴とする請求項17記載の半導体デバイス。   The semiconductor device of claim 17, further comprising a Schottky structure formed between two adjacent trenches. 半導体デバイスであって、
第1の導電型のドリフト領域と、
前記ドリフト領域の上に伸長し且つ前記第1の導電型と反対である第2の導電型を有する井戸領域と、
前記井戸領域を通って前記ドリフト領域中に伸長するアクティブトレンチと、
前記アクティブトレンチに隣接した、前記井戸領域に形成された前記第1の導電型を有するソース領域と、
前記アクティブトレンチより前記ドリフト領域中に深く伸長し且つ前記ドリフト領域において垂直電荷制御をする材料で充填される電荷制御トレンチと、
を含み、前記アクティブトレンチの内部には、導電材料でできた第1のゲートおよび導電材料でできた第2のゲートが、互いに分離され且つ誘電体の層によって当該アクティブトレンチの側壁および底部から分離されて形成され、前記第1のゲートは前記第2のゲートの上にあることを特徴とする半導体デバイス。
A semiconductor device,
A drift region of a first conductivity type;
A well region extending over the drift region and having a second conductivity type opposite to the first conductivity type;
An active trench extending through the well region and into the drift region;
A source region having the first conductivity type formed in the well region adjacent to the active trench;
A charge control trench extending deeper into the drift region than the active trench and filled with a material that provides vertical charge control in the drift region;
In the active trench, a first gate made of a conductive material and a second gate made of a conductive material are separated from each other and separated from the sidewalls and bottom of the active trench by a dielectric layer A semiconductor device, wherein the first gate is overlying the second gate.
前記第1のゲートおよび前記第2のゲートは、独立して電気的にバイアスがかけられるように構成されていることを特徴とする請求項33記載の半導体デバイス。   34. The semiconductor device of claim 33, wherein the first gate and the second gate are configured to be independently electrically biased. 前記第2のゲートは、前記半導体デバイスの略閾値電圧で定電位にバイアスがかけられることを特徴とする請求項34記載の半導体デバイス。   35. The semiconductor device of claim 34, wherein the second gate is biased at a constant potential with a substantially threshold voltage of the semiconductor device. 前記第2のゲートは、前記ソース領域に印加された電位よりも大きい電位にバイアスがかけられていることを特徴とする請求項34記載の半導体デバイス。   35. The semiconductor device of claim 34, wherein the second gate is biased at a potential greater than the potential applied to the source region. 前記第2のゲートは、スイッチング現象の前に、前記半導体デバイスの略閾値電圧で電位に連結されることを特徴とする請求項34記載の半導体デバイス。   35. The semiconductor device of claim 34, wherein the second gate is coupled to a potential at a substantially threshold voltage of the semiconductor device prior to a switching phenomenon. 前記電荷制御トレンチは、誘電体の層で囲まれ且つ導電材料で充填されることを特徴とする請求項33記載の半導体デバイス。   34. The semiconductor device of claim 33, wherein the charge control trench is surrounded by a dielectric layer and filled with a conductive material. ソース電極は、前記電荷制御トレンチの内部の前記導電材料を前記ソース領域に連結することを特徴とする請求項38記載の半導体デバイス。   39. The semiconductor device of claim 38, wherein the source electrode couples the conductive material within the charge control trench to the source region. 前記電荷制御トレンチの内部には、互いに分離され且つ誘電体によって当該トレンチから分離されて、垂直に積み重ねられた複数の導電層が配置されていることを特徴とする請求項33記載の半導体デバイス。   34. The semiconductor device according to claim 33, wherein a plurality of conductive layers that are separated from each other and separated from the trench by a dielectric material and stacked vertically are disposed inside the charge control trench. 前記電荷制御トレンチの内部の前記複数の導電層は、基板において垂直電荷調整を与えるために電気的にバイアスがかけられることを特徴とする請求項40記載の半導体デバイス。   41. The semiconductor device of claim 40, wherein the plurality of conductive layers within the charge control trench are electrically biased to provide vertical charge adjustment in the substrate. 前記電荷制御トレンチの内部の前記複数の導電層は、独立してバイアスがかけられるように構成されていることを特徴とする請求項41記載の半導体デバイス。   42. The semiconductor device according to claim 41, wherein the plurality of conductive layers inside the charge control trench are configured to be independently biased. 前記電荷制御トレンチの内部の前記複数の導電層のサイズは変動することを特徴とする請求項40記載の半導体デバイス。   41. The semiconductor device of claim 40, wherein the size of the plurality of conductive layers within the charge control trench varies. 前記電荷制御トレンチの内部のより深い位置にある第1の導電層のサイズは、前記第1の導電層の上に配された第2の導電層のサイズよりも小さいことを特徴とする請求項46記載の半導体デバイス。   The size of the first conductive layer at a deeper position inside the charge control trench is smaller than the size of the second conductive layer disposed on the first conductive layer. 46. The semiconductor device according to 46. 前記電荷制御トレンチは、誘電体で充填されていることを特徴とする請求項33記載の半導体デバイス。   34. The semiconductor device of claim 33, wherein the charge control trench is filled with a dielectric. 前記電荷制御トレンチの外側壁に沿って伸長する第2の導電材料のライニングをさらに含むことを特徴とする請求項45記載の半導体デバイス。   46. The semiconductor device of claim 45, further comprising a lining of a second conductive material extending along an outer wall of the charge control trench. 前記電荷制御トレンチと第2の隣接する電荷制御トレンチとの間に形成されたショットキー構造をさらに含むことを特徴とする請求項33記載の半導体デバイス。   34. The semiconductor device of claim 33, further comprising a Schottky structure formed between the charge control trench and a second adjacent charge control trench. 半導体デバイスであって、
第1の導電型の基板と、
前記第1の導電型と反対である第2の導電型であり且つ前記基板中に第1の深さまで伸張している間隔が開けられた第1および第2の井戸領域と、
前記第1の導電型を有し、前記第1および第2の井戸領域の内部に、各ソース領域の外縁とそれぞれの第1および第2のチャンネル領域を形成するそのそれぞれの井戸領域の外縁との間に間隔をあけてそれぞれ形成される第1および第2のソース領域と、
前記第1のソース領域および前記第1のチャンネル領域に水平に重なる基板上に形成され且つ薄い誘電体層によってそれから分離される第1のゲートと、
前記第1および第2の井戸領域のそれぞれを通して前記基板中に伸長し且つ前記基板において垂直電荷制御をする材料で充填される第1および第2の電荷制御トレンチと、
を含むことを特徴とする半導体デバイス。
A semiconductor device,
A substrate of a first conductivity type;
Spaced apart first and second well regions of a second conductivity type opposite to the first conductivity type and extending into the substrate to a first depth;
An outer edge of each source region and an outer edge of each of the well regions forming the respective first and second channel regions within the first and second well regions having the first conductivity type; A first source region and a second source region respectively formed with a space between
A first gate formed on a substrate that horizontally overlaps the first source region and the first channel region and separated therefrom by a thin dielectric layer;
First and second charge control trenches that extend into the substrate through each of the first and second well regions and are filled with a material that provides vertical charge control in the substrate;
A semiconductor device comprising:
電荷制御トレンチの各々は、誘電体の層で囲まれ且つ導電材料で充填されていることを特徴とする請求項48記載の半導体デバイス。   49. The semiconductor device of claim 48, wherein each of the charge control trenches is surrounded by a dielectric layer and filled with a conductive material. 前記基板の表面上に形成されたソース電極は、前記電荷制御トレンチの内部の導電材料を前記ソース領域に電気的に連結することを特徴とする請求項49記載の半導体デバイス。   50. The semiconductor device of claim 49, wherein a source electrode formed on the surface of the substrate electrically couples a conductive material inside the charge control trench to the source region. 前記電荷制御トレンチの各々の内部には、互いに分離され且つ誘電体によって当該トレンチから分離されて、垂直に積み重ねられた複数の導電層が配置されていることを特徴とする請求項25記載の半導体デバイス。   26. The semiconductor according to claim 25, wherein a plurality of conductive layers stacked vertically are disposed in each of the charge control trenches and separated from each other by a dielectric material. device. 各電荷制御トレンチの内部の前記複数の導電層は、前記基板において垂直電荷調整を与えるために電気的にバイアスがかけられることを特徴とする請求項51記載の半導体デバイス。   52. The semiconductor device of claim 51, wherein the plurality of conductive layers within each charge control trench are electrically biased to provide vertical charge adjustment in the substrate. 各電荷制御トレンチの内部の前記複数の導電層は、独立してバイアスがかけられるように構成されていることを特徴とする請求項52記載の半導体デバイス。   53. The semiconductor device of claim 52, wherein the plurality of conductive layers within each charge control trench are configured to be independently biased. 各電荷制御トレンチの内部の前記複数の導電層のサイズは変動することを特徴とする請求項51記載の半導体デバイス。   52. The semiconductor device of claim 51, wherein the size of the plurality of conductive layers within each charge control trench varies. 各電荷制御トレンチの内部の前記複数の導電層の前記サイズは、前記第1の導電層の上に配置されている第2の導電層のサイズより小さいことを特徴とする請求項54記載の半導体デバイス。   55. The semiconductor of claim 54, wherein the size of the plurality of conductive layers within each charge control trench is smaller than a size of a second conductive layer disposed on the first conductive layer. device. 前記第1のゲートおよび前記第2のゲートは、独立して電気的にバイアスがかけられることを特徴とする請求項48記載の半導体デバイス。   49. The semiconductor device of claim 48, wherein the first gate and the second gate are independently electrically biased. 前記第2のゲートは、前記半導体デバイスの略閾値電圧で定電位にバイアスがかけられることを特徴とする請求項56記載の半導体デバイス。   57. The semiconductor device of claim 56, wherein the second gate is biased at a constant potential at a substantially threshold voltage of the semiconductor device. 前記第2のゲートは、前記ソース領域に印加される電位より大きい電位にバイアスがかけられることを特徴とする請求項56記載の半導体デバイス。   57. The semiconductor device of claim 56, wherein the second gate is biased at a potential greater than the potential applied to the source region. 前記第2のゲートは、スイッチング現象の前に、前記半導体デバイスの略閾値電圧で電位に連結されることを特徴とする請求項56記載の半導体デバイス。   57. The semiconductor device of claim 56, wherein the second gate is coupled to a potential at a substantially threshold voltage of the semiconductor device prior to a switching phenomenon. 半導体デバイスであって、
第1の導電型のドリフト領域と、
前記ドリフト領域の上に伸長し且つ前記第1の導電型と反対である第2の導電型を有する井戸領域と、
前記井戸領域より深く前記ドリフト領域中に伸長し、誘電体で囲まれた側壁および底部を有し、ゲート導電層で充填されたアクティブトレンチと、
前記アクティブトレンチに隣接した前記井戸領域に形成された、前記第1の導電型を有するソース領域と、
前記井戸領域より深く伸長し且つ井戸およびそのソース領域に隣接して形成される本体トレンチと、
前記本体トレンチを取り囲み、前記第2の導電型の増大した濃度を有する層と、
を含み、前記本体トレンチは、導電材料で充填されることを特徴とする半導体デバイス。
A semiconductor device,
A drift region of a first conductivity type;
A well region extending over the drift region and having a second conductivity type opposite to the first conductivity type;
An active trench extending deeper into the drift region than the well region, having sidewalls and bottom surrounded by a dielectric, and filled with a gate conductive layer;
A source region having the first conductivity type formed in the well region adjacent to the active trench;
A body trench extending deeper than the well region and formed adjacent to the well and its source region;
A layer surrounding the body trench and having an increased concentration of the second conductivity type;
And the body trench is filled with a conductive material.
前記本体トレンチは、前記ソース領域に電気的に連結したエピタキシャル材料で充填されていることを特徴とする請求項60記載の半導体デバイス。   61. The semiconductor device of claim 60, wherein the body trench is filled with an epitaxial material electrically connected to the source region. 前記本体トレンチは、前記ソース領域に電気的に連結したドープポリシリコンで充填されていることを特徴とする請求項60記載の半導体デバイス。   61. The semiconductor device of claim 60, wherein the body trench is filled with doped polysilicon electrically connected to the source region. 前記増大した濃度を有する層は、埋め込み工程によって形成されることを特徴とする請求項60記載の半導体デバイス。   61. The semiconductor device of claim 60, wherein the increased concentration layer is formed by a burying process. 前記増大した濃度を有する層は、前記本体トレンチの内部の前記導電材料から拡散するドーパントによって形成されることを特徴とする請求項60記載の半導体デバイス。   61. The semiconductor device of claim 60, wherein the increased concentration layer is formed by a dopant diffusing from the conductive material within the body trench. 前記アクティブトレンチの壁と隣接する本体トレンチの壁との間の距離Lは、ゲート−ドレイン間の容量を最小化するように調整されることを特徴とする請求項60記載の半導体デバイス。   61. The semiconductor device of claim 60, wherein a distance L between the active trench wall and the adjacent body trench wall is adjusted to minimize gate-drain capacitance. Lは、0.3以下であることを特徴とする請求項65記載の半導体デバイス。   66. The semiconductor device according to claim 65, wherein L is 0.3 or less. 前記増大した濃度を有する層の外縁と隣接するアクティブトレンチの壁との間の距離は、ゲート−ドレイン間の容量を最小化するように調整されることを特徴とする請求項60記載の半導体デバイス。   61. The semiconductor device of claim 60, wherein a distance between an outer edge of the layer having increased concentration and a wall of an adjacent active trench is adjusted to minimize gate-drain capacitance. . 前記本体トレンチは、前記アクティブトレンチより深いことを特徴とする請求項60記載の半導体デバイス。   61. The semiconductor device of claim 60, wherein the body trench is deeper than the active trench. 当該間隔Lは、略0.5以下であることを特徴とする請求項68記載の半導体デバイス。   69. The semiconductor device according to claim 68, wherein the interval L is approximately 0.5 or less. 前記アクティブトレンチは、前記ゲート導電層の下に形成された、導電材料でできた第1のシールド電極を含み、前記シールド電極は、誘電体によって前記ゲート導電層およびトレンチ側壁および底部から絶縁されていることを特徴とする請求項60記載の半導体デバイス。   The active trench includes a first shield electrode made of a conductive material formed under the gate conductive layer, and the shield electrode is insulated from the gate conductive layer and the trench sidewall and bottom by a dielectric. 61. A semiconductor device according to claim 60. 前記アクティブトレンチの内部の前記第1のシールド電極は、所望の電位に電気的にバイアスがかけられることを特徴とする請求項70記載の半導体デバイス。   71. The semiconductor device of claim 70, wherein the first shield electrode inside the active trench is electrically biased to a desired potential. 前記第1のシールド電極および前記ソース領域は、同電位に電気的に連結されることを特徴とする請求項70記載の半導体デバイス。   The semiconductor device according to claim 70, wherein the first shield electrode and the source region are electrically connected to the same potential. 前記アクティブトレンチは、前記第1のシールド電極の下に配され且つ導電材料でできた第2のシールド電極をさらに含むことを特徴とする請求項70記載の半導体デバイス。   71. The semiconductor device of claim 70, wherein the active trench further includes a second shield electrode disposed under the first shield electrode and made of a conductive material. 前記第1および第2のシールド電極は、サイズが変動することを特徴とする請求項73記載の半導体デバイス。   74. The semiconductor device of claim 73, wherein the first and second shield electrodes vary in size. 前記第1および第2のシールド導電層は、独立してバイアスがかけられることを特徴とする請求項73記載の半導体デバイス。   74. The semiconductor device of claim 73, wherein the first and second shield conductive layers are independently biased. 前記基板中に伸長し且つ前記基板における垂直電荷制御をする材料で充填されている電荷制御トレンチをさらに含むことを特徴とする請求項60記載の半導体デバイス。   61. The semiconductor device of claim 60, further comprising a charge control trench extending into the substrate and filled with a material for vertical charge control in the substrate. 前記電荷制御トレンチは、誘電体の層で囲まれ且つ導電材料で充填されていることを特徴とする請求項76記載の半導体デバイス。   77. The semiconductor device of claim 76, wherein the charge control trench is surrounded by a dielectric layer and filled with a conductive material. ソース電極は、前記電荷制御トレンチの内部の前記導電材料を前記ソース領域に電気的に連結することを特徴とする請求項77記載の半導体デバイス。   78. The semiconductor device of claim 77, wherein a source electrode electrically couples the conductive material inside the charge control trench to the source region. 前記電荷制御トレンチの内部には、互いに分離され且つ誘電体によって当該トレンチから分離されて、垂直に積み重ねられた複数の導電層が配置されていることを特徴とする請求項76記載の半導体デバイス。   77. The semiconductor device according to claim 76, wherein a plurality of conductive layers that are separated from each other and separated from the trench by a dielectric material and stacked vertically are disposed inside the charge control trench. 前記電荷制御トレンチの内部の前記複数の導電層は、前記基板における垂直電荷制御を与えるために電気的にバイアスがかけられることを特徴とする請求項79記載の半導体デバイス。   80. The semiconductor device of claim 79, wherein the plurality of conductive layers within the charge control trench are electrically biased to provide vertical charge control in the substrate. 前記電荷制御トレンチの内部の前記複数の導電層は、独立してバイアスがかけられるように構成されていることを特徴とする請求項80記載の半導体デバイス。   81. The semiconductor device of claim 80, wherein the plurality of conductive layers within the charge control trench are configured to be independently biased. 前記電荷制御トレンチの内部の前記複数の導電層のサイズは変動することを特徴とする請求項79記載の半導体デバイス。   80. The semiconductor device of claim 79, wherein the size of the plurality of conductive layers within the charge control trench varies. 前記電荷制御トレンチの内部のより深い位置にある第1の導電層のサイズは、前記第1の導電層の上に配された第2の導電層のサイズよりも小さいことを特徴とする請求項82記載の半導体デバイス。   The size of the first conductive layer at a deeper position inside the charge control trench is smaller than the size of the second conductive layer disposed on the first conductive layer. 82. A semiconductor device according to 82. 2つの隣接するトレンチの間に形成されたショットキー構造をさらに含むことを特徴とする請求項60記載の半導体デバイス。   61. The semiconductor device of claim 60, further comprising a Schottky structure formed between two adjacent trenches. 半導体デバイスであって、
第1の導電型のドリフト領域と、
前記ドリフト領域の上に伸長し且つ前記第1の導電型と反対である第2の導電型を有する井戸領域と、
前記井戸領域より深く前記ドリフト領域中に伸長するアクティブトレンチと、
前記アクティブトレンチに隣接した前記井戸領域に形成された、前記第1の導電型を有するソース領域と、
を含み、前記アクティブトレンチの内部には、導電材料でできた第1のゲートが、誘電体によってトレンチの壁および底部から分離して形成され、前記アクティブトレンチのより低い位置にある誘電体で充填された部分は、前記ドリフト領域中に深く伸長し且つ第2の導電材料のライナーによって取り囲まれ、垂直電荷制御を与えることを特徴とする請求項60記載の半導体デバイス。
A semiconductor device,
A drift region of a first conductivity type;
A well region extending over the drift region and having a second conductivity type opposite to the first conductivity type;
An active trench extending into the drift region deeper than the well region;
A source region having the first conductivity type formed in the well region adjacent to the active trench;
A first gate made of a conductive material is formed inside the active trench, separated from the trench wall and bottom by a dielectric, and filled with a dielectric at a lower position of the active trench. 61. The semiconductor device of claim 60, wherein the portion that is extended extends deep into the drift region and is surrounded by a liner of a second conductive material to provide vertical charge control.
前記ドリフト領域において、前記アクティブトレンチの外側壁に隣接して形成された、第2の導電型を有する複数の不連続領域をさらに含むことを特徴とする請求項85記載の半導体デバイス。   86. The semiconductor device of claim 85, further comprising a plurality of discontinuous regions having a second conductivity type formed in the drift region adjacent to an outer wall of the active trench. 前記アクティブトレンチは、前記第1のゲートの下に形成され且つ誘電体によって当該第1のゲートから絶縁される、導電材料でできた第2のゲートをさらに含むことを特徴とする請求項85記載の半導体デバイス。   86. The active trench further comprises a second gate made of a conductive material formed below the first gate and insulated from the first gate by a dielectric. Semiconductor devices. 前記第2のゲートは、独立して電気的にバイアスがかけられることを特徴とする請求項87記載の半導体デバイス。   90. The semiconductor device of claim 87, wherein the second gate is independently electrically biased. 前記第2のゲートは、前記半導体デバイスの略閾値電圧で定電位にバイアスがかけられることを特徴とする請求項88記載の半導体デバイス。   90. The semiconductor device of claim 88, wherein the second gate is biased at a constant potential at a substantially threshold voltage of the semiconductor device. 前記第2のゲートは、前記ソース領域に印加された電位より大きい電位でバイアスがかけられることを特徴とする請求項88記載の半導体デバイス。   90. The semiconductor device of claim 88, wherein the second gate is biased at a potential greater than the potential applied to the source region. 前記第2のゲートは、スイッチング現象の前に、前記半導体デバイスの略閾値電圧で電位に連結されることを特徴とする請求項88記載の半導体デバイス。   90. The semiconductor device of claim 88, wherein the second gate is coupled to a potential at a substantially threshold voltage of the semiconductor device before a switching phenomenon. 前記アクティブトレンチは、前記第1のゲートの下に形成され且つ誘電体によって当該第1のゲートから絶縁され、導電材料でできた第1のシールド電極をさらに含むことを特徴とする請求項85記載の半導体デバイス。   86. The active trench further includes a first shield electrode formed under the first gate and insulated from the first gate by a dielectric and made of a conductive material. Semiconductor devices. 前記第1のシールド電極は、所望の電位に独立してバイアスがかけられることを特徴とする請求項92記載の半導体デバイス。   94. The semiconductor device of claim 92, wherein the first shield electrode is independently biased at a desired potential. 前記アクティブトレンチは、前記第1のシールド電極に加えて、前記第1のシールド電極の下に積み重ねられた1または2以上のシールド電極をさらに含むことを特徴とする請求項92記載の半導体デバイス。   95. The semiconductor device of claim 92, wherein the active trench further includes one or more shield electrodes stacked under the first shield electrode in addition to the first shield electrode. 前記第1のシールド電極および当該1または2以上の付加的なシールド電極は、サイズが変動することを特徴とする請求項94記載の半導体デバイス。   95. The semiconductor device of claim 94, wherein the first shield electrode and the one or more additional shield electrodes vary in size. 半導体デバイスであって、
第1の導電型のドリフト領域と、
前記ドリフト領域の上に伸長し且つ前記第1の導電型と反対である第2の導電型を有する井戸領域と、
前記井戸領域を通って前記ドリフト領域中に伸長するアクティブトレンチと、
前記アクティブトレンチに隣接した前記井戸領域に形成された、前記第1の導電型を有するソース領域と、
2つの隣接するトレンチの間の第1のメサ上に形成された第1のショットキー構造と、
を含み、前記アクティブトレンチは、誘電体で囲まれている側壁と底部とを有し、第1の導電層および第1のゲート導電層で充填されており、前記第1のシールド導電層は、前記第1のゲート導電層の下に配置され且つ電極間誘電体によって当該第1のゲート導電層から分離されていることを特徴とする半導体デバイス。
A semiconductor device,
A drift region of a first conductivity type;
A well region extending over the drift region and having a second conductivity type opposite to the first conductivity type;
An active trench extending through the well region and into the drift region;
A source region having the first conductivity type formed in the well region adjacent to the active trench;
A first Schottky structure formed on a first mesa between two adjacent trenches;
The active trench has a sidewall surrounded by a dielectric and a bottom, and is filled with a first conductive layer and a first gate conductive layer, and the first shield conductive layer comprises: A semiconductor device disposed under the first gate conductive layer and separated from the first gate conductive layer by an interelectrode dielectric.
前記第1の導電層は、シールド電極であるように構成されていることを特徴とする請求項96記載の半導体デバイス。   99. The semiconductor device of claim 96, wherein the first conductive layer is configured to be a shield electrode. 前記第1の導電層は、第2のゲート電極であるように構成されていることを特徴とする請求項96記載の半導体デバイス。   99. The semiconductor device of claim 96, wherein the first conductive layer is configured to be a second gate electrode. 前記アクティブトレンチは、シールド電極であるように構成された前記第1の導電層の下に配された第2の導電層をさらに含むことを特徴とする請求項96記載の半導体デバイス。   97. The semiconductor device of claim 96, wherein the active trench further includes a second conductive layer disposed below the first conductive layer configured to be a shield electrode. 前記第1および第2の導電層は、電位に電気的にバイアスがかけられるように構成されることを特徴とする請求項99記載の半導体デバイス。   100. The semiconductor device of claim 99, wherein the first and second conductive layers are configured to be electrically biased with a potential. 前記第1のメサに隣接した第2のメサ上に形成された第2のショットキー構造をさらに有することを特徴とする請求項96記載の半導体デバイス。   97. The semiconductor device of claim 96, further comprising a second Schottky structure formed on a second mesa adjacent to the first mesa. 前記ショットキー構造は、前記2つの隣接するトレンチの縦軸に垂直であるように形成されていることを特徴とする請求項96記載の半導体デバイス。   99. The semiconductor device of claim 96, wherein the Schottky structure is formed to be perpendicular to a longitudinal axis of the two adjacent trenches. 半導体デバイスであって、
第1の導電型のドリフト領域と、
前記ドリフト領域の上に伸長し且つ前記第1の導電型と反対である第2の導電型を有する井戸領域と、
前記井戸領域を通って前記ドリフト領域中に伸長するアクティブトレンチと、
前記アクティブトレンチに隣接した前記井戸領域に形成された、前記第1の導電型を有するソース領域と、
誘電体で囲まれた側壁を有する電荷制御トレンチと、
を含み、前記アクティブトレンチは、誘電体で囲まれている側壁と底部とを有し且つ上部電極を形成する第1の導電層および下部電極を形成する第2の導電層で充填され、前記上部電極は、前記下部電極の上に配され且つ電極間誘電体によって当該下部電極から分離されており、前記電荷制御トレンチの内部には、1または2以上のダイオード構造が形成されることを特徴とする半導体デバイス。
A semiconductor device,
A drift region of a first conductivity type;
A well region extending over the drift region and having a second conductivity type opposite to the first conductivity type;
An active trench extending through the well region and into the drift region;
A source region having the first conductivity type formed in the well region adjacent to the active trench;
A charge control trench having sidewalls surrounded by a dielectric;
The active trench has a sidewall surrounded by a dielectric and a bottom and is filled with a first conductive layer forming an upper electrode and a second conductive layer forming a lower electrode; The electrode is disposed on the lower electrode and separated from the lower electrode by an interelectrode dielectric, and one or more diode structures are formed in the charge control trench. Semiconductor device.
前記1または2以上のダイオード構造は、前記ドリフト領域に電気接触をとる底部を有する、前記電荷制御トレンチの内部に交互に積み重ねられた、反対の伝導性を有する複数の層を含むことを特徴とする請求項103記載の半導体デバイス。   The one or more diode structures include a plurality of layers of opposite conductivity stacked alternately within the charge control trench, with a bottom in electrical contact with the drift region. 104. A semiconductor device according to claim 103. 前記上部電極は、第1のゲート電極であるように構成されていることを特徴とする請求項104記載の半導体デバイス。   105. The semiconductor device of claim 104, wherein the upper electrode is configured to be a first gate electrode. 前記下部電極は、第2のゲート電極であるように構成されていることを特徴とする請求項105記載の半導体デバイス。   106. The semiconductor device of claim 105, wherein the lower electrode is configured to be a second gate electrode. 前記アクティブトレンチは、前記第2の導電層の下に配された第3の導電層をさらに含み、前記第3の導電層は、シールド電極として構成されていることを特徴とする請求項106記載の半導体デバイス。   107. The active trench further includes a third conductive layer disposed under the second conductive layer, and the third conductive layer is configured as a shield electrode. Semiconductor devices. 前記下部電極は、第1のシールド電極であるように構成されていることを特徴とする請求項105記載の半導体デバイス。   106. The semiconductor device of claim 105, wherein the lower electrode is configured to be a first shield electrode. 前記アクティブトレンチは、前記第2の導電層の下に配された第3の導電層をさらに含み、前記第3の導電層は、第2のシールド電極として構成されていることを特徴とする請求項108記載の半導体デバイス。   The active trench further includes a third conductive layer disposed under the second conductive layer, and the third conductive layer is configured as a second shield electrode. Item 108. The semiconductor device according to Item 108. 前記第1および第2の電極は、電気的にバイアスがかけられることを特徴とする請求項103記載の半導体デバイス。   104. The semiconductor device of claim 103, wherein the first and second electrodes are electrically biased. 2つの隣接する電荷制御トレンチの間のメサ上に形成されたショットキー構造をさらに含むことを特徴とする請求項103記載の半導体デバイス。   104. The semiconductor device of claim 103, further comprising a Schottky structure formed on a mesa between two adjacent charge control trenches. 半導体デバイスであって、
第1の導電型の基板と、
前記第1の導電型と反対である第2の導電型であり且つ前記基板中に第1の深さまで伸張している間隔が開けられた第1および第2の井戸領域と、
前記第1の導電型を有し、前記第1および第2の井戸領域の内部に、各ソース領域の外縁とそれぞれの第1および第2のチャンネル領域を形成するそのそれぞれの井戸領域の外縁との間に間隔をあけてそれぞれ形成される第1および第2のソース領域と、
前記第1および第2のチャンネル領域に重なる基板上に形成され、薄い誘電体層によってそれから分離される第1のゲートと、
前記第1および第2の井戸領域のそれぞれを通して前記基板中に伸長する第1および第2の電荷制御トレンチと、
を含み、当該電荷制御トレンチの各々は、誘電体で囲まれた側壁を有し、当該電荷制御トレンチの内部には1または2以上のダイオード構造が形成されることを特徴とする半導体デバイス。
A semiconductor device,
A substrate of a first conductivity type;
Spaced apart first and second well regions of a second conductivity type opposite to the first conductivity type and extending into the substrate to a first depth;
An outer edge of each source region and an outer edge of each of the well regions forming the respective first and second channel regions within the first and second well regions having the first conductivity type; A first source region and a second source region respectively formed with a space between
A first gate formed on a substrate overlying the first and second channel regions and separated therefrom by a thin dielectric layer;
First and second charge control trenches extending into the substrate through each of the first and second well regions;
Each of the charge control trenches has a sidewall surrounded by a dielectric, and one or more diode structures are formed in the charge control trench.
前記1または2以上のダイオード構造は、前記ドリフト領域に電気接触をとる底部を有する、前記電荷制御トレンチの内部に交互に積み重ねられた、反対の伝導性を有する複数の層を含むことを特徴とする請求項112記載の半導体デバイス。   The one or more diode structures include a plurality of layers of opposite conductivity stacked alternately within the charge control trench, with a bottom in electrical contact with the drift region. 113. The semiconductor device of claim 112. 2つの隣接する電荷制御トレンチの間のメサ上に
形成されたショットキー構造をさらに含むことを特徴とする請求項112記載の半導体デバイス。
113. The semiconductor device of claim 112, further comprising a Schottky structure formed on the mesa between two adjacent charge control trenches.
半導体デバイスであって、
第1の導電型のドリフト領域と、
前記第1の導電型と反対である第2の導電型の複数の井戸領域と、
前記複数の井戸領域の各々の内部に形成されてチャンネル領域を定める、前記第1の導電型のソース領域と、
前記チャンネル領域に隣接して形成されるゲート構造と、
前記複数の井戸領域の各々の下のドリフト領域に配された、第2の導電型の複数の浮遊領域と、
を含み、前記井戸領域は前記ドリフト領域の上に伸長し、複数のピーク濃度を有する、各井戸領域の下の前記浮遊領域の間の間隔は、前記浮遊領域と対応するそれぞれの井戸領域との間の距離が大きくなるにつれて増大することを特徴とする半導体デバイス。
A semiconductor device,
A drift region of a first conductivity type;
A plurality of well regions of a second conductivity type opposite to the first conductivity type;
A source region of the first conductivity type formed within each of the plurality of well regions to define a channel region;
A gate structure formed adjacent to the channel region;
A plurality of floating regions of a second conductivity type disposed in a drift region below each of the plurality of well regions;
The well region extends above the drift region and has a plurality of peak concentrations, the spacing between the floating regions under each well region is between the floating region and the corresponding well region. A semiconductor device characterized by increasing as the distance between them increases.
前記ゲート構造は、前記チャンネル領域の上に形成されたプレーナ導電層であることを特徴とする請求項115記載の半導体デバイス。   116. The semiconductor device of claim 115, wherein the gate structure is a planar conductive layer formed over the channel region. 前記ゲート構造は、前記チャンネル領域の上に形成され且つ前記チャンネル領域の第1の部分と重なる第1のゲートおよび前記第1のゲートにわたって部分的に形成され且つ前記チャンネル領域の第2の部分と重なる第2のゲートを含むことを特徴とする請求項115記載の半導体デバイス。   The gate structure is formed over the channel region and partially formed over the first gate overlapping the first portion of the channel region and the second portion of the channel region; 116. The semiconductor device of claim 115, comprising a second overlapping gate. 前記ゲート構造は、井戸領域を通って前記ドリフト領域中に伸長するトレンチを含み、前記トレンチは、誘電体で囲まれた側壁および底部を有し且つ導電材料で充填されていることを特徴とする請求項115記載の半導体デバイス。   The gate structure includes a trench extending through a well region into the drift region, the trench having a sidewall and a bottom surrounded by a dielectric and filled with a conductive material. 116. The semiconductor device according to claim 115. 前記トレンチを充填する前記導電材料は、第1のゲート電極を形成する上部と、前記上部から誘電体分離されて独立した電極を形成する下部とを含むことを特徴とする請求項119記載の半導体デバイス。   120. The semiconductor of claim 119, wherein the conductive material filling the trench includes an upper portion forming a first gate electrode and a lower portion forming an independent electrode by dielectric separation from the upper portion. device. 前記独立した電極は、第2のゲート電極として構成されていることを特徴とする請求項119記載の半導体デバイス。   120. The semiconductor device of claim 119, wherein the independent electrode is configured as a second gate electrode. 前記独立した電極は、シールド電極として構成されていることを特徴とする請求項119記載の半導体デバイス。   120. The semiconductor device of claim 119, wherein the independent electrode is configured as a shield electrode. 各井戸領域の下の、前記複数の浮遊領域のサイズは、前記浮遊領域とその対応する井戸領域との間の距離が大きくなるにつれて減少することを特徴とする請求項115記載の半導体デバイス。   116. The semiconductor device of claim 115, wherein the size of the plurality of floating regions under each well region decreases as the distance between the floating region and its corresponding well region increases. 各井戸領域の下の、前記複数の浮遊領域の各々に対するピーク濃度は、前記浮遊領域とその対応する井戸領域との間の距離が大きくなるにつれて減少することを特徴とする請求項115記載の半導体デバイス。   116. The semiconductor of claim 115, wherein the peak concentration for each of the plurality of floating regions under each well region decreases as the distance between the floating region and its corresponding well region increases. device. 前記井戸領域に最も近い、前記井戸領域の下の当該浮遊領域は互いに接触するが、前記井戸領域から最も遠い、前記井戸領域の下の当該浮遊領域は真の浮遊領域であることを特徴とする請求項115記載の半導体デバイス。   The floating regions under the well region that are closest to the well region are in contact with each other, but the floating regions that are farthest from the well region and under the well region are true floating regions. 116. The semiconductor device according to claim 115. 半導体デバイスであって、
第1の導電型のドリフト領域と、
前記ドリフト領域の上に伸長し且つ前記第1の導電型と反対である第2の導電型を有する井戸領域と、
前記井戸領域を通って前記ドリフト領域中に伸長するアクティブトレンチと、
前記アクティブトレンチに隣接した前記井戸領域に形成された、前記第1の導電型を有するソース領域と、
前記井戸領域の下に伸長し且つ前記デバイスのアクティブ領域の外縁に配された第1の終端トレンチと、
を含み、前記アクティブトレンチは、誘電体で囲まれている側壁と底部とを有し且つ上部電極を形成する第1の導電層および下部電極を形成する第2の導電層で充填され、前記上部電極は、前記下部電極の上に配され且つ電極間誘電体によって当該下部電極から分離されていることを特徴とする半導体デバイス。
A semiconductor device,
A drift region of a first conductivity type;
A well region extending over the drift region and having a second conductivity type opposite to the first conductivity type;
An active trench extending through the well region and into the drift region;
A source region having the first conductivity type formed in the well region adjacent to the active trench;
A first termination trench extending below the well region and disposed at an outer edge of the active region of the device;
The active trench has a sidewall surrounded by a dielectric and a bottom and is filled with a first conductive layer forming an upper electrode and a second conductive layer forming a lower electrode; A semiconductor device, wherein the electrode is disposed on the lower electrode and separated from the lower electrode by an interelectrode dielectric.
前記第1の終端トレンチは、前期アクティブトレンチの側壁を囲む誘電体よりも厚い誘電体の層で囲まれ且つ導電材料で充填されることを特徴とする請求項125記載の半導体デバイス。   126. The semiconductor device of claim 125, wherein the first termination trench is surrounded by a layer of dielectric that is thicker than the dielectric surrounding the sidewalls of the previous active trench and is filled with a conductive material. 前記第1の終端トレンチの内部の導電材料は、ソース金属に電気的に連結することを特徴とする請求項125記載の半導体デバイス。   126. The semiconductor device of claim 125, wherein the conductive material inside the first termination trench is electrically coupled to a source metal. 前記第1の終端トレンチの内部の導電材料は、前記終端トレンチの下部における誘電体の下に埋め込まれることを特徴とする請求項126記載の半導体デバイス。   127. The semiconductor device of claim 126, wherein the conductive material inside the first termination trench is buried below the dielectric in the lower portion of the termination trench. 前記第1の終端トレンチは、誘電体で充填されることを特徴とする請求項125記載の半導体デバイス。   126. The semiconductor device of claim 125, wherein the first termination trench is filled with a dielectric. 前記第1の終端トレンチと隣接するアクティブトレンチとの間に形成されたメサの幅は、2つのアクティブトレンチの間に形成されたメサの幅と異なることを特徴とする請求項125記載の半導体デバイス。   126. The semiconductor device of claim 125, wherein a width of a mesa formed between the first termination trench and an adjacent active trench is different from a width of a mesa formed between two active trenches. . 前記第1の終端トレンチは、リング形状において前記デバイスのアクティブ領域を取り囲むことを特徴とする請求項125記載の半導体デバイス。   126. The semiconductor device of claim 125, wherein the first termination trench surrounds an active area of the device in a ring shape. 前記第1の終端トレンチの外側で、前記デバイスのアクティブ領域を取り囲む第2の終端トレンチをさらに含むことを特徴とする請求項131記載の半導体デバイス。   132. The semiconductor device of claim 131, further comprising a second termination trench surrounding the active region of the device outside the first termination trench. 前記第1および第2の終端トレンチの間の距離S1は、前記第1の終端トレンチと前記アクティブトレンチの端部との間の距離S2の略2倍であることを特徴とする請求項132記載の半導体デバイス。   135. The distance S1 between the first and second termination trenches is approximately twice the distance S2 between the first termination trench and the end of the active trench. Semiconductor devices. 半導体デバイスの外縁での終端構造であって、前記終端構造は、第1の導電型である複数の同心円状の輪であるピラーを含み、当該ピラーは前記第1の導電型とは反対である第2の導電型の終端領域の内部に形成され前記デバイスのアクティブ領域を取り囲み、各ピラーは導電性のフィールドプレートに別々に接続することを特徴とする終端構造。   A termination structure at an outer edge of a semiconductor device, wherein the termination structure includes a plurality of concentric rings of pillars of a first conductivity type, the pillars being opposite to the first conductivity type A termination structure formed within a termination region of a second conductivity type and surrounding the active region of the device, wherein each pillar is separately connected to a conductive field plate. 導電材料でできた大きなフィールドプレートは、当該複数のピラーのサブセットを覆い且つ当該複数のピラーのサブセットから誘電体分離されており、分離した導電性フィールドプレートは、前記複数のピラーの残りの1つに接続することを特徴とする請求項134記載の半導体デバイス。   A large field plate made of a conductive material covers and is dielectrically separated from the plurality of pillar subsets, the separated conductive field plate being a remaining one of the plurality of pillars. 135. The semiconductor device according to claim 134, wherein the semiconductor device is connected to the semiconductor device. 前記大きなフィールドプレートは接地されていることを特徴とする請求項135記載の半導体デバイス。   136. The semiconductor device of claim 135, wherein the large field plate is grounded. 前記ピラーのサブセットは、導電性フィールドプレートによって覆われていないことを特徴とする請求項134記載の半導体デバイス。   135. The semiconductor device of claim 134, wherein the subset of pillars is not covered by a conductive field plate. 前記複数のピラーの間の中心間距離は、前記アクティブ領域の端部からの距離によって変動することを特徴とする請求項134記載の半導体デバイス。   135. The semiconductor device according to claim 134, wherein a center-to-center distance between the plurality of pillars varies depending on a distance from an end of the active region. 前記複数のピラーの間の中心間距離は、前記アクティブ領域の端部からの距離とともに増大することを特徴とする請求項138記載の半導体デバイス。   138. The semiconductor device of claim 138, wherein a center-to-center distance between the plurality of pillars increases with a distance from an end of the active region. 各ピラーの幅は、前記アクティブ領域の端部からの距離によって変動することを特徴とする請求項134記載の半導体デバイス。   135. The semiconductor device of claim 134, wherein the width of each pillar varies with the distance from the end of the active region. 各ピラーの幅は、前記アクティブ領域の端部からの距離とともに減少することを特徴とする請求項140記載の半導体デバイス。   141. The semiconductor device of claim 140, wherein the width of each pillar decreases with distance from an edge of the active region. 前記終端構造における、前記複数のピラーの幅は同じであるが、前記アクティブ領域の内部の井戸領域の下の異極性ピラーの幅は、前記井戸領域からの距離とともに減少することを特徴とする請求項134記載の半導体デバイス。   The width of the plurality of pillars in the termination structure is the same, but the width of the heteropolar pillar under the well region inside the active region decreases with the distance from the well region. Item 134. The semiconductor device according to Item 134. 半導体基板に形成されたトレンチの内部に埋め込み導電層を形成する方法であって、
前記半導体基板および前記トレンチの上面に第1の誘電体層を形成するステップと、
前記第1の誘電体層にわたって第1の導電材料層を形成するステップと、
前記第1の誘電体層および前記第1の導電材料層をパターニングして、前記トレンチの縦軸に沿って前記トレンチの内部に伸長した第1の部分と、前記トレンチの第1の端部で前記基板の表面にわたって伸長する第2の部分とを有する第1の導電性電極を形成するステップと、
前記第1の導電材料層にわたって第2の誘電体層を形成するステップと、
前記第2の導電体材料層にわたって第2の誘電体層を形成するステップと、
前記第2の誘電体層および前記第2の導電材料層をパターニングし、前記トレンチの縦軸に沿って前記トレンチの内部に伸長した第1の部分と、前記第1の導電性電極の前記第2の部分の表面にわたって伸長する第2の部分とを有する第2の導電性電極を形成するステップと、
を含むことを特徴とする埋め込み導電層の形成方法。
A method of forming a buried conductive layer inside a trench formed in a semiconductor substrate,
Forming a first dielectric layer on top of the semiconductor substrate and the trench;
Forming a first conductive material layer over the first dielectric layer;
Patterning the first dielectric layer and the first conductive material layer to extend a first portion extending into the trench along a longitudinal axis of the trench; and a first end of the trench Forming a first conductive electrode having a second portion extending across the surface of the substrate;
Forming a second dielectric layer over the first conductive material layer;
Forming a second dielectric layer over the second conductor material layer;
Patterning the second dielectric layer and the second conductive material layer and extending a first portion extending into the trench along a longitudinal axis of the trench; and the first portion of the first conductive electrode. Forming a second conductive electrode having a second portion extending across the surface of the two portions;
A method for forming a buried conductive layer, comprising:
前記第1の導電性電極の前記第2の部分の前記第1の誘電体層における開口を通して、前記第1の導電体層を接触させるステップと、
前記第2の導電性電極の前記第2の部分の前記第2の誘電体層における開口を通して、前記第2の導電体層を接触させるステップと、
をさらに含むことを特徴とする請求項143記載の方法。
Contacting the first conductor layer through an opening in the first dielectric layer of the second portion of the first conductive electrode;
Contacting the second conductor layer through an opening in the second dielectric layer of the second portion of the second conductive electrode;
144. The method of claim 143, further comprising:
半導体基板に形成されたトレンチの内部に埋め込み導電層を形成する方法であって、
前記半導体基板および前記トレンチの上面に第1の誘電体層を形成するステップと、
前記第1の誘電体層にわたって第1の導電材料層を形成するステップと、
前記第1の誘電体層および前記第1の導電材料層をパターニングして、前記トレンチの縦軸に沿って前記トレンチの内部に伸長した第1の水平部分と、前記基板の上面まで伸長した第2の垂直部分とを有する第1の導電性電極を形成するステップと、
前記第1の導電材料層にわたって第2の誘電体層を形成するステップと、
前記第2の導電体材料層にわたって第2の誘電体層を形成するステップと、
前記第2の誘電体層および前記第2の導電材料層をパターニングし、前記トレンチの縦軸に沿って前記トレンチの内部に伸長した第1の部分と、前記基板の上面まで伸長した垂直部分である第2の部分とを有する第2の導電性電極を形成するステップと、
を含むことを特徴とする埋め込み導電層の形成方法。
A method of forming a buried conductive layer inside a trench formed in a semiconductor substrate,
Forming a first dielectric layer on top of the semiconductor substrate and the trench;
Forming a first conductive material layer over the first dielectric layer;
Patterning the first dielectric layer and the first conductive material layer, a first horizontal portion extending into the trench along the longitudinal axis of the trench, and a first horizontal portion extending to the top surface of the substrate Forming a first conductive electrode having two vertical portions;
Forming a second dielectric layer over the first conductive material layer;
Forming a second dielectric layer over the second conductor material layer;
Patterning the second dielectric layer and the second conductive material layer, a first portion extending into the trench along the longitudinal axis of the trench, and a vertical portion extending to the top surface of the substrate Forming a second conductive electrode having a second portion;
A method for forming a buried conductive layer, comprising:
前記基板の表面で、前記第1および第2の導電性電極の前記第2の部分を接触させるステップをさらに含むことを特徴とする請求項145記載の半導体デバイス。   146. The semiconductor device of claim 145, further comprising contacting the second portion of the first and second conductive electrodes with the surface of the substrate. 第1の誘電体層を有する複数のトレンチの各々を形成する方法であって、
第1の導電材料で前記複数のトレンチを充填するステップと、
前記複数のトレンチのうちから選択した1つの上にマスク層を適用するステップと、
前記複数のトレンチの残りにおいて、前記第1の導電材料層および前記第1の誘電体層に窪みを形成するステップと、
前記マスク層を除去するステップと、
当該残りの複数のトレンチの上面および側壁を含む、前記基板の上面に第2の誘電体層を形成するステップと、
前記残りの複数のトレンチの上部を第2の導電材料層で充填するステップと、
前記第2の導電材料層を第3の誘電体層で覆うステップと、
を含むことを特徴とする方法。
A method of forming each of a plurality of trenches having a first dielectric layer,
Filling the plurality of trenches with a first conductive material;
Applying a mask layer over one selected from the plurality of trenches;
Forming indentations in the first conductive material layer and the first dielectric layer in the remainder of the plurality of trenches;
Removing the mask layer;
Forming a second dielectric layer on the top surface of the substrate, including top surfaces and sidewalls of the remaining plurality of trenches;
Filling the top of the remaining plurality of trenches with a second conductive material layer;
Covering the second conductive material layer with a third dielectric layer;
A method comprising the steps of:
半導体基板における複数のトレンチの内部に埋め込み導電層を形成する方法であって、
前記複数のトレンチの各々の側壁および底部を、第1の誘電体層で囲むステップと、
前記複数のトレンチを第1の導電材料層で充填するステップと、
前記基板の上面および前記複数のトレンチの側壁から、各トレンチの内部の第1の深さまで第1の誘電体層を除去し、前記第1の導電材料層の一部を露出させ、前記第1の導電材料層の当該露出部分が、各トレンチの内部に2つの凹部を形成するステップと、
前記基板の上面,各トレンチの側壁および前記第1の導電材料層の表面を覆う第2の誘電体層を適用するステップと、
各トレンチの内部の前記2つの凹部を第2の導電材料層で充填するステップと、
前記第2の導電材料層を第3の誘電体層で覆うステップと、
を含むことを特徴とする埋め込み導電層の形成方法。
A method of forming a buried conductive layer inside a plurality of trenches in a semiconductor substrate,
Surrounding each sidewall and bottom of the plurality of trenches with a first dielectric layer;
Filling the plurality of trenches with a first conductive material layer;
Removing the first dielectric layer from the top surface of the substrate and the sidewalls of the plurality of trenches to a first depth inside each trench, exposing a portion of the first conductive material layer; The exposed portion of the conductive material layer forming two recesses within each trench;
Applying a second dielectric layer covering the top surface of the substrate, the sidewalls of each trench and the surface of the first conductive material layer;
Filling the two recesses inside each trench with a second conductive material layer;
Covering the second conductive material layer with a third dielectric layer;
A method for forming a buried conductive layer, comprising:
エピタキシャル成長した半導体材料の厚さを制御する方法であって、
第1のタイプのドーパントによってドープされた半導体基板を提供するステップと、
前記基板の上に緩衝層を形成するステップと、
前記緩衝層の上に当該エピタキシャル成長した層を形成するステップと、
を含み、前記緩衝層は、前記第1のタイプのドーパントと比較してかなり小さい拡散率を有する第2のタイプのドーパントでドープされることを特徴とする方法。
A method for controlling the thickness of an epitaxially grown semiconductor material comprising:
Providing a semiconductor substrate doped with a first type of dopant;
Forming a buffer layer on the substrate;
Forming the epitaxially grown layer on the buffer layer;
And the buffer layer is doped with a second type of dopant having a significantly lower diffusivity compared to the first type of dopant.
前記緩衝層は、ヒ素でドープされていることを特徴とする請求項149記載の方法。   150. The method of claim 149, wherein the buffer layer is doped with arsenic. エピタキシャル成長する半導体材料の厚さを制御する方法であって、
第1のタイプのドーパントによってドープされている半導体基板を提供するステップと、
前記半導体基板の上に緩衝層を形成するステップと、
前記緩衝層の上に当該エピタキシャル成長層を所望の厚さに形成するステップと、
を含み、前記緩衝層は炭素を含む組成を有し、前記基板から前記エピタキシャル成長層中への前記第1のタイプのドーパントの上方拡散に対抗するように機能することを特徴とする方法。
A method for controlling the thickness of a semiconductor material to be epitaxially grown, comprising:
Providing a semiconductor substrate doped with a first type of dopant;
Forming a buffer layer on the semiconductor substrate;
Forming the epitaxial growth layer on the buffer layer to a desired thickness;
Wherein the buffer layer has a composition comprising carbon and functions to counter updiffusion of the first type dopant from the substrate into the epitaxial growth layer.
前記緩衝層を形成するステップは、炭化ケイ素の層を成長するステップを含むことを特徴とする請求項151記載の方法。   The method of claim 151, wherein forming the buffer layer comprises growing a layer of silicon carbide. 前記緩衝層を形成するステップは、炭素ドーパントを前記半導体基板の表面に注入するステップを含むことを特徴とする請求項151記載の方法。   152. The method of claim 151, wherein forming the buffer layer comprises implanting a carbon dopant into a surface of the semiconductor substrate. エピタキシャル成長する半導体材料の厚さを制御する方法であって、
第1のタイプのドーパントによってドープされている半導体基板を提供するステップと、
前記半導体基板の上に当該エピタキシャル成長層を所望の厚さに形成するステップと、
前記エピタキシャル成長層の内部に井戸領域を形成するステップと、
前記エピタキシャル成長層と前記井戸領域との間の接合部に拡散緩衝層を形成するステップと、
を含み、前記井戸領域は前記第1のタイプのドーパントと反対の伝導性を有する第2のタイプのドーパントを有し、前記緩衝層は前記井戸領域と前記エピタキシャル成長層との間のドーパント拡散に対抗するように機能することを特徴とする方法。
A method for controlling the thickness of a semiconductor material to be epitaxially grown, comprising:
Providing a semiconductor substrate doped with a first type of dopant;
Forming the epitaxial growth layer on the semiconductor substrate to a desired thickness;
Forming a well region within the epitaxial growth layer;
Forming a diffusion buffer layer at the junction between the epitaxial growth layer and the well region;
The well region has a second type dopant having a conductivity opposite to the first type dopant, and the buffer layer resists dopant diffusion between the well region and the epitaxial growth layer. A method characterized by functioning.
前記緩衝層を形成するステップは、前記井戸領域を画定する窓を通して炭素原子を注入するステップを含むことを特徴とする請求項154記載の方法。   156. The method of claim 154, wherein forming the buffer layer comprises implanting carbon atoms through a window defining the well region. トレンチゲートトランジスタを形成する方法であって、
第1の導電型の基板を提供するステップと、
前記基板の上に前記第1の導電型のドリフト領域を形成するステップと、
前記ドリフト領域にトレンチを形成するステップと、
前記トレンチの側壁および底部を第1の誘電体層で囲むステップと、
前記トレンチの底部を第1の導電材料層で充填するステップと、
前記第1の導電材料層を層間誘電体で覆うステップと、
前記第1の導電型と反対である第2の導電型のエピタキシャル層を選択成長し、前記ドリフト領域の上面および前記層間誘電体の上方トレンチ部の上に井戸領域を形成するステップと、
を含むことを特徴とする方法。
A method of forming a trench gate transistor comprising:
Providing a substrate of a first conductivity type;
Forming a drift region of the first conductivity type on the substrate;
Forming a trench in the drift region;
Surrounding the trench sidewalls and bottom with a first dielectric layer;
Filling the bottom of the trench with a first conductive material layer;
Covering the first conductive material layer with an interlayer dielectric;
Selectively growing an epitaxial layer of a second conductivity type opposite to the first conductivity type, and forming a well region on the upper surface of the drift region and the upper trench portion of the interlayer dielectric;
A method comprising the steps of:
半導体デバイスにおいて井戸領域を形成する方法であって、
第1の導電型の基板を提供するステップと、
前記基板の上に前記第1の導電型のドリフト領域を形成するステップと、
前記ドリフト領域にトレンチを形成するステップと、
前記トレンチの低部で誘電体で封入された埋め込み電極を形成し、前記トレンチの上部の側壁を露出させたままにするステップと、
前記第1の導電型と反対である第2の導電型のドーパントで、前記ドリフト領域の上面に第1の井戸埋め込みを行うステップと、
前記トレンチの上部の当該露出した側壁を通して、第2の導電型のドーパントで第2の角をなした井戸埋め込みを行うステップと、
を含むことを特徴とする方法。
A method for forming a well region in a semiconductor device, comprising:
Providing a substrate of a first conductivity type;
Forming a drift region of the first conductivity type on the substrate;
Forming a trench in the drift region;
Forming a buried electrode encapsulated with a dielectric at the lower portion of the trench, leaving the upper sidewalls of the trench exposed; and
Filling a first well in the upper surface of the drift region with a dopant of a second conductivity type opposite to the first conductivity type;
Performing a second well-filled well with a second conductivity type dopant through the exposed sidewall at the top of the trench;
A method comprising the steps of:
半導体デバイスにおいて井戸領域を形成する方法であって、
第1の導電型の基板を提供するステップと、
前記基板の上に前記第1の導電型のドリフト層を形成するステップと、
前記ドリフト領域の上に誘電体の柱を形成するステップと、
前記第1のドリフト層の上に且つ前記誘電体の柱の周囲に、前記第1の導電型の第2のドリフト層を形成するステップと、
前記第1の導電型と反対である第2の導電型のエピタキシャル層を選択成長し、前記第2のドリフト領域の上面および前記誘電体の柱の上にそれぞれ形成されたトレンチの上に井戸領域を形成するステップと、
を含み、前記柱の各々は、次のステップで形成されるトレンチの幅に等しい幅を有することを特徴とする方法。
A method for forming a well region in a semiconductor device, comprising:
Providing a substrate of a first conductivity type;
Forming a drift layer of the first conductivity type on the substrate;
Forming a dielectric pillar over the drift region;
Forming a second drift layer of the first conductivity type on the first drift layer and around the dielectric pillar;
An epitaxial layer of a second conductivity type opposite to the first conductivity type is selectively grown, and a well region is formed on a top surface of the second drift region and a trench formed on each of the dielectric pillars. Forming a step;
Wherein each of the pillars has a width equal to the width of the trench formed in the next step.
半導体材料のウエハを薄くする方法であって、
前記ウエハの表面へのデバイスの製作を完了するステップと、
第1のボンディング工程によって、前記ウエハの表面をキャリアに一時的にボンディングするステップと、
前記ウエハの裏面を所望の厚さに薄くするステップと、
当該薄くされたウエハの裏面を、第2のボンディング工程によって低抵抗基板にボンディングするステップと、
前記キャリアを除去し、前記ウエハの表面を洗浄するステップと、
を含むことを特徴とする方法。
A method of thinning a wafer of semiconductor material,
Completing the fabrication of devices on the surface of the wafer;
Temporarily bonding the surface of the wafer to a carrier by a first bonding step;
Thinning the backside of the wafer to a desired thickness;
Bonding the backside of the thinned wafer to a low resistance substrate by a second bonding process;
Removing the carrier and cleaning the surface of the wafer;
A method comprising the steps of:
前記薄くするステップは研磨工程を含むことを特徴とする請求項159記載の方法。   The method of claim 159, wherein the thinning step comprises a polishing step. 前記薄くするステップは化学工程を含むことを特徴とする請求項159記載の方法。   160. The method of claim 159, wherein the thinning step comprises a chemical process. シリコン基板を薄くする方法であって、
前記シリコン基板の裏面をガラス基板にボンディングする工程と、
前記シリコン基板を光学的切断によって、厚いガラス上のシリコン(SOTG)基板を形成するステップと、
前記SOTG基板のシリコン表面上にエピタキシャル層を形成するステップと、
前記SOTG基板のシリコン表面上にアクティブデバイスを製作するステップと、
研磨工程によって、前記シリコン基板の裏面から前記ガラス基板の一部を除去するステップと、
化学エッチング工程によって、前記シリコン基板の裏面から前記ガラス基板の残りの部分を除去する工程と、
を含むことを特徴とする方法。
A method of thinning a silicon substrate,
Bonding the back surface of the silicon substrate to a glass substrate;
Forming a silicon-on-thick glass (SOTG) substrate by optically cutting the silicon substrate;
Forming an epitaxial layer on the silicon surface of the SOTG substrate;
Fabricating an active device on a silicon surface of the SOTG substrate;
Removing a part of the glass substrate from the back surface of the silicon substrate by a polishing process;
Removing the remaining portion of the glass substrate from the back surface of the silicon substrate by a chemical etching step;
A method comprising the steps of:
半導体基板においてトレンチをエッチングする方法であって、
第1のエッチングを第1の深さまで行うステップと、
第2のエッチングを最終の深さまで行うステップと、
を含み、前記第1のエッチングは、塩素をベースにした化学を使用し、テーパーの付いた滑らかな側壁を有する中間のトレンチをもたらし、前記第2のエッチングは、フッ素をベースにした化学を使用し、当該フッ素をベースにした第2のエッチングは、前記トレンチの底部の丸み付けを与え、さらにトレンチ側壁の平滑化を与えることを特徴とする方法。
A method of etching a trench in a semiconductor substrate comprising:
Performing a first etch to a first depth;
Performing a second etch to a final depth;
Wherein the first etch uses a chlorine-based chemistry, resulting in an intermediate trench having tapered smooth sidewalls, and the second etch uses a fluorine-based chemistry And the second etch based on the fluorine provides rounding of the bottom of the trench and further smoothes the sidewalls of the trench.
前記第1のエッチングの化学はCl2/HBrを含み、前記第2のエッチングの化学はSF6を含むことを特徴とする請求項163記載の方法。 The first chemical etch includes Cl 2 / HBr, the second etch chemistry The method of claim 163, wherein the containing SF 6. 半導体基板においてトレンチをエッチングする方法であって、
第1のエッチングを第1の深さまで行うステップと、
第2のエッチングを最終の深さまで行うステップと、
を含み、前記第1のエッチングは、フッ素をベースにした化学を使用し、まっすぐな側壁および丸み付けられた底部を有する中間のトレンチをもたらし、前記第2のエッチングは、塩素をベースにした化学を使用し、当該フッ素をベースにした第2のエッチングは、前記トレンチの一番上の角に丸み付けを与え、さらにトレンチ側壁の平滑化を与えることを特徴とする方法。
A method of etching a trench in a semiconductor substrate comprising:
Performing a first etch to a first depth;
Performing a second etch to a final depth;
Wherein the first etch uses a fluorine-based chemistry, resulting in an intermediate trench having straight sidewalls and a rounded bottom, and the second etch is a chlorine-based chemistry Wherein the second fluorine-based etch provides rounding at the top corner of the trench and further smoothes the trench sidewalls.
前記第1のエッチングの化学はCF6/O2を含み、前記第2のエッチングの化学はCl2を含むことを特徴とする請求項165記載の方法。 The first chemical etch contains CF 6 / O2, the second etch chemistry The method of claim 165, wherein the containing Cl 2. 半導体基板においてトレンチをエッチングする方法であって、
イオン衝撃を増大させ且つ前記トレンチの表面の凹角傾向に対抗するために、アルゴンを付加したフッ素をベースにした化学を使用して第1のエッチングを行うステップと、
前記トレンチの側壁を滑らかにするために第2のエッチングを行うステップと、
を含むことを特徴とする方法。
A method of etching a trench in a semiconductor substrate comprising:
Performing a first etch using fluorine-based chemistry with argon added to increase ion bombardment and counteract the refraction angle tendency of the surface of the trench;
Performing a second etch to smooth the trench sidewalls;
A method comprising the steps of:
前記第1のエッチングの化学は、SF6/O2/Arを含むことを特徴とする請求項167記載の方法。 Said first etch chemistry The method of claim 167, wherein the containing SF 6 / O 2 / Ar. 半導体基板においてトレンチをエッチングする方法であって、
無酸素のフッ素をベースにした化学を使用して、第1のエッチングを行うステップと、
酸素添加したフッ素をベースにした化学を使用して、第2のエッチングを行うステップと、
を含み、前記第1のエッチングは、前記トレンチの上端での側面エッチングを増大させ、前記第2のエッチングは、前記トレンチの残りの部分に対して、まっすぐな側壁と丸み付けられた底部を与えることを特徴とする方法。
A method of etching a trench in a semiconductor substrate comprising:
Performing a first etch using oxygen-free fluorine-based chemistry;
Performing a second etch using oxygen based fluorine based chemistry; and
And the first etch increases the side etch at the top of the trench, and the second etch provides straight sidewalls and a rounded bottom for the remainder of the trench. A method characterized by that.
前記第1のエッチングの化学はSF6を含み、前記第2のエッチングの化学はSF6/O2を含むことを特徴とする請求項167記載の方法。 It said first etch chemistry comprises SF 6, the second etch chemistry The method of claim 167, wherein the containing SF 6 / O 2. 半導体基板において深いトレンチをエッチングする方法であって、
酸素添加したフッ素をベースにした化学を使用するステップと、
イオン流出密度を制御し且つ一定のエッチング速度を維持するために、電力および圧力を傾斜するステップと、
を含み、酸素は側壁の表面安定化処理を制御するために傾斜された態様で導入されることを特徴とする方法。
A method of etching a deep trench in a semiconductor substrate,
Using oxygenated fluorine-based chemistry;
Ramping power and pressure to control ion flux density and maintain a constant etch rate;
And oxygen is introduced in a tilted manner to control the surface stabilization treatment of the sidewalls.
半導体基板において深いトレンチをエッチングする方法であって、
窒素を含む、より反応性のフッ素をベースにした化学を使用して第1のエッチングを行い、次に、SF6を含む反応性の小さいフッ素をベースにした化学が続けられることを特徴とする方法。
A method of etching a deep trench in a semiconductor substrate,
A first etch is performed using a more reactive fluorine-based chemistry containing nitrogen, followed by a less reactive fluorine-based chemistry containing SF 6. Method.
前記第1のエッチングの化学はNF3を含み、前記第2のエッチングの化学はSF6/O2を含むことを特徴とする請求項172記載の方法。 It said first etch chemistry comprises NF 3, wherein the second etch chemistry The method of claim 172, wherein the containing SF 6 / O 2. 前記第1および第2のエッチングのステップを交互に繰り返すステップをさらに含むことを特徴とする請求項173記載の方法。   178. The method of claim 173, further comprising the step of alternately repeating the first and second etching steps. 半導体基板においてトレンチをエッチングする工程であって、
前記基板の上面にパッド酸化物の薄層を形成するステップと、
前記パッド酸化物の上に無酸化材料の層を形成するステップと、
導電材料の層の上に窒化ケイ素の層を形成するステップと、
前記パッド酸化物,無酸化材料および窒化ケイ素の層をパターニングし、前期トレンチを形成するための開口を確定するステップと、
前記開口を通して前記トレンチをエッチングする工程と、
を含み、前記パッド酸化物の層と前記窒化ケイ素の層との間への前記無酸化材料の層の挿入は、次の処理ステップの間に、前記トレンチの端部でパッド酸化物が成長することに対抗することを特徴とする工程。
Etching a trench in a semiconductor substrate,
Forming a thin layer of pad oxide on the top surface of the substrate;
Forming a layer of non-oxidized material on the pad oxide;
Forming a layer of silicon nitride over the layer of conductive material;
Patterning the layer of pad oxide, non-oxidized material and silicon nitride to define an opening for forming a pre-trench;
Etching the trench through the opening;
And the insertion of the layer of non-oxidized material between the pad oxide layer and the silicon nitride layer causes the pad oxide to grow at the end of the trench during the next processing step. A process characterized by countering.
半導体基板においてトレンチをエッチングする工程であって、
前記基板の上面にパッド酸化物の薄層を形成するステップと、
前記パッド酸化物の上に窒化ケイ素の層を形成するステップと、
前記トレンチ形成するための開口を画定するために、前記パッド酸化物および窒化ケイ素の層をパターニングするステップと、
前記基板の表面構造の上に無酸化材料の薄層を形成するステップと、
前記表面構造の水平表面から無酸素材料の薄層を除去し、窒化物のパッド酸化物の垂直端部に沿って無酸化材料のスペーサを残すステップと、
前記開口を通して前記トレンチをエッチングするステップと、
を含み、当該無酸化材料のスペーサは、次の処理ステップの間に、前記トレンチの端部でパッド酸化物が成長することに対抗することを特徴とする工程。
Etching a trench in a semiconductor substrate,
Forming a thin layer of pad oxide on the top surface of the substrate;
Forming a layer of silicon nitride over the pad oxide;
Patterning the pad oxide and silicon nitride layer to define an opening for forming the trench;
Forming a thin layer of non-oxidized material on the surface structure of the substrate;
Removing a thin layer of oxygen free material from the horizontal surface of the surface structure, leaving a spacer of oxygen free material along the vertical edges of the nitride pad oxide;
Etching the trench through the opening;
Wherein the non-oxidized material spacer counteracts the growth of pad oxide at the end of the trench during the next processing step.
トレンチの内部に電極間誘電体層を形成する工程であって、
前記トレンチの側壁および底部を第1の誘電体層で囲むステップと、
前記トレンチを第1の導電材料層で充填し、第1の電極を形成するステップと、
前記第1の誘電体層および前記第1の導電材料層に、前記トレンチの内部の第1の深さまで窪みを作るステップと、
前記トレンチの内部の導電材料および誘電体の上面にポリシリコン材料の層を形成するステップと、
前記ポリシリコン材料の層を酸化させることによって二酸化ケイ素の層に変換するステップと、
前記ニ酸化ケイ素の上に、前記トレンチの内部に導電材料ででき且つ第2の誘電体層によってトレンチ側壁から分離された第2の電極を形成するステップと、
を含むことを特徴とする工程。
Forming a dielectric layer between the electrodes inside the trench,
Surrounding the trench sidewalls and bottom with a first dielectric layer;
Filling the trench with a first conductive material layer to form a first electrode;
Creating a recess in the first dielectric layer and the first conductive material layer to a first depth inside the trench;
Forming a layer of polysilicon material on top of the conductive material and dielectric inside the trench;
Converting the layer of polysilicon material into a layer of silicon dioxide by oxidizing;
Forming on the silicon dioxide a second electrode made of a conductive material inside the trench and separated from the trench sidewall by a second dielectric layer;
The process characterized by including.
トレンチの内部に電極間誘電体層を形成する工程であって、
前記トレンチの側壁および底部を第1の誘電体層で囲むステップと、
前記トレンチを第1の導電材料層で充填し、第1の電極を形成するステップと、
前記第1の誘電体層に、前記トレンチの内部の第1の深さまで窪みを作るステップと、
前記トレンチの残りの部分を誘電体充填材料で充填するステップと、
前記第1の誘電体層および前記誘電体充填材料を第2の深さまで窪みを作り、電極間誘電体層を形成するステップと、
前記電極間誘電体層の上に、前記トレンチの内部に導電材料ででき且つ第2の誘電体層によってトレンチ側壁から分離された第2の電極を形成するステップと、
を含むことを特徴とする工程。
Forming a dielectric layer between the electrodes inside the trench,
Surrounding the trench sidewalls and bottom with a first dielectric layer;
Filling the trench with a first conductive material layer to form a first electrode;
Creating a recess in the first dielectric layer to a first depth inside the trench;
Filling the remainder of the trench with a dielectric fill material;
Recessing the first dielectric layer and the dielectric filling material to a second depth to form an interelectrode dielectric layer;
Forming a second electrode made of a conductive material inside the trench and separated from the trench sidewall by a second dielectric layer on the interelectrode dielectric layer;
The process characterized by including.
前記トレンチの側壁および底部を第1の誘電体層で囲むステップと、
前記トレンチを第1の導電材料層で充填し、第1の電極を形成するステップと、
前記第1の導電材料層に、前記トレンチの内部の第1の深さまで窪みを作り、当該凹型の導電材料の上部を所望の深さで最終の目標深さより高くするステップと、
前記第1の導電材料層の上部の酸化率を、その性質を変化することによって高めるステップと、
前記第1の誘電体層をトレンチ側壁の残りから除去するステップと、
酸化ステップを行うステップと、
前記電極間誘電体層の上に、前記トレンチの内部に導電材料ででき且つ側壁誘電体ライニングによってトレンチ側壁から分離された第2の電極を形成するステップと、
を含み、前記酸化ステップを行うことによって、前記第1の導電材料層の変化した上部は前記トレンチの側壁より速い速度で酸化し、前記側壁誘電体ライニングより厚い電極間誘電体を形成することを特徴とする工程。
Surrounding the trench sidewalls and bottom with a first dielectric layer;
Filling the trench with a first conductive material layer to form a first electrode;
Forming a recess in the first conductive material layer to a first depth inside the trench, and making an upper portion of the concave conductive material higher than a final target depth by a desired depth;
Increasing the oxidation rate of the top of the first conductive material layer by changing its properties;
Removing the first dielectric layer from the remainder of the trench sidewalls;
Performing an oxidation step;
Forming, on the interelectrode dielectric layer, a second electrode made of a conductive material and separated from the trench sidewall by a sidewall dielectric lining inside the trench;
And forming the inter-electrode dielectric thicker than the sidewall dielectric lining by oxidizing the altered top portion of the first conductive material layer at a faster rate than the sidewalls of the trench. Characteristic process.
前記第1の導電材料層の上部の酸化率を高めるステップは、前記上部を化学的または物理的のどちらか一つの方法で変えることを含むことを特徴とする請求項179記載の方法。   180. The method of claim 179, wherein increasing the oxidation rate of the upper portion of the first conductive material layer includes changing the upper portion by one of chemical or physical methods. 前記第1の導電材料層の上部の酸化率を高めるステップは、前記第1の導電材料層の上面に垂直に不純物を注入することを含むことを特徴とする請求項179記載の方法。   179. The method of claim 179, wherein increasing the oxidation rate on top of the first conductive material layer comprises implanting impurities perpendicular to the top surface of the first conductive material layer. 前記不純物は、アルゴンまたはフッ素のうちの1つであることを特徴とする請求項181記載の方法。   181. The method of claim 181, wherein the impurity is one of argon or fluorine. トレンチの内部に電極間誘電体層を形成する方法であって、
前記トレンチの側壁および底部を第1の誘電体層で囲むステップと、
前記トレンチを第1の導電材料層で充填し、第1の電極を形成するステップと、
前記第1の誘電体層および前記第1の導電材料層に、前記トレンチの内部の第1の深さまで窪みを作るステップと、
選択的に第2の誘電体層を形成することによって、相対的に厚い電極間誘電体層が前記トレンチの内部に水平面構造上に形成され且つ相対的に薄い誘電体層が前記トレンチの側壁に沿って形成されるステップと、
前記トレンチの側壁に沿った当該相対的に厚い誘電体層を除去するステップと、
前記電極間誘電体層の上に、前記トレンチの内部に導電材料ででき且つ側壁誘電体ライニングによってトレンチ側壁から分離された第2の電極を形成するステップと、
を含むことを特徴とする工程。
A method of forming an interelectrode dielectric layer in a trench,
Surrounding the trench sidewalls and bottom with a first dielectric layer;
Filling the trench with a first conductive material layer to form a first electrode;
Creating a recess in the first dielectric layer and the first conductive material layer to a first depth inside the trench;
By selectively forming a second dielectric layer, a relatively thick inter-electrode dielectric layer is formed on the horizontal structure inside the trench and a relatively thin dielectric layer is formed on the sidewall of the trench. Steps formed along;
Removing the relatively thick dielectric layer along the sidewalls of the trench;
Forming, on the interelectrode dielectric layer, a second electrode made of a conductive material and separated from the trench sidewall by a sidewall dielectric lining inside the trench;
The process characterized by including.
第2の誘電体層を選択的に形成するステップは、指向性蒸着工程を含むことを特徴とする請求項183記載の方法。   184. The method of claim 183, wherein selectively forming the second dielectric layer comprises a directional deposition process. 前記指向性蒸着工程はプラズマ化学気相成長法を含むことを特徴とする請求項184記載の方法。   185. The method of claim 184, wherein the directional deposition step comprises plasma enhanced chemical vapor deposition. トレンチの内部に電極間誘電体層を形成する方法であって、
前記トレンチの側壁および底部を第1の誘電体層で囲むステップと、
前記トレンチを第1の導電材料層で充填し、第1の電極を形成するステップと、
前記第1の誘電体層および前記第1の導電材料層に、前記トレンチの内部の第1の深さまで窪みを作るステップと、
前記トレンチの内部の垂直面および水平面に沿ってスクリーン酸化物の薄層を形成するステップと、
前記スクリーン酸化物の薄層を覆う窒化ケイ素の層を形成するステップと、
前記トレンチの底部から前記窒化ケイ素を除去し、スクリーン酸化物の水平層を露出するが垂直スクリーン酸化物が窒化ケイ素によって覆われたままにするステップと、
前記トレンチを酸化環境に曝し、前記トレンチの水平底部上に厚い電極間誘電体層を形成するステップと、
トレンチ側壁から窒化ケイ素を除去するステップと、
前記電極間誘電体層の上に、前記トレンチの内部に導電材料ででき且つ側壁誘電体ライニングによってトレンチ側壁から分離された第2の電極を形成するステップと、
を含むことを特徴とする方法。
A method of forming an interelectrode dielectric layer in a trench,
Surrounding the trench sidewalls and bottom with a first dielectric layer;
Filling the trench with a first conductive material layer to form a first electrode;
Creating a recess in the first dielectric layer and the first conductive material layer to a first depth inside the trench;
Forming a thin layer of screen oxide along vertical and horizontal planes inside the trench;
Forming a layer of silicon nitride overlying the thin layer of screen oxide;
Removing the silicon nitride from the bottom of the trench to expose a horizontal layer of screen oxide but leave the vertical screen oxide covered by silicon nitride;
Exposing the trench to an oxidizing environment and forming a thick interelectrode dielectric layer on a horizontal bottom of the trench;
Removing silicon nitride from the trench sidewalls;
Forming, on the interelectrode dielectric layer, a second electrode made of a conductive material and separated from the trench sidewall by a sidewall dielectric lining inside the trench;
A method comprising the steps of:
半導体基板に形成されたトレンチの内部に電極間誘電体層を形成する方法であって、
前記トレンチの底部に、導電材料ででき且つ第1の誘電体ライニングによってトレンチの側壁および底部から分離された第1の電極を形成するステップと、
前記トレンチを充填し且つ前記半導体基板の上に伸長する誘電体の厚い層を形成するステップと、
前記半導体基板の上面まで前記誘電体の厚い層を平坦化するステップと、
前記トレンチの内部の誘電体の厚い層の残りの部分に窪みを形成する等方性ウエットエッチング工程を行うステップと、
を含むことを特徴とする方法。
A method of forming an interelectrode dielectric layer inside a trench formed in a semiconductor substrate,
Forming a first electrode made of a conductive material and separated from the sidewalls and bottom of the trench by a first dielectric lining at the bottom of the trench;
Forming a thick layer of dielectric filling the trench and extending over the semiconductor substrate;
Planarizing the thick layer of dielectric to the top surface of the semiconductor substrate;
Performing an isotropic wet etching process to form a depression in the remaining portion of the thick dielectric layer inside the trench;
A method comprising the steps of:
前記平坦化するステップは、異方製プラズマエッチング工程を行うことを含むことを特徴とする請求項187記載の方法。   187. The method of claim 187, wherein the planarizing step includes performing an anisotropic plasma etch process. 前記平坦化するステップは、化学機械平坦化工程を行うことを含むことを特徴とする請求項187記載の方法。   188. The method of claim 187, wherein the planarizing step comprises performing a chemical mechanical planarization process. 半導体ウエハ上に酸化層を形成する方法であって、
試験環境において前記半導体ウエハにDCバイアスを印加するステップと、
酸素との表面反応が抑制されるDCバイアス条件を定めるステップと、
酸化の間に、半導体ウエハに外部バイアスを印加するステップと、
酸化速度を最適化するために前記外部バイアスを操作するステップと、
を含むことを特徴とする方法。
A method for forming an oxide layer on a semiconductor wafer, comprising:
Applying a DC bias to the semiconductor wafer in a test environment;
Defining a DC bias condition that suppresses surface reaction with oxygen;
Applying an external bias to the semiconductor wafer during oxidation;
Manipulating the external bias to optimize the oxidation rate;
A method comprising the steps of:
半導体基板に形成されたトレンチの底部に厚い酸化物を形成する方法であって、
前記トレンチを充填し且つ前記基板の上面を覆う準常圧化学気相成長法によって、等角酸化膜を形成するステップと、
前記基板の上面および前記トレンチの内部から前記酸化膜をエッチングし、前記トレンチの底部に目標厚さを有する酸化物の平坦層を残すステップと、
を含むことを特徴とする方法。
A method of forming a thick oxide at the bottom of a trench formed in a semiconductor substrate,
Forming a conformal oxide film by quasi-atmospheric chemical vapor deposition filling the trench and covering the top surface of the substrate;
Etching the oxide film from the top surface of the substrate and the interior of the trench, leaving a flat layer of oxide having a target thickness at the bottom of the trench;
A method comprising the steps of:
前記酸化膜を緻密にするために熱処理を行うステップをさらに含むことを特徴とする請求項191記載の方法。   191. The method of claim 191, further comprising performing a heat treatment to make the oxide film dense. 半導体基板に形成されたトレンチの底部に厚い酸化物を形成する方法であって、
トレンチ側壁を含む垂直面より、前記トレンチの底部を含む水平面に厚い酸化膜を形成する指向性正珪酸四エチル(TEOS)の工程によって酸化膜を蒸着するステップと、
トレンチにおける全ての酸化物が、目標厚さを有する、前記トレンチの底部での酸化物の層を残して除去されるまで、前記酸化膜を等方性にエッチングするステップと、
を含むことを特徴とする方法。
A method of forming a thick oxide at the bottom of a trench formed in a semiconductor substrate,
Depositing an oxide film by a directional tetraethyl silicate (TEOS) process that forms a thick oxide film on a horizontal plane including the bottom of the trench from a vertical plane including a trench sidewall;
Etching the oxide film isotropically until all oxide in the trench has been removed leaving a layer of oxide at the bottom of the trench having a target thickness;
A method comprising the steps of:
当該エッチングステップは、ウエット緩衝酸化物エッチングによって続けられるドライ上部酸化物エッチングを含むことを特徴とする請求項193記載の方法。   196. The method of claim 193, wherein the etching step comprises a dry top oxide etch followed by a wet buffered oxide etch. 前記上部酸化物エッチングは、前記トレンチの底部近辺の酸化物と比較して加速された速度で前記トレンチの上部端近辺の酸化物をエッチングするフォッグエッチングの工程を含むことを特徴とする請求項194記載の方法。   194. The top oxide etch includes a fog etching step that etches the oxide near the top end of the trench at an accelerated rate compared to the oxide near the bottom of the trench. The method described. 半導体基板に形成されたトレンチの底部に厚い酸化物を形成する方法であって、
トレンチ側壁よりも前記トレンチの底部に厚い酸化物を形成する高密度プラズマ蒸着工程によって酸化膜を蒸着するステップと、
ウエットエッチング工程によってトレンチ側壁から酸化物を除去し、前記トレンチのプロファイルを前記トレンチの上端から傾斜させるステップと、
を含むことを特徴とする方法。
A method of forming a thick oxide at the bottom of a trench formed in a semiconductor substrate,
Depositing an oxide film by a high density plasma deposition process that forms a thicker oxide at the bottom of the trench than the trench sidewall;
Removing the oxide from the trench sidewalls by a wet etch process, and tilting the trench profile from the top of the trench;
A method comprising the steps of:
半導体基板に形成されたトレンチの底部に厚い酸化物を形成する方法であって、
前記基板上にパッド酸化物の層を形成するステップと、
前記パッド酸化物上に窒化ケイ素の薄層を蒸着するステップと、
異方性エッチングを行い、トレンチ側壁上の窒化ケイ素を残して水平面から窒化ケイ素を除去するステップと、
準常圧化学気相成長法を使用して、前記トレンチの底部を含むづ胃平面上に酸化物を蒸着するステップと、
エッチング工程によって、トレンチ側壁から酸化物−窒化物−酸化物のサンドイッチ層を除去するステップと、
を含むことを特徴とする方法。
A method of forming a thick oxide at the bottom of a trench formed in a semiconductor substrate,
Forming a layer of pad oxide on the substrate;
Depositing a thin layer of silicon nitride on the pad oxide;
Performing anisotropic etching to remove silicon nitride from the horizontal plane leaving silicon nitride on the trench sidewalls;
Depositing oxide on a gastric plane including the bottom of the trench using quasi-atmospheric chemical vapor deposition;
Removing the oxide-nitride-oxide sandwich layer from the trench sidewalls by an etching process;
A method comprising the steps of:
半導体基板に形成されたトレンチの底部に厚い酸化物を形成する方法であって、
前記トレンチの側壁および底部を含む前記基板上にパッド酸化物の薄層を形成するステップと、
前記パッド酸化物の表面に窒化物の層を形成し、トレンチ側壁において隣接する窒化物パッド酸化物の層を残して水平面上の窒化物をエッチングするステップと、
水平面から前記パッド酸化物を除去し、前記基板の上面およびトレンチの底面を露出させるステップと、
当該露出された水平面の異方性エッチングを行い、前記トレンチの底部から所望の深さまで半導体材料を除去し、低部トレンチを形成するステップと、
前記低部トレンチを含む、窒化物によって覆われていない箇所に酸化物の層を成長するステップと、
前記窒化物およびパッド酸化物を除去し、厚い低部の酸化物を前記トレンチの側壁に沿って伸長させるステップと、
を含むことを特徴とする方法。
A method of forming a thick oxide at the bottom of a trench formed in a semiconductor substrate,
Forming a thin layer of pad oxide on the substrate including sidewalls and bottom of the trench;
Forming a nitride layer on the surface of the pad oxide and etching the nitride on a horizontal plane leaving an adjacent nitride pad oxide layer on the trench sidewall;
Removing the pad oxide from a horizontal plane to expose the top surface of the substrate and the bottom surface of the trench;
Performing anisotropic etching of the exposed horizontal surface to remove semiconductor material from the bottom of the trench to a desired depth to form a lower trench;
Growing an oxide layer in locations not covered by nitride, including the lower trench;
Removing the nitride and pad oxide and extending a thick lower oxide along the sidewalls of the trench;
A method comprising the steps of:
単一の半導体基板上に形成されたパワーデバイスであって、
トレンチの内部に形成された電荷調整構造を有するパワートランジスタと、
前記パワートランジスタに隣接して形成され且つ誘電体領域によって当該パワーデバイスから分離された電流検出デバイスと、
前記電流検出デバイスの下に形成された、1または2以上の電荷調整トレンチと、
を含み、電荷調整における連続性は、前記半導体基板にわたって維持されることを特徴とするパワーデバイス。
A power device formed on a single semiconductor substrate,
A power transistor having a charge adjustment structure formed inside the trench;
A current sensing device formed adjacent to the power transistor and separated from the power device by a dielectric region;
One or more charge conditioning trenches formed under the current sensing device;
A continuity in charge adjustment is maintained across the semiconductor substrate.
単一の半導体基板上に形成されたパワーデバイスであって、
トレンチの内部に形成された電荷調整構造を有するパワートランジスタと、
前記パワートランジスタに隣接して形成され且つ誘電体領域によって当該パワーデバイスから分離された1または2以上のダイオード構造と、
前記1または2以上のダイオード構造の下に形成された1または2以上の電荷調整トレンチと、
を含み、電荷調整における連続性は、前記半導体基板にわたって維持されることを特徴とするパワーデバイス。
A power device formed on a single semiconductor substrate,
A power transistor having a charge adjustment structure formed inside the trench;
One or more diode structures formed adjacent to the power transistor and separated from the power device by a dielectric region;
One or more charge control trenches formed under the one or more diode structures;
A continuity in charge adjustment is maintained across the semiconductor substrate.
改善されたパワーデバイスを形成する方法であって、
第1の導電型を有する半導体基板を提供するステップと、
第1の誘電体ライニングによってトレンチの側壁および低部から分離された、前記トレンチの低部に形成された低部電極を有する、前記基板中に伸長したトレンチを形成するステップと、
前記低部電極の上に電極間誘電体層を形成するステップと、
前記トレンチの上部における前記電極間誘電体層の上に、第2の誘電体ライニングによってトレンチ側壁から分離された上部電極を形成するステップと、
前記第1の導電型と反対である第2の導電型を有する井戸領域を前記トレンチに隣接して形成するステップと、
前記第1の導電型を有するソース領域を前記井戸領域の内部に形成するステップと、
前記井戸領域およびソース領域を形成した後、前記上部電極の上面にケイ化物を適用するステップと、
を含み、前記上部電極は前記パワーデバイスのゲート端子を含み、前記ケイ化物は前記デバイスの等価直列抵抗を下げることを特徴とする方法。
A method of forming an improved power device, comprising:
Providing a semiconductor substrate having a first conductivity type;
Forming an elongated trench in the substrate having a lower electrode formed in a lower portion of the trench, separated from a sidewall and a lower portion of the trench by a first dielectric lining;
Forming an interelectrode dielectric layer on the lower electrode;
Forming an upper electrode separated from the trench sidewall by a second dielectric lining on the interelectrode dielectric layer at the top of the trench;
Forming a well region having a second conductivity type opposite to the first conductivity type adjacent to the trench;
Forming a source region having the first conductivity type within the well region;
Applying silicide to the upper surface of the upper electrode after forming the well region and the source region;
Wherein the upper electrode includes a gate terminal of the power device, and the silicide reduces an equivalent series resistance of the device.
低い等価直列抵抗を有するパワーデバイスを形成する方法であって、
複数の平行トレンチにゲート構造を形成するステップと、
前記複数のトレンチに垂直に伸長し、前記複数の平行トレンチとの交点で当該トレンチに接触するケイ化物導電材料の表面層を形成するステップと、
を含むことを特徴とする方法。
A method of forming a power device having a low equivalent series resistance comprising:
Forming a gate structure in a plurality of parallel trenches;
Forming a surface layer of silicide conductive material extending perpendicularly to the plurality of trenches and contacting the trenches at intersections with the plurality of parallel trenches;
A method comprising the steps of:
DC−DC変換器であって、
第1のゲート電極および第2のゲート電極,ソース電極およびドレイン電極を有するデュアルゲートパワートランジスタから作製されたハイサイドスイッチと、
第1のゲート電極および第2のゲート電極,前記ハイサイドスイッチの前記ソース電極に連結したソース電極およびドレイン電極を有するデュアルゲートパワートランジスタから作製されたローサイドスイッチと、
前記ハイサイドスイッチの前記第1のゲート電極に連結した第1の駆動回路と、
前記ローサイドスイッチの前記第1のゲート電極に連結した第2の駆動回路と、
を含み、前記ハイサイドスイッチおよびローサイドスイッチの第2のゲート電極は、第1の駆動信号および第2の駆動信号をそれぞれ受け取るように連結され、各トランジスタのスイッチング速度を最適化することを特徴とするDC−DC変換器。
A DC-DC converter,
A high side switch made from a dual gate power transistor having a first gate electrode and a second gate electrode, a source electrode and a drain electrode;
A low side switch made from a dual gate power transistor having a first gate electrode and a second gate electrode, a source electrode and a drain electrode connected to the source electrode of the high side switch;
A first drive circuit coupled to the first gate electrode of the high side switch;
A second drive circuit coupled to the first gate electrode of the low-side switch;
And the second gate electrodes of the high-side switch and the low-side switch are connected to receive the first drive signal and the second drive signal, respectively, and optimize the switching speed of each transistor, DC-DC converter.
JP2006547577A 2003-12-30 2004-12-28 Power semiconductor device and manufacturing method thereof Active JP4903055B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US53379003P 2003-12-30 2003-12-30
US60/533,790 2003-12-30
US58884504P 2004-07-15 2004-07-15
US60/588,845 2004-07-15
PCT/US2004/043965 WO2005065385A2 (en) 2003-12-30 2004-12-28 Power semiconductor devices and methods of manufacture

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008077939A Division JP2008227514A (en) 2003-12-30 2008-03-25 Power semiconductor device and its production process

Publications (2)

Publication Number Publication Date
JP2007529115A true JP2007529115A (en) 2007-10-18
JP4903055B2 JP4903055B2 (en) 2012-03-21

Family

ID=34753010

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2006547577A Active JP4903055B2 (en) 2003-12-30 2004-12-28 Power semiconductor device and manufacturing method thereof
JP2008077939A Withdrawn JP2008227514A (en) 2003-12-30 2008-03-25 Power semiconductor device and its production process
JP2011276453A Pending JP2012109580A (en) 2003-12-30 2011-12-16 Power semiconductor device and manufacturing method thereof

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2008077939A Withdrawn JP2008227514A (en) 2003-12-30 2008-03-25 Power semiconductor device and its production process
JP2011276453A Pending JP2012109580A (en) 2003-12-30 2011-12-16 Power semiconductor device and manufacturing method thereof

Country Status (6)

Country Link
JP (3) JP4903055B2 (en)
KR (2) KR101216533B1 (en)
CN (3) CN103199017B (en)
DE (3) DE112004003046B4 (en)
TW (3) TWI399855B (en)
WO (1) WO2005065385A2 (en)

Cited By (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006324570A (en) * 2005-05-20 2006-11-30 Renesas Technology Corp Semiconductor device and its manufacturing method
JP2006351985A (en) * 2005-06-20 2006-12-28 Toshiba Corp Semiconductor device
JP2008227514A (en) * 2003-12-30 2008-09-25 Fairchild Semiconductor Corp Power semiconductor device and its production process
JP2009016571A (en) * 2007-07-04 2009-01-22 Rohm Co Ltd Semiconductor device
JP2009182113A (en) * 2008-01-30 2009-08-13 Renesas Technology Corp Semiconductor device, and manufacturing method of the same
JP2009531836A (en) * 2006-03-24 2009-09-03 フェアチャイルド・セミコンダクター・コーポレーション High density trench provided in integrated Schottky diode and method of manufacturing the same
JP2010129973A (en) * 2008-12-01 2010-06-10 Toyota Motor Corp Semiconductor device
JPWO2009001529A1 (en) * 2007-06-22 2010-08-26 パナソニック株式会社 Plasma display panel driving apparatus and plasma display
JP2011029393A (en) * 2009-07-24 2011-02-10 Sanken Electric Co Ltd Semiconductor device
JP2011124576A (en) * 2009-12-09 2011-06-23 Infineon Technologies Austria Ag Semiconductor device with improved on-resistance value
JP2011181583A (en) * 2010-02-26 2011-09-15 Toshiba Corp Semiconductor device
JP2012054592A (en) * 2004-04-30 2012-03-15 Siliconix Inc Super trench mosfet including embedded source electrode and method of manufacturing the same
JP2012064641A (en) * 2010-09-14 2012-03-29 Toshiba Corp Semiconductor device
JP2012160706A (en) * 2011-01-12 2012-08-23 Denso Corp Semiconductor device
JP2012204395A (en) * 2011-03-23 2012-10-22 Toshiba Corp Semiconductor device and manufacturing method of the same
JP2012204529A (en) * 2011-03-24 2012-10-22 Toshiba Corp Semiconductor device and method of manufacturing the same
JP2013026563A (en) * 2011-07-25 2013-02-04 Mitsubishi Electric Corp Silicon carbide semiconductor device
JP2013508980A (en) * 2009-10-20 2013-03-07 ヴィシェイ−シリコニックス Split gate field effect transistor
JP2013098316A (en) * 2011-10-31 2013-05-20 Mitsubishi Electric Corp Silicon carbide semiconductor device
JP2013135213A (en) * 2011-12-26 2013-07-08 Samsung Electro-Mechanics Co Ltd Semiconductor element and manufacturing method of the same
JP2013153056A (en) * 2012-01-25 2013-08-08 Renesas Electronics Corp Vertical type planar power mosfet manufacturing method and trench gate type power mosfet manufacturing method
JP2013168611A (en) * 2012-02-17 2013-08-29 Kyushu Institute Of Technology Method of fabricating cmos and trench diode in same substrate
JP5327226B2 (en) * 2008-10-14 2013-10-30 三菱電機株式会社 Power device
JP2013541218A (en) * 2010-10-29 2013-11-07 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング Semiconductor device with Schottky diode
US8686495B1 (en) 2012-09-12 2014-04-01 Kabushiki Kaisha Toshiba Power semiconductor device
JP2014508406A (en) * 2011-02-01 2014-04-03 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング Semiconductor device with reduced on-resistance
WO2014061619A1 (en) * 2012-10-17 2014-04-24 富士電機株式会社 Semiconductor device
JP2014518017A (en) * 2011-05-18 2014-07-24 ビシャイ‐シリコニックス Semiconductor device
JP2014160715A (en) * 2013-02-19 2014-09-04 Rohm Co Ltd Semiconductor device and method of manufacturing the same
JP2014175313A (en) * 2013-03-05 2014-09-22 Rohm Co Ltd Semiconductor device
JP2014175314A (en) * 2013-03-05 2014-09-22 Rohm Co Ltd Semiconductor device
KR20140123943A (en) * 2012-02-09 2014-10-23 비쉐이-실리코닉스 Mosfet termination trench
WO2015114803A1 (en) * 2014-01-31 2015-08-06 ルネサスエレクトロニクス株式会社 Semiconductor device
KR20150117516A (en) * 2014-04-10 2015-10-20 삼성전자주식회사 Method of Forming Semiconductor device
WO2015198435A1 (en) * 2014-06-26 2015-12-30 三菱電機株式会社 Semiconductor device
WO2016006263A1 (en) * 2014-07-11 2016-01-14 新電元工業株式会社 Semiconductor device and method for producing semiconductor device
JP2016506082A (en) * 2012-12-31 2016-02-25 ヴィシェイ−シリコニックス Adaptive charge balancing MOSFET technique
JP2016096165A (en) * 2014-11-12 2016-05-26 サンケン電気株式会社 Semiconductor device
JP2016115886A (en) * 2014-12-17 2016-06-23 三菱電機株式会社 Semiconductor device
JP2016146470A (en) * 2014-12-17 2016-08-12 インフィネオン テクノロジーズ アーゲーInfineon Technologies Ag Semiconductor device having overload current carrying capability
US9419129B2 (en) 2009-10-21 2016-08-16 Vishay-Siliconix Split gate semiconductor device with curved gate oxide profile
JP2016149502A (en) * 2015-02-13 2016-08-18 ローム株式会社 Semiconductor device and semiconductor module
US9577089B2 (en) 2010-03-02 2017-02-21 Vishay-Siliconix Structures and methods of fabricating dual gate devices
JP6233539B1 (en) * 2016-12-21 2017-11-22 富士電機株式会社 Semiconductor device and manufacturing method of semiconductor device
US9842911B2 (en) 2012-05-30 2017-12-12 Vishay-Siliconix Adaptive charge balanced edge termination
JP2017228761A (en) * 2016-06-16 2017-12-28 富士電機株式会社 Semiconductor device and manufacturing method
US9882044B2 (en) 2014-08-19 2018-01-30 Vishay-Siliconix Edge termination for super-junction MOSFETs
US9887259B2 (en) 2014-06-23 2018-02-06 Vishay-Siliconix Modulated super junction power MOSFET devices
KR101834047B1 (en) * 2014-11-25 2018-03-02 인피니언 테크놀로지스 아게 Vertically integrated semiconductor device and manufacturing method
WO2018078776A1 (en) * 2016-10-27 2018-05-03 サンケン電気株式会社 Semiconductor device
JPWO2017187856A1 (en) * 2016-04-27 2018-05-10 三菱電機株式会社 Semiconductor device
WO2018117238A1 (en) * 2016-12-21 2018-06-28 株式会社デンソー Semiconductor device
US10234486B2 (en) 2014-08-19 2019-03-19 Vishay/Siliconix Vertical sense devices in vertical trench MOSFET
JP2020013916A (en) * 2018-07-19 2020-01-23 国立研究開発法人産業技術総合研究所 Semiconductor device
US10566452B2 (en) 2018-03-19 2020-02-18 Kabushiki Kaisha Toshiba Semiconductor device and control device
JP2020507211A (en) * 2017-07-03 2020-03-05 無錫華潤上華科技有限公司Csmc Technologies Fab2 Co., Ltd. Gate structure of semiconductor device and manufacturing method
KR20200026252A (en) 2017-07-19 2020-03-10 글로벌웨어퍼스 재팬 가부시키가이샤 Method for manufacturing three-dimensional structure, method for manufacturing vertical transistor, wafer for vertical transistor and substrate for vertical transistor
US10707343B2 (en) 2016-03-31 2020-07-07 Shindengen Electric Manufacturing Co., Ltd. Method of manufacturing semiconductor device and semiconductor device
JP2020129646A (en) * 2019-02-12 2020-08-27 株式会社東芝 Semiconductor device
US10825909B2 (en) 2016-03-31 2020-11-03 Shindengen Electric Manufacturing Co., Ltd. Method of manufacturing semiconductor device and semiconductor device
CN113224161A (en) * 2020-02-05 2021-08-06 株式会社东芝 Semiconductor device with a plurality of semiconductor chips
KR20210102816A (en) * 2020-02-11 2021-08-20 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device with cut metal gate and method of manufacturing
US11218144B2 (en) 2019-09-12 2022-01-04 Vishay-Siliconix, LLC Semiconductor device with multiple independent gates
US11217541B2 (en) 2019-05-08 2022-01-04 Vishay-Siliconix, LLC Transistors with electrically active chip seal ring and methods of manufacture
WO2022162894A1 (en) * 2021-01-29 2022-08-04 サンケン電気株式会社 Semiconductor device
US11489070B2 (en) 2020-03-12 2022-11-01 Kabushiki Kaisha Toshiba Semiconductor device
US11495666B2 (en) 2020-04-15 2022-11-08 Kabushiki Kaisha Toshiba Semiconductor device
JP2023502811A (en) * 2020-10-20 2023-01-26 蘇州東微半導体股▲ふん▼有限公司 Semiconductor power device manufacturing method
US11646368B2 (en) 2020-07-22 2023-05-09 Kabushiki Kaisha Toshiba Semiconductor device
US11742403B2 (en) 2021-03-10 2023-08-29 Kabushiki Kaisha Toshiba Semiconductor device
US11817476B2 (en) 2020-08-19 2023-11-14 Kabushiki Kaisha Toshiba Semiconductor device
US11837637B2 (en) 2021-01-20 2023-12-05 Kabushiki Kaisha Toshiba Semiconductor device having multiple conductive members

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6838722B2 (en) 2002-03-22 2005-01-04 Siliconix Incorporated Structures of and methods of fabricating trench-gated MIS devices
US7638841B2 (en) 2003-05-20 2009-12-29 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
JP5135663B2 (en) * 2004-10-21 2013-02-06 富士電機株式会社 Semiconductor device and manufacturing method thereof
US7453119B2 (en) 2005-02-11 2008-11-18 Alphs & Omega Semiconductor, Ltd. Shielded gate trench (SGT) MOSFET cells implemented with a schottky source contact
AT504290A2 (en) * 2005-06-10 2008-04-15 Fairchild Semiconductor FIELD EFFECT TRANSISTOR WITH LOAD BALANCE
US8461648B2 (en) 2005-07-27 2013-06-11 Infineon Technologies Austria Ag Semiconductor component with a drift region and a drift control region
EP2267785A3 (en) * 2005-07-27 2011-09-07 Infineon Technologies Austria AG Semiconductor component with a drift region and with a drift control region
US8110868B2 (en) 2005-07-27 2012-02-07 Infineon Technologies Austria Ag Power semiconductor component with a low on-state resistance
DE102006002065B4 (en) 2006-01-16 2007-11-29 Infineon Technologies Austria Ag Compensation component with reduced and adjustable on-resistance
US7595542B2 (en) * 2006-03-13 2009-09-29 Fairchild Semiconductor Corporation Periphery design for charge balance power devices
DE102006026943B4 (en) 2006-06-09 2011-01-05 Infineon Technologies Austria Ag By field effect controllable trench transistor with two control electrodes
US8432012B2 (en) * 2006-08-01 2013-04-30 Cree, Inc. Semiconductor devices including schottky diodes having overlapping doped regions and methods of fabricating same
US7544571B2 (en) * 2006-09-20 2009-06-09 Fairchild Semiconductor Corporation Trench gate FET with self-aligned features
JP2008153620A (en) * 2006-11-21 2008-07-03 Toshiba Corp Semiconductor device
US9437729B2 (en) 2007-01-08 2016-09-06 Vishay-Siliconix High-density power MOSFET with planarized metalization
US9947770B2 (en) 2007-04-03 2018-04-17 Vishay-Siliconix Self-aligned trench MOSFET and method of manufacture
DE102007020657B4 (en) * 2007-04-30 2012-10-04 Infineon Technologies Austria Ag Semiconductor device with a semiconductor body and method for producing the same
KR100847642B1 (en) * 2007-08-10 2008-07-21 주식회사 동부하이텍 Photo key treatment method for preventing particle
US8497549B2 (en) * 2007-08-21 2013-07-30 Fairchild Semiconductor Corporation Method and structure for shielded gate trench FET
US9484451B2 (en) 2007-10-05 2016-11-01 Vishay-Siliconix MOSFET active area and edge termination area charge balance
US8357971B2 (en) 2007-10-29 2013-01-22 Nxp B.V. Trench gate MOSFET and method of manufacturing the same
JP2009164558A (en) * 2007-12-10 2009-07-23 Toyota Central R&D Labs Inc Semiconductor device and method of manufacturing the device, and method of manufacturing trench gate
US7833862B2 (en) 2008-03-03 2010-11-16 Infineon Technologies Austria Ag Semiconductor device and method for forming same
US7952166B2 (en) * 2008-05-22 2011-05-31 Infineon Technologies Austria Ag Semiconductor device with switch electrode and gate electrode and method for switching a semiconductor device
US7786600B2 (en) 2008-06-30 2010-08-31 Hynix Semiconductor Inc. Circuit substrate having circuit wire formed of conductive polarization particles, method of manufacturing the circuit substrate and semiconductor package having the circuit wire
US7936009B2 (en) * 2008-07-09 2011-05-03 Fairchild Semiconductor Corporation Shielded gate trench FET with an inter-electrode dielectric having a low-k dielectric therein
WO2010025083A1 (en) 2008-08-28 2010-03-04 Memc Electronic Materials, Inc. Bulk silicon wafer product useful in the manufacture of three dimensional multigate mosfets
TWI414019B (en) * 2008-09-11 2013-11-01 He Jian Technology Suzhou Co Ltd Method for fabricating a gate oxide layer
US7915672B2 (en) * 2008-11-14 2011-03-29 Semiconductor Components Industries, L.L.C. Semiconductor device having trench shield electrode structure
US8158456B2 (en) * 2008-12-05 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming stacked dies
US8174067B2 (en) 2008-12-08 2012-05-08 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
US8304829B2 (en) * 2008-12-08 2012-11-06 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
JP5588671B2 (en) 2008-12-25 2014-09-10 ローム株式会社 Manufacturing method of semiconductor device
JP5588670B2 (en) * 2008-12-25 2014-09-10 ローム株式会社 Semiconductor device
US8148749B2 (en) * 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
DE112010000882B4 (en) 2009-02-24 2015-03-19 Mitsubishi Electric Corporation The silicon carbide semiconductor device
US7989885B2 (en) * 2009-02-26 2011-08-02 Infineon Technologies Austria Ag Semiconductor device having means for diverting short circuit current arranged in trench and method for producing same
WO2010111825A1 (en) * 2009-03-30 2010-10-07 Hong Kong Applied Science And Technology Research Institute Co., Ltd. Electronic package and method of fabrication thereof
US7952141B2 (en) * 2009-07-24 2011-05-31 Fairchild Semiconductor Corporation Shield contacts in a shielded gate MOSFET
JP5402395B2 (en) * 2009-08-21 2014-01-29 オムロン株式会社 Static induction generator
US9443974B2 (en) * 2009-08-27 2016-09-13 Vishay-Siliconix Super junction trench power MOSFET device fabrication
US9425306B2 (en) * 2009-08-27 2016-08-23 Vishay-Siliconix Super junction trench power MOSFET devices
WO2011024549A1 (en) * 2009-08-31 2011-03-03 日本電気株式会社 Semiconductor device and field effect transistor
CN102024494B (en) * 2009-09-11 2014-01-08 中芯国际集成电路制造(上海)有限公司 Green transistor, resistive random access memory and drive method thereof
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) * 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
CN102790091B (en) * 2009-10-20 2015-04-01 中芯国际集成电路制造(上海)有限公司 Green transistor, nanometer silicon FeRAM and driving method thereof
US8421196B2 (en) 2009-11-25 2013-04-16 Infineon Technologies Austria Ag Semiconductor device and manufacturing method
US8174070B2 (en) 2009-12-02 2012-05-08 Alpha And Omega Semiconductor Incorporated Dual channel trench LDMOS transistors and BCD process with deep trench isolation
CN102130006B (en) * 2010-01-20 2013-12-18 上海华虹Nec电子有限公司 Method for preparing groove-type double-layer gate power metal oxide semiconductor (MOS) transistor
CN104377238B (en) * 2010-03-05 2017-04-12 万国半导体股份有限公司 Configurations and methods for manufacturing devices with trench-oxide-nano-tube super-junctions
TWI407531B (en) * 2010-03-05 2013-09-01 Great Power Semiconductor Corp Power semiconductor structure with schottky diode and fabrication method thereof
US9117739B2 (en) 2010-03-08 2015-08-25 Cree, Inc. Semiconductor devices with heterojunction barrier regions and methods of fabricating same
US8367501B2 (en) * 2010-03-24 2013-02-05 Alpha & Omega Semiconductor, Inc. Oxide terminated trench MOSFET with three or four masks
TWI419237B (en) * 2010-04-27 2013-12-11 Great Power Semiconductor Corp Fabrication method of power semiconductor structure with reduced gate impenance
CN102254944A (en) * 2010-05-21 2011-11-23 上海新进半导体制造有限公司 Power metal oxide semiconductor field effect transistor (MOSFET) power rectification device and manufacturing method
US8319282B2 (en) 2010-07-09 2012-11-27 Infineon Technologies Austria Ag High-voltage bipolar transistor with trench field plate
CN102376758B (en) * 2010-08-12 2014-02-26 上海华虹宏力半导体制造有限公司 Insulated gate bipolar transistor, manufacturing method thereof and trench gate structure manufacturing method
EP2421046A1 (en) * 2010-08-16 2012-02-22 Nxp B.V. MOSFET having a capacitance control region
CN102386182B (en) * 2010-08-27 2014-11-05 万国半导体股份有限公司 Device and method for integration of sense FET into discrete power MOSFET
JP2012060063A (en) 2010-09-13 2012-03-22 Toshiba Corp Semiconductor device and method of manufacturing the same
US8580667B2 (en) 2010-12-14 2013-11-12 Alpha And Omega Semiconductor Incorporated Self aligned trench MOSFET with integrated diode
TWI414069B (en) * 2011-01-05 2013-11-01 Anpec Electronics Corp Power transistor with low interface of low Miller capacitor and its making method
US8313995B2 (en) * 2011-01-13 2012-11-20 Infineon Technologies Austria Ag Method for manufacturing a semiconductor device
JP2012204636A (en) * 2011-03-25 2012-10-22 Toshiba Corp Semiconductor device and manufacturing method of the same
TW201240087A (en) * 2011-03-30 2012-10-01 Anpec Electronics Corp Power device with boundary trench structure
US8823089B2 (en) * 2011-04-15 2014-09-02 Infineon Technologies Ag SiC semiconductor power device
DE112012001986B4 (en) * 2011-05-05 2021-05-27 Abb Schweiz Ag Bipolar punch-through semiconductor component and method for producing such a semiconductor component
US8884340B2 (en) 2011-05-25 2014-11-11 Samsung Electronics Co., Ltd. Semiconductor devices including dual gate electrode structures and related methods
CN102916043B (en) * 2011-08-03 2015-07-22 中国科学院微电子研究所 MOS-HEMT (Metal-oxide-semiconductor High-electron-mobility Transistor) device and manufacturing method thereof
US8981748B2 (en) * 2011-08-08 2015-03-17 Semiconductor Components Industries, Llc Method of forming a semiconductor power switching device, structure therefor, and power converter
CN102956640A (en) * 2011-08-22 2013-03-06 大中积体电路股份有限公司 Double-conduction semiconductor component and manufacturing method thereof
JP2013058575A (en) * 2011-09-07 2013-03-28 Toshiba Corp Semiconductor device and manufacturing method of the same
US8680587B2 (en) 2011-09-11 2014-03-25 Cree, Inc. Schottky diode
US9184255B2 (en) * 2011-09-30 2015-11-10 Infineon Technologies Austria Ag Diode with controllable breakdown voltage
US8659126B2 (en) * 2011-12-07 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit ground shielding structure
JP2013115225A (en) * 2011-11-29 2013-06-10 Toshiba Corp Power semiconductor device and method of manufacturing the same
JP5720582B2 (en) 2012-01-12 2015-05-20 トヨタ自動車株式会社 Switching element
US9082746B2 (en) * 2012-01-16 2015-07-14 Infineon Technologies Austria Ag Method for forming self-aligned trench contacts of semiconductor components and a semiconductor component
CN104106142B (en) * 2012-02-10 2016-03-09 松下知识产权经营株式会社 Semiconductor device and manufacture method thereof
US9159786B2 (en) * 2012-02-20 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Dual gate lateral MOSFET
US8866222B2 (en) 2012-03-07 2014-10-21 Infineon Technologies Austria Ag Charge compensation semiconductor device
CN103378159B (en) * 2012-04-20 2016-08-03 英飞凌科技奥地利有限公司 There is transistor unit and the manufacture method of MOSFET
CN103377922B (en) * 2012-04-23 2015-12-16 中芯国际集成电路制造(上海)有限公司 A kind of fin formula field effect transistor and forming method thereof
DE112013002267T5 (en) * 2012-04-30 2015-03-12 Vishay-Siliconix Semiconductor device
US8884369B2 (en) * 2012-06-01 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical power MOSFET and methods of forming the same
US8680614B2 (en) * 2012-06-12 2014-03-25 Monolithic Power Systems, Inc. Split trench-gate MOSFET with integrated Schottky diode
ITMI20121123A1 (en) * 2012-06-26 2013-12-27 St Microelectronics Srl MOS VERTICAL GATE TRANSISTOR WITH FIELD ARMATURE ACCESS
US9293376B2 (en) 2012-07-11 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for power MOS transistor
CN104241341A (en) * 2012-07-27 2014-12-24 俞国庆 High-frequency low-power dissipation power MOS field-effect tube device
JP2014027182A (en) * 2012-07-27 2014-02-06 Toshiba Corp Semiconductor device
US9059256B2 (en) * 2012-09-13 2015-06-16 Infineon Technologies Ag Method for producing a controllable semiconductor component
JP5802636B2 (en) * 2012-09-18 2015-10-28 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2014099484A (en) * 2012-11-13 2014-05-29 Toshiba Corp Semiconductor device
CN103855047B (en) * 2012-12-04 2016-10-26 上海华虹宏力半导体制造有限公司 The physical analysis structure of deep trench product and method
CN103011550B (en) * 2013-01-16 2013-11-13 四川亿思通科技工程有限公司 Sludge freeze thawing dewatering treating system and treating method using system
KR101392587B1 (en) * 2013-02-19 2014-05-27 주식회사 동부하이텍 High voltage electro-static discharge protection device
KR102011933B1 (en) * 2013-03-06 2019-08-20 삼성전자 주식회사 Method for fabricating nonvolatile memory device
JP5784665B2 (en) 2013-03-22 2015-09-24 株式会社東芝 Manufacturing method of semiconductor device
JP2014187141A (en) 2013-03-22 2014-10-02 Toshiba Corp Semiconductor device
US20140306284A1 (en) * 2013-04-12 2014-10-16 Infineon Technologies Austria Ag Semiconductor Device and Method for Producing the Same
JP2014216572A (en) 2013-04-26 2014-11-17 株式会社東芝 Semiconductor device
TWI514578B (en) * 2013-06-21 2015-12-21 Chip Integration Tech Co Ltd Structure of dual trench rectifier and method of forming the same
TWI511293B (en) * 2013-06-24 2015-12-01 Chip Integration Tech Co Ltd Structure of dualtrench mos transistor and method of forming the same
US9112022B2 (en) * 2013-07-31 2015-08-18 Infineon Technologies Austria Ag Super junction structure having a thickness of first and second semiconductor regions which gradually changes from a transistor area into a termination area
CN104347376B (en) * 2013-08-05 2017-04-26 台湾茂矽电子股份有限公司 Method for forming shielding grid in metaloxide-semiconductor field-effect transistor
KR102036386B1 (en) * 2013-08-20 2019-10-25 한국전력공사 Geological resource monitoring method using electrical resistivity
JP6197995B2 (en) * 2013-08-23 2017-09-20 富士電機株式会社 Wide band gap insulated gate semiconductor device
JP2015056492A (en) * 2013-09-11 2015-03-23 株式会社東芝 Semiconductor device
CN104465603A (en) 2013-09-23 2015-03-25 台达电子企业管理(上海)有限公司 Power module
US9525058B2 (en) * 2013-10-30 2016-12-20 Infineon Technologies Austria Ag Integrated circuit and method of manufacturing an integrated circuit
CN104282750B (en) * 2013-11-20 2017-07-21 沈阳工业大学 The major-minor discrete control U-shaped raceway groove non-impurity-doped field-effect transistor of grid
CN104282751B (en) * 2013-11-20 2017-07-21 沈阳工业大学 High integration high mobility source and drain grid auxiliary control type nodeless mesh body pipe
CN103887286A (en) * 2013-11-29 2014-06-25 杭州恩能科技有限公司 Semiconductor device with improved surge current resistance
JP6226786B2 (en) 2014-03-19 2017-11-08 三菱電機株式会社 Semiconductor device and manufacturing method thereof
DE102014106825B4 (en) 2014-05-14 2019-06-27 Infineon Technologies Ag Semiconductor device
TWI555208B (en) * 2014-05-20 2016-10-21 力祥半導體股份有限公司 Power semiconductor device of stripe cell geometry
CN105097570B (en) * 2014-05-21 2017-12-19 北大方正集团有限公司 Manufacturing method of passivation layer and high-voltage semi-conductor power device
CN105448893B (en) * 2014-06-30 2017-12-15 苏州远创达科技有限公司 ESD-protection structure and semiconductor devices in a kind of semiconductor devices
DE102014109926A1 (en) * 2014-07-15 2016-01-21 Infineon Technologies Austria Ag A semiconductor device having a plurality of transistor cells and manufacturing methods
KR101621151B1 (en) 2014-07-21 2016-05-13 주식회사 케이이씨 Power Rectifier Device
KR101621150B1 (en) 2014-07-21 2016-05-13 주식회사 케이이씨 Power Rectifier Device
DE102014112338A1 (en) 2014-08-28 2016-03-03 Infineon Technologies Austria Ag Semiconductor device and method for manufacturing a semiconductor device
US9443973B2 (en) * 2014-11-26 2016-09-13 Infineon Technologies Austria Ag Semiconductor device with charge compensation region underneath gate trench
WO2016132551A1 (en) * 2015-02-20 2016-08-25 新電元工業株式会社 Semiconductor device
JP2016167519A (en) * 2015-03-09 2016-09-15 株式会社東芝 Semiconductor device
DE102015204315B4 (en) * 2015-03-11 2018-06-28 Infineon Technologies Ag Sensor for a semiconductor device
CN106033781A (en) * 2015-03-16 2016-10-19 中航(重庆)微电子有限公司 Schottky barrier diode and preparation method for the same
CN104733535A (en) * 2015-03-17 2015-06-24 北京中科新微特科技开发股份有限公司 Power MOSFET
JP2016181617A (en) 2015-03-24 2016-10-13 株式会社デンソー Semiconductor device
JP2016181618A (en) 2015-03-24 2016-10-13 株式会社デンソー Semiconductor device
DE102015105758A1 (en) * 2015-04-15 2016-10-20 Infineon Technologies Ag SEMICONDUCTOR COMPONENT AND MANUFACTURING METHOD
US9299830B1 (en) * 2015-05-07 2016-03-29 Texas Instruments Incorporated Multiple shielding trench gate fet
TWI555163B (en) * 2015-07-22 2016-10-21 新唐科技股份有限公司 Semiconductor structure
JP6512025B2 (en) * 2015-08-11 2019-05-15 富士電機株式会社 Semiconductor device and method of manufacturing semiconductor device
JP6696166B2 (en) * 2015-08-19 2020-05-20 富士電機株式会社 Semiconductor device and manufacturing method
KR102404114B1 (en) 2015-08-20 2022-05-30 온세미컨덕터코리아 주식회사 Superjunction semiconductor device and method of manufacturing the same
JP6666671B2 (en) * 2015-08-24 2020-03-18 ローム株式会社 Semiconductor device
EP3142149A1 (en) * 2015-09-11 2017-03-15 Nexperia B.V. A semiconductor device and a method of making a semiconductor device
US9806186B2 (en) * 2015-10-02 2017-10-31 D3 Semiconductor LLC Termination region architecture for vertical power transistors
DE102015221376A1 (en) * 2015-11-02 2017-05-04 Robert Bosch Gmbh Semiconductor component and method for producing a semiconductor device and control device for a vehicle
JP2017107895A (en) * 2015-12-07 2017-06-15 サンケン電気株式会社 Semiconductor device
DE102015121566B4 (en) 2015-12-10 2021-12-09 Infineon Technologies Ag Semiconductor components and a circuit for controlling a field effect transistor of a semiconductor component
DE102015121563B4 (en) 2015-12-10 2023-03-02 Infineon Technologies Ag Semiconductor devices and a method of forming a semiconductor device
DE102015224965A1 (en) * 2015-12-11 2017-06-14 Robert Bosch Gmbh Area-optimized transistor with superlattice structures
CN105428241B (en) * 2015-12-25 2018-04-17 上海华虹宏力半导体制造有限公司 The manufacture method of trench-gate power devices with shield grid
DE102015122938B4 (en) 2015-12-30 2021-11-11 Infineon Technologies Austria Ag TRANSISTOR WITH FIELD ELECTRODE AND METHOD FOR MANUFACTURING IT
US9691864B1 (en) * 2016-05-13 2017-06-27 Infineon Technologies Americas Corp. Semiconductor device having a cavity and method for manufacturing thereof
TWI577040B (en) * 2016-05-19 2017-04-01 國立中山大學 Method for monolithic manufacturing of serially connected photovoltaic devices
JP6649183B2 (en) 2016-05-30 2020-02-19 株式会社東芝 Semiconductor device
WO2017214627A1 (en) * 2016-06-10 2017-12-14 Maxpower Semiconductor, Inc. Fabrication of trench-gated wide-bandgap devices
CN107564814B (en) * 2016-06-30 2020-11-10 株洲中车时代半导体有限公司 Method for manufacturing power semiconductor
CN106129113B (en) * 2016-07-11 2019-06-14 中国科学院微电子研究所 A kind of vertical DMOS field effect transistor
TWI693713B (en) * 2016-07-22 2020-05-11 立積電子股份有限公司 Semiconductor structure
US9972540B2 (en) 2016-08-07 2018-05-15 International Business Machines Corporation Semiconductor device having multiple thickness oxides
US10529799B2 (en) 2016-08-08 2020-01-07 Mitsubishi Electric Corporation Semiconductor device
CN107785263B (en) * 2016-08-26 2020-09-04 台湾半导体股份有限公司 Field effect transistor with multiple width electrode structure and its manufacturing method
CN107785426B (en) * 2016-08-31 2020-01-31 无锡华润上华科技有限公司 kinds of semiconductor devices and their manufacture
CN107785273B (en) * 2016-08-31 2020-03-13 无锡华润上华科技有限公司 Semiconductor device and method for manufacturing the same
JP6669628B2 (en) * 2016-10-20 2020-03-18 トヨタ自動車株式会社 Switching element
JP6659516B2 (en) * 2016-10-20 2020-03-04 トヨタ自動車株式会社 Semiconductor device
CN107039298B (en) * 2016-11-04 2019-12-24 厦门市三安光电科技有限公司 Transfer device, transfer method, manufacturing method, device and electronic apparatus for micro-component
US9812535B1 (en) * 2016-11-29 2017-11-07 Infineon Technologies Austria Ag Method for manufacturing a semiconductor device and power semiconductor device
KR102335489B1 (en) * 2016-12-13 2021-12-03 현대자동차 주식회사 Semiconductor device and method manufacturing the same
JP6996082B2 (en) * 2016-12-22 2022-01-17 富士電機株式会社 Semiconductor devices and methods for manufacturing semiconductor devices
DE102017012262B9 (en) 2017-01-27 2021-10-21 Infineon Technologies Austria Ag A semiconductor component having a buried insulation layer, an insulation structure and a connection structure as well as a method for its production
US10163900B2 (en) 2017-02-08 2018-12-25 Globalfoundries Inc. Integration of vertical field-effect transistors and saddle fin-type field effect transistors
US10211333B2 (en) * 2017-04-26 2019-02-19 Alpha And Omega Semiconductor (Cayman) Ltd. Scalable SGT structure with improved FOM
US10236340B2 (en) 2017-04-28 2019-03-19 Semiconductor Components Industries, Llc Termination implant enrichment for shielded gate MOSFETs
US10374076B2 (en) 2017-06-30 2019-08-06 Semiconductor Components Industries, Llc Shield indent trench termination for shielded gate MOSFETs
CN109216452B (en) * 2017-07-03 2021-11-05 无锡华润上华科技有限公司 Groove type power device and preparation method thereof
CN109216432A (en) * 2017-07-03 2019-01-15 无锡华润上华科技有限公司 Slot type power device and preparation method thereof
JP6820811B2 (en) * 2017-08-08 2021-01-27 三菱電機株式会社 Semiconductor devices and power converters
KR101960077B1 (en) * 2017-08-30 2019-03-21 파워큐브세미(주) SiC trench gate MOSFET with a floating shield and method of fabricating the same
TWI695418B (en) * 2017-09-22 2020-06-01 新唐科技股份有限公司 Semiconductor device and method of manufacturing the same
JP2019068592A (en) 2017-09-29 2019-04-25 トヨタ自動車株式会社 Electric power conversion device
TWI737855B (en) * 2017-11-15 2021-09-01 力智電子股份有限公司 Power transistor and manufacturing method thereof
CN108010847B (en) * 2017-11-30 2020-09-25 上海华虹宏力半导体制造有限公司 Shielded gate trench MOSFET and method of making same
US10777465B2 (en) 2018-01-11 2020-09-15 Globalfoundries Inc. Integration of vertical-transport transistors and planar transistors
CN108172622A (en) * 2018-01-30 2018-06-15 电子科技大学 Power semiconductor
CN108447911B (en) * 2018-03-09 2021-07-27 香港商莫斯飞特半导体股份有限公司 Deep and shallow groove semiconductor power device and preparation method thereof
CN109037337A (en) * 2018-06-28 2018-12-18 华为技术有限公司 A kind of power semiconductor and manufacturing method
CN109119476A (en) * 2018-08-23 2019-01-01 电子科技大学 Separate gate VDMOS device and its manufacturing method with internal field plate
CN109326639B (en) * 2018-08-23 2021-11-23 电子科技大学 Split-gate VDMOS device with internal field plate and manufacturing method thereof
DE102018124737A1 (en) * 2018-10-08 2020-04-09 Infineon Technologies Ag SEMICONDUCTOR COMPONENT WITH A SIC SEMICONDUCTOR BODY AND METHOD FOR PRODUCING A SEMICONDUCTOR COMPONENT
KR102100863B1 (en) * 2018-12-06 2020-04-14 현대오트론 주식회사 SiC MOSFET power semiconductor device
US11348997B2 (en) 2018-12-17 2022-05-31 Vanguard International Semiconductor Corporation Semiconductor devices and methods for fabricating the same
CN111384149B (en) * 2018-12-29 2021-05-14 比亚迪半导体股份有限公司 Groove type IGBT and preparation method thereof
US20220005947A1 (en) * 2019-01-08 2022-01-06 Mitsubishi Electric Corporation Semiconductor device
CN109767980B (en) * 2019-01-22 2021-07-30 上海华虹宏力半导体制造有限公司 Super junction and manufacturing method thereof, and deep trench manufacturing method of super junction
TWI823892B (en) * 2019-01-24 2023-12-01 世界先進積體電路股份有限公司 Semiconductor devices and methods for fabricating the same
JP7077251B2 (en) * 2019-02-25 2022-05-30 株式会社東芝 Semiconductor device
US11521967B2 (en) 2019-06-28 2022-12-06 Stmicroelectronics International N.V. Multi-finger devices with reduced parasitic capacitance
DE102019210285B4 (en) 2019-07-11 2023-09-28 Infineon Technologies Ag Creating a buried cavity in a semiconductor substrate
JP2021044517A (en) * 2019-09-13 2021-03-18 株式会社東芝 Semiconductor device, method of manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator
CN110943132A (en) * 2019-12-17 2020-03-31 华羿微电子股份有限公司 Low-capacitance groove type VDMOS device and preparation method thereof
EP3863066A1 (en) * 2020-02-06 2021-08-11 Infineon Technologies Austria AG Transistor device and method of fabricating a gate of a transistor device
US11355602B2 (en) 2020-02-18 2022-06-07 Kabushiki Kaisha Toshiba Semiconductor device having multiple conductive parts
JP7387501B2 (en) 2020-03-18 2023-11-28 株式会社東芝 Semiconductor device and its control method
CN111883515A (en) * 2020-07-16 2020-11-03 上海华虹宏力半导体制造有限公司 Trench gate device and manufacturing method thereof
KR102382846B1 (en) * 2020-08-28 2022-04-05 부산대학교 산학협력단 METHOD AND DEVICE FOR SELF-ALIGNED PROCESSING TO REDUCE A CRITICAL DIMENSION OF SiC TRENCH GATE MOSFET STRUCTURE
KR102413641B1 (en) 2020-11-27 2022-06-27 주식회사 예스파워테크닉스 Trench power MOSFET and method of manufacturing the same
TWI801783B (en) * 2020-12-09 2023-05-11 大陸商上海瀚薪科技有限公司 Silicon carbide semiconductor components
KR102437528B1 (en) * 2020-12-22 2022-08-29 한국과학기술원 Schottky Barrier Diode passive device and methods of fabricating the same
CN112820648B (en) * 2020-12-31 2023-08-01 扬州扬杰电子科技股份有限公司 Gallium nitride metal oxide semiconductor transistor and preparation method thereof
US11387338B1 (en) 2021-01-22 2022-07-12 Applied Materials, Inc. Methods for forming planar metal-oxide-semiconductor field-effect transistors
CN113066865B (en) * 2021-03-15 2022-10-28 无锡新洁能股份有限公司 Semiconductor device for reducing switching loss and manufacturing method thereof
KR102444384B1 (en) * 2021-03-16 2022-09-19 주식회사 키파운드리 Trench power MOSFET and manufacturing method thereof
FR3121280B1 (en) * 2021-03-29 2023-12-22 Commissariat Energie Atomique VERTICAL STRUCTURE FIELD EFFECT TRANSISTOR
JP2022167237A (en) * 2021-04-22 2022-11-04 有限会社Mtec Semiconductor element manufacturing method and vertical mosfet element
CN113192842B (en) * 2021-05-19 2023-05-09 厦门中能微电子有限公司 CoolMOS device manufacturing method
JP2023027863A (en) 2021-08-18 2023-03-03 株式会社東芝 Semiconductor device and method for manufacturing the same
CN113707713B (en) * 2021-08-31 2023-06-30 西安电子科技大学 Multi-stage petal-shaped body region metal oxide semiconductor power device and manufacturing method thereof
EP4152408A1 (en) * 2021-09-21 2023-03-22 Infineon Technologies Austria AG Semiconductor die comprising a device
DE102021125271A1 (en) 2021-09-29 2023-03-30 Infineon Technologies Ag Power semiconductor device Method of manufacturing a power semiconductor device
CN113990921B (en) * 2021-10-18 2023-12-08 深圳市威兆半导体股份有限公司 Semiconductor vertical device and method for producing the same
KR102635228B1 (en) * 2021-12-28 2024-02-13 파워큐브세미 (주) Flat power module with insulation distance between pins
CN114334823A (en) * 2021-12-31 2022-04-12 上海晶岳电子有限公司 SGT device for improving wafer warping and manufacturing method thereof
CN114068531B (en) * 2022-01-17 2022-03-29 深圳市威兆半导体有限公司 Voltage sampling structure based on SGT-MOSFET
CN114496995B (en) * 2022-04-18 2022-06-17 深圳市威兆半导体有限公司 Shielding gate device with temperature sampling function
EP4345908A1 (en) * 2022-09-28 2024-04-03 Nexperia B.V. Semiconductor device and method of forming a semiconductor device
WO2024083312A1 (en) * 2022-10-18 2024-04-25 Huawei Digital Power Technologies Co., Ltd. Power mosfet device
CN115799340B (en) * 2023-01-09 2023-05-12 无锡先瞳半导体科技有限公司 Shielded gate field effect transistor
TWI832716B (en) * 2023-03-02 2024-02-11 鴻海精密工業股份有限公司 Method of manufacturing semiconductor device and semiconductor device
CN116313809B (en) * 2023-03-14 2024-02-23 深圳市至信微电子有限公司 Preparation method and application of groove type MOS field effect transistor
CN116093146B (en) * 2023-04-11 2024-02-20 江苏应能微电子股份有限公司 Sectional type separation gate SGT MOSFET structure
CN116388742B (en) * 2023-06-02 2023-08-29 东莞市长工微电子有限公司 Gate driving circuit and driving method of power semiconductor device
CN117352555B (en) * 2023-12-06 2024-04-09 无锡锡产微芯半导体有限公司 Integrated shielded gate trench MOSFET and preparation process thereof
CN117410346B (en) * 2023-12-14 2024-03-26 深圳市森国科科技股份有限公司 Trench gate silicon carbide MOSFET and manufacturing method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63296282A (en) * 1987-05-27 1988-12-02 Sony Corp Semiconductor device
JPH07111324A (en) * 1993-10-12 1995-04-25 Fuji Electric Co Ltd Insulated-gate type thyristor
WO2002031880A2 (en) * 2000-10-06 2002-04-18 General Semiconductor, Inc. Trench dmos transistor with embedded trench schottky rectifier
JP2002217415A (en) * 2000-12-07 2002-08-02 Internatl Rectifier Corp High-voltage perpendicular conductive superjunction semiconductor device
WO2003034470A2 (en) * 2001-10-17 2003-04-24 Fairchild Semiconductor Corporation Semiconductor structure with improved smaller forward voltage loss and higher blocking capability
JP2003243655A (en) * 2002-02-20 2003-08-29 Sanken Electric Co Ltd Insulated gate type transistor and method for manufacturing the same

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4541001A (en) * 1982-09-23 1985-09-10 Eaton Corporation Bidirectional power FET with substrate-referenced shield
JP2590863B2 (en) * 1987-03-12 1997-03-12 日本電装株式会社 Conduction modulation type MOSFET
JPS6459868A (en) * 1987-08-29 1989-03-07 Fuji Electric Co Ltd Semiconductor device having insulating gate
US5430324A (en) * 1992-07-23 1995-07-04 Siliconix, Incorporated High voltage transistor having edge termination utilizing trench technology
US5326711A (en) * 1993-01-04 1994-07-05 Texas Instruments Incorporated High performance high voltage vertical transistor and method of fabrication
JPH08264772A (en) * 1995-03-23 1996-10-11 Toyota Motor Corp Field-effect type semiconductor element
US6049108A (en) * 1995-06-02 2000-04-11 Siliconix Incorporated Trench-gated MOSFET with bidirectional voltage clamping
US6236099B1 (en) * 1996-04-22 2001-05-22 International Rectifier Corp. Trench MOS device and process for radhard device
JPH09331062A (en) * 1996-06-11 1997-12-22 Mitsubishi Electric Corp Semiconductor device and its manufacture
JPH1117000A (en) * 1997-06-27 1999-01-22 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US6037628A (en) * 1997-06-30 2000-03-14 Intersil Corporation Semiconductor structures with trench contacts
JP4061711B2 (en) * 1998-06-18 2008-03-19 株式会社デンソー MOS transistor and manufacturing method thereof
US5998833A (en) * 1998-10-26 1999-12-07 North Carolina State University Power semiconductor devices having improved high frequency switching and breakdown characteristics
US6462376B1 (en) * 1999-01-11 2002-10-08 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Power MOS element and method for producing the same
US6351018B1 (en) * 1999-02-26 2002-02-26 Fairchild Semiconductor Corporation Monolithically integrated trench MOSFET and Schottky diode
GB9917099D0 (en) * 1999-07-22 1999-09-22 Koninkl Philips Electronics Nv Cellular trench-gate field-effect transistors
US6376878B1 (en) * 2000-02-11 2002-04-23 Fairchild Semiconductor Corporation MOS-gated devices with alternating zones of conductivity
JP2001284584A (en) * 2000-03-30 2001-10-12 Toshiba Corp Semiconductor device and method of manufacturing the same
EP1170803A3 (en) * 2000-06-08 2002-10-09 Siliconix Incorporated Trench gate MOSFET and method of making the same
JP4528460B2 (en) * 2000-06-30 2010-08-18 株式会社東芝 Semiconductor element
DE10038177A1 (en) * 2000-08-04 2002-02-21 Infineon Technologies Ag Semiconductor switching element with two control electrodes which can be controlled by means of a field effect
JP4357753B2 (en) * 2001-01-26 2009-11-04 株式会社東芝 High voltage semiconductor device
US6683363B2 (en) * 2001-07-03 2004-01-27 Fairchild Semiconductor Corporation Trench structure for semiconductor devices
US6621107B2 (en) * 2001-08-23 2003-09-16 General Semiconductor, Inc. Trench DMOS transistor with embedded trench schottky rectifier
US6573558B2 (en) * 2001-09-07 2003-06-03 Power Integrations, Inc. High-voltage vertical transistor with a multi-layered extended drain structure
GB0122120D0 (en) * 2001-09-13 2001-10-31 Koninkl Philips Electronics Nv Edge termination in MOS transistors
JP4097417B2 (en) * 2001-10-26 2008-06-11 株式会社ルネサステクノロジ Semiconductor device
DE10153315B4 (en) * 2001-10-29 2004-05-19 Infineon Technologies Ag Semiconductor device
US6841825B2 (en) * 2002-06-05 2005-01-11 Shindengen Electric Manufacturing Co., Ltd. Semiconductor device
WO2005065385A2 (en) * 2003-12-30 2005-07-21 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63296282A (en) * 1987-05-27 1988-12-02 Sony Corp Semiconductor device
JPH07111324A (en) * 1993-10-12 1995-04-25 Fuji Electric Co Ltd Insulated-gate type thyristor
WO2002031880A2 (en) * 2000-10-06 2002-04-18 General Semiconductor, Inc. Trench dmos transistor with embedded trench schottky rectifier
JP2004511910A (en) * 2000-10-06 2004-04-15 ゼネラル セミコンダクター,インク. Trench double diffused metal oxide semiconductor transistor incorporating trench Schottky rectifier
JP2002217415A (en) * 2000-12-07 2002-08-02 Internatl Rectifier Corp High-voltage perpendicular conductive superjunction semiconductor device
WO2003034470A2 (en) * 2001-10-17 2003-04-24 Fairchild Semiconductor Corporation Semiconductor structure with improved smaller forward voltage loss and higher blocking capability
JP2005507160A (en) * 2001-10-17 2005-03-10 フェアチャイルド セミコンダクター コーポレイション Semiconductor device, field effect transistor, and method for forming the same
JP2003243655A (en) * 2002-02-20 2003-08-29 Sanken Electric Co Ltd Insulated gate type transistor and method for manufacturing the same

Cited By (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008227514A (en) * 2003-12-30 2008-09-25 Fairchild Semiconductor Corp Power semiconductor device and its production process
JP2012054592A (en) * 2004-04-30 2012-03-15 Siliconix Inc Super trench mosfet including embedded source electrode and method of manufacturing the same
JP2006324570A (en) * 2005-05-20 2006-11-30 Renesas Technology Corp Semiconductor device and its manufacturing method
JP2006351985A (en) * 2005-06-20 2006-12-28 Toshiba Corp Semiconductor device
JP2009531836A (en) * 2006-03-24 2009-09-03 フェアチャイルド・セミコンダクター・コーポレーション High density trench provided in integrated Schottky diode and method of manufacturing the same
JPWO2009001529A1 (en) * 2007-06-22 2010-08-26 パナソニック株式会社 Plasma display panel driving apparatus and plasma display
JP2009016571A (en) * 2007-07-04 2009-01-22 Rohm Co Ltd Semiconductor device
JP2009182113A (en) * 2008-01-30 2009-08-13 Renesas Technology Corp Semiconductor device, and manufacturing method of the same
US8618594B2 (en) 2008-01-30 2013-12-31 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
JP5327226B2 (en) * 2008-10-14 2013-10-30 三菱電機株式会社 Power device
JP2010129973A (en) * 2008-12-01 2010-06-10 Toyota Motor Corp Semiconductor device
JP2011029393A (en) * 2009-07-24 2011-02-10 Sanken Electric Co Ltd Semiconductor device
US8330233B2 (en) 2009-07-24 2012-12-11 Sanken Electric Co., Ltd. Semiconductor device
JP2013508980A (en) * 2009-10-20 2013-03-07 ヴィシェイ−シリコニックス Split gate field effect transistor
US9425305B2 (en) 2009-10-20 2016-08-23 Vishay-Siliconix Structures of and methods of fabricating split gate MIS devices
US9893168B2 (en) 2009-10-21 2018-02-13 Vishay-Siliconix Split gate semiconductor device with curved gate oxide profile
US9419129B2 (en) 2009-10-21 2016-08-16 Vishay-Siliconix Split gate semiconductor device with curved gate oxide profile
US8502315B2 (en) 2009-12-09 2013-08-06 Infineon Technologies Austria Ag Semiconductor device with improved on-resistance
JP2014078741A (en) * 2009-12-09 2014-05-01 Infineon Technologies Austria Ag Semiconductor device with improved on-resistance value
JP2011124576A (en) * 2009-12-09 2011-06-23 Infineon Technologies Austria Ag Semiconductor device with improved on-resistance value
US8741736B2 (en) 2009-12-09 2014-06-03 Infineon Technologies Austria Ag Semiconductor device with improved on-resistance
JP2011181583A (en) * 2010-02-26 2011-09-15 Toshiba Corp Semiconductor device
US9577089B2 (en) 2010-03-02 2017-02-21 Vishay-Siliconix Structures and methods of fabricating dual gate devices
US10453953B2 (en) 2010-03-02 2019-10-22 Vishay-Siliconix Structures and methods of fabricating dual gate devices
JP2012064641A (en) * 2010-09-14 2012-03-29 Toshiba Corp Semiconductor device
US9263597B2 (en) 2010-10-29 2016-02-16 Robert Bosch Gmbh Semiconductor arrangement having a Schottky diode
JP2013541218A (en) * 2010-10-29 2013-11-07 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング Semiconductor device with Schottky diode
JP2012160706A (en) * 2011-01-12 2012-08-23 Denso Corp Semiconductor device
US9306044B2 (en) 2011-02-01 2016-04-05 Robert Bosch Gmbh Semiconductor configuration having reduced on-state resistance
JP2014508406A (en) * 2011-02-01 2014-04-03 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング Semiconductor device with reduced on-resistance
JP2012204395A (en) * 2011-03-23 2012-10-22 Toshiba Corp Semiconductor device and manufacturing method of the same
JP2012204529A (en) * 2011-03-24 2012-10-22 Toshiba Corp Semiconductor device and method of manufacturing the same
JP2014518017A (en) * 2011-05-18 2014-07-24 ビシャイ‐シリコニックス Semiconductor device
US11114559B2 (en) 2011-05-18 2021-09-07 Vishay-Siliconix, LLC Semiconductor device having reduced gate charges and superior figure of merit
JP2013026563A (en) * 2011-07-25 2013-02-04 Mitsubishi Electric Corp Silicon carbide semiconductor device
JP2013098316A (en) * 2011-10-31 2013-05-20 Mitsubishi Electric Corp Silicon carbide semiconductor device
JP2013135213A (en) * 2011-12-26 2013-07-08 Samsung Electro-Mechanics Co Ltd Semiconductor element and manufacturing method of the same
JP2013153056A (en) * 2012-01-25 2013-08-08 Renesas Electronics Corp Vertical type planar power mosfet manufacturing method and trench gate type power mosfet manufacturing method
KR101671728B1 (en) 2012-02-09 2016-11-03 비쉐이-실리코닉스 Mosfet termination trench
JP2015510696A (en) * 2012-02-09 2015-04-09 ビシャイ‐シリコニックスVishay−Siliconix MOSFET termination trench
KR20140123943A (en) * 2012-02-09 2014-10-23 비쉐이-실리코닉스 Mosfet termination trench
US9614043B2 (en) 2012-02-09 2017-04-04 Vishay-Siliconix MOSFET termination trench
US9935193B2 (en) 2012-02-09 2018-04-03 Siliconix Technology C. V. MOSFET termination trench
JP2013168611A (en) * 2012-02-17 2013-08-29 Kyushu Institute Of Technology Method of fabricating cmos and trench diode in same substrate
US10229988B2 (en) 2012-05-30 2019-03-12 Vishay-Siliconix Adaptive charge balanced edge termination
US9842911B2 (en) 2012-05-30 2017-12-12 Vishay-Siliconix Adaptive charge balanced edge termination
US8686495B1 (en) 2012-09-12 2014-04-01 Kabushiki Kaisha Toshiba Power semiconductor device
US9054154B2 (en) 2012-10-17 2015-06-09 Fuji Electric Co., Ltd. Semiconductor device
JP5867617B2 (en) * 2012-10-17 2016-02-24 富士電機株式会社 Semiconductor device
WO2014061619A1 (en) * 2012-10-17 2014-04-24 富士電機株式会社 Semiconductor device
JPWO2014061619A1 (en) * 2012-10-17 2016-09-05 富士電機株式会社 Semiconductor device
JP2016506082A (en) * 2012-12-31 2016-02-25 ヴィシェイ−シリコニックス Adaptive charge balancing MOSFET technique
US9853140B2 (en) 2012-12-31 2017-12-26 Vishay-Siliconix Adaptive charge balanced MOSFET techniques
KR101786278B1 (en) 2012-12-31 2017-10-17 비쉐이-실리코닉스 Adaptive charge balanced mosfet techniques
US10269911B2 (en) 2013-02-19 2019-04-23 Rohm Co., Ltd. Semiconductor device and method for manufacturing the same
US10580877B2 (en) 2013-02-19 2020-03-03 Rohm Co., Ltd. Semiconductor device and method for manufacturing the same
US11217674B2 (en) 2013-02-19 2022-01-04 Rohm Co., Ltd. Semiconductor device and method for manufacturing the same
US9812537B2 (en) 2013-02-19 2017-11-07 Rohm Co., Ltd. Semiconductor device and method for manufacturing the same
US11817487B2 (en) 2013-02-19 2023-11-14 Rohm Co., Ltd. Semiconductor device and method for manufacturing the same
JP2014160715A (en) * 2013-02-19 2014-09-04 Rohm Co Ltd Semiconductor device and method of manufacturing the same
US9905635B2 (en) 2013-03-05 2018-02-27 Rohm Co., Ltd. Semiconductor device with voltage resistant structure
US9923073B2 (en) 2013-03-05 2018-03-20 Rohm Co., Ltd. Semiconductor device with surface insulating film
US10559668B2 (en) 2013-03-05 2020-02-11 Rohm Co., Ltd. Semiconductor device with surface insulating film
US10256313B2 (en) 2013-03-05 2019-04-09 Rohm Co., Ltd. Semiconductor device with surface insulating film
US10790371B2 (en) 2013-03-05 2020-09-29 Rohm Co., Ltd. Semiconductor device with surface insulating film
US11967627B2 (en) 2013-03-05 2024-04-23 Rohm Co, Ltd. Wide band gap semiconductor device with surface insulating film
US10804356B2 (en) 2013-03-05 2020-10-13 Rohm Co., Ltd. Semiconductor device with voltage resistant structure
US10546921B2 (en) 2013-03-05 2020-01-28 Rohm Co., Ltd. Semiconductor device with voltage resistant structure
JP2014175314A (en) * 2013-03-05 2014-09-22 Rohm Co Ltd Semiconductor device
US11929394B2 (en) 2013-03-05 2024-03-12 Rohm Co., Ltd. Semiconductor device with voltage resistant structure
JP2014175313A (en) * 2013-03-05 2014-09-22 Rohm Co Ltd Semiconductor device
US11257901B2 (en) 2013-03-05 2022-02-22 Rohm Co., Ltd. Semiconductor device with voltage resistant structure
US11417743B2 (en) 2013-03-05 2022-08-16 Rohm Co., Ltd. Semiconductor device with surface insulating film
WO2015114803A1 (en) * 2014-01-31 2015-08-06 ルネサスエレクトロニクス株式会社 Semiconductor device
US9711637B2 (en) 2014-01-31 2017-07-18 Renesas Electronics Corporation Semiconductor device
US10074744B2 (en) 2014-01-31 2018-09-11 Renesas Electronics Corporation Semiconductor device
KR102156130B1 (en) * 2014-04-10 2020-09-15 삼성전자주식회사 Method of Forming Semiconductor device
KR20150117516A (en) * 2014-04-10 2015-10-20 삼성전자주식회사 Method of Forming Semiconductor device
US9887259B2 (en) 2014-06-23 2018-02-06 Vishay-Siliconix Modulated super junction power MOSFET devices
US10283587B2 (en) 2014-06-23 2019-05-07 Vishay-Siliconix Modulated super junction power MOSFET devices
WO2015198435A1 (en) * 2014-06-26 2015-12-30 三菱電機株式会社 Semiconductor device
US9859238B2 (en) 2014-06-26 2018-01-02 Mitsubishi Electric Corporation Semiconductor device comprising regions of different current drive capabilities
JPWO2015198435A1 (en) * 2014-06-26 2017-04-20 三菱電機株式会社 Semiconductor device
WO2016006263A1 (en) * 2014-07-11 2016-01-14 新電元工業株式会社 Semiconductor device and method for producing semiconductor device
US9831316B2 (en) 2014-07-11 2017-11-28 Shindengen Electric Manufacturing Co., Ltd. Semiconductor device and method of manufacturing semiconductor device
US10234486B2 (en) 2014-08-19 2019-03-19 Vishay/Siliconix Vertical sense devices in vertical trench MOSFET
US9882044B2 (en) 2014-08-19 2018-01-30 Vishay-Siliconix Edge termination for super-junction MOSFETs
US10340377B2 (en) 2014-08-19 2019-07-02 Vishay-Siliconix Edge termination for super-junction MOSFETs
US10444262B2 (en) 2014-08-19 2019-10-15 Vishay-Siliconix Vertical sense devices in vertical trench MOSFET
US10527654B2 (en) 2014-08-19 2020-01-07 Vishay SIliconix, LLC Vertical sense devices in vertical trench MOSFET
JP2016096165A (en) * 2014-11-12 2016-05-26 サンケン電気株式会社 Semiconductor device
US9911822B2 (en) 2014-11-25 2018-03-06 Infineon Technologies Ag Method for manufacturing vertically integrated semiconductor device
KR101834047B1 (en) * 2014-11-25 2018-03-02 인피니언 테크놀로지스 아게 Vertically integrated semiconductor device and manufacturing method
US11410989B2 (en) 2014-12-17 2022-08-09 Infineon Technologies Ag Semiconductor device having overload current carrying capability
US10651165B2 (en) 2014-12-17 2020-05-12 Infineon Technologies Ag Semiconductor device having overload current carrying capability
US11721689B2 (en) 2014-12-17 2023-08-08 Infineon Technologies Ag Semiconductor device having a semiconductor channel region and a semiconductor auxiliary region
JP2016146470A (en) * 2014-12-17 2016-08-12 インフィネオン テクノロジーズ アーゲーInfineon Technologies Ag Semiconductor device having overload current carrying capability
US10256336B2 (en) 2014-12-17 2019-04-09 Mitsubishi Electric Corporation Semiconductor device
JP2016115886A (en) * 2014-12-17 2016-06-23 三菱電機株式会社 Semiconductor device
US11495595B2 (en) 2015-02-13 2022-11-08 Rohm Co., Ltd. Semiconductor device and semiconductor module
US11916069B2 (en) 2015-02-13 2024-02-27 Rohm Co., Ltd. Semiconductor device and semiconductor module
US10199371B2 (en) 2015-02-13 2019-02-05 Rohm Co., Ltd. Semiconductor device and semiconductor module
US11670633B2 (en) 2015-02-13 2023-06-06 Rohm Co., Ltd. Semiconductor device and semiconductor module
JP2016149502A (en) * 2015-02-13 2016-08-18 ローム株式会社 Semiconductor device and semiconductor module
US11257812B2 (en) 2015-02-13 2022-02-22 Rohm Co., Ltd. Semiconductor device and semiconductor module
US10707343B2 (en) 2016-03-31 2020-07-07 Shindengen Electric Manufacturing Co., Ltd. Method of manufacturing semiconductor device and semiconductor device
US10825909B2 (en) 2016-03-31 2020-11-03 Shindengen Electric Manufacturing Co., Ltd. Method of manufacturing semiconductor device and semiconductor device
JPWO2017187856A1 (en) * 2016-04-27 2018-05-10 三菱電機株式会社 Semiconductor device
JP2017228761A (en) * 2016-06-16 2017-12-28 富士電機株式会社 Semiconductor device and manufacturing method
US10892359B2 (en) 2016-10-27 2021-01-12 Sanken Electric Co., Ltd. Semiconductor device
WO2018078776A1 (en) * 2016-10-27 2018-05-03 サンケン電気株式会社 Semiconductor device
JP6233539B1 (en) * 2016-12-21 2017-11-22 富士電機株式会社 Semiconductor device and manufacturing method of semiconductor device
WO2018117238A1 (en) * 2016-12-21 2018-06-28 株式会社デンソー Semiconductor device
JP2018101769A (en) * 2016-12-21 2018-06-28 富士電機株式会社 Semiconductor device and semiconductor device manufacturing method
US10374031B2 (en) 2016-12-21 2019-08-06 Fuji Electric Co., Ltd. Semiconductor device and manufacturing method of semiconductor device
JP2018101737A (en) * 2016-12-21 2018-06-28 株式会社デンソー Semiconductor device
JP2020507211A (en) * 2017-07-03 2020-03-05 無錫華潤上華科技有限公司Csmc Technologies Fab2 Co., Ltd. Gate structure of semiconductor device and manufacturing method
US11887845B2 (en) 2017-07-19 2024-01-30 Globalwafers Japan Co., Ltd. Method for producing three-dimensional structure, method for producing vertical transistor, vertical transistor wafer, and vertical transistor substrate
KR20200026252A (en) 2017-07-19 2020-03-10 글로벌웨어퍼스 재팬 가부시키가이샤 Method for manufacturing three-dimensional structure, method for manufacturing vertical transistor, wafer for vertical transistor and substrate for vertical transistor
US10566452B2 (en) 2018-03-19 2020-02-18 Kabushiki Kaisha Toshiba Semiconductor device and control device
JP2020013916A (en) * 2018-07-19 2020-01-23 国立研究開発法人産業技術総合研究所 Semiconductor device
JP7078226B2 (en) 2018-07-19 2022-05-31 国立研究開発法人産業技術総合研究所 Semiconductor device
JP2020129646A (en) * 2019-02-12 2020-08-27 株式会社東芝 Semiconductor device
JP7352360B2 (en) 2019-02-12 2023-09-28 株式会社東芝 semiconductor equipment
US11217541B2 (en) 2019-05-08 2022-01-04 Vishay-Siliconix, LLC Transistors with electrically active chip seal ring and methods of manufacture
US11218144B2 (en) 2019-09-12 2022-01-04 Vishay-Siliconix, LLC Semiconductor device with multiple independent gates
CN113224161A (en) * 2020-02-05 2021-08-06 株式会社东芝 Semiconductor device with a plurality of semiconductor chips
JP2021125559A (en) * 2020-02-05 2021-08-30 株式会社東芝 Semiconductor device
CN113224161B (en) * 2020-02-05 2024-03-29 株式会社东芝 Semiconductor device with a semiconductor device having a plurality of semiconductor chips
JP7374795B2 (en) 2020-02-05 2023-11-07 株式会社東芝 semiconductor equipment
US11264287B2 (en) 2020-02-11 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
US11652005B2 (en) 2020-02-11 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
KR102360538B1 (en) 2020-02-11 2022-02-09 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device with cut metal gate and method of manufacturing
KR20210102816A (en) * 2020-02-11 2021-08-20 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device with cut metal gate and method of manufacturing
US11489070B2 (en) 2020-03-12 2022-11-01 Kabushiki Kaisha Toshiba Semiconductor device
US11495666B2 (en) 2020-04-15 2022-11-08 Kabushiki Kaisha Toshiba Semiconductor device
US11646368B2 (en) 2020-07-22 2023-05-09 Kabushiki Kaisha Toshiba Semiconductor device
US11817476B2 (en) 2020-08-19 2023-11-14 Kabushiki Kaisha Toshiba Semiconductor device
JP7313082B2 (en) 2020-10-20 2023-07-24 蘇州東微半導体股▲ふん▼有限公司 Semiconductor power device manufacturing method
JP2023502811A (en) * 2020-10-20 2023-01-26 蘇州東微半導体股▲ふん▼有限公司 Semiconductor power device manufacturing method
US11837637B2 (en) 2021-01-20 2023-12-05 Kabushiki Kaisha Toshiba Semiconductor device having multiple conductive members
WO2022162894A1 (en) * 2021-01-29 2022-08-04 サンケン電気株式会社 Semiconductor device
US11742403B2 (en) 2021-03-10 2023-08-29 Kabushiki Kaisha Toshiba Semiconductor device

Also Published As

Publication number Publication date
TWI404220B (en) 2013-08-01
CN101794817A (en) 2010-08-04
KR101216533B1 (en) 2013-01-21
CN101180737B (en) 2011-12-07
WO2005065385A3 (en) 2006-04-06
DE112004002608T5 (en) 2006-11-16
TW201308647A (en) 2013-02-16
KR20070032627A (en) 2007-03-22
JP2008227514A (en) 2008-09-25
WO2005065385A2 (en) 2005-07-21
CN101794817B (en) 2013-04-03
JP4903055B2 (en) 2012-03-21
TW200840041A (en) 2008-10-01
CN103199017A (en) 2013-07-10
CN101180737A (en) 2008-05-14
DE202004021352U1 (en) 2007-08-16
CN103199017B (en) 2016-08-03
TW200527701A (en) 2005-08-16
TWI521726B (en) 2016-02-11
JP2012109580A (en) 2012-06-07
DE112004003046B4 (en) 2016-12-29
CN102420241A (en) 2012-04-18
TWI399855B (en) 2013-06-21
KR20120003019A (en) 2012-01-09
DE202004021352U8 (en) 2008-02-21
DE112004002608B4 (en) 2015-12-03

Similar Documents

Publication Publication Date Title
JP4903055B2 (en) Power semiconductor device and manufacturing method thereof
US9368587B2 (en) Accumulation-mode field effect transistor with improved current capability
US7345342B2 (en) Power semiconductor devices and methods of manufacture

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070928

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100928

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110816

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111104

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111213

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120104

R150 Certificate of patent or registration of utility model

Ref document number: 4903055

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150113

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250