JP2005520354A - Silicon-containing antireflection composition as a hard mask layer - Google Patents

Silicon-containing antireflection composition as a hard mask layer Download PDF

Info

Publication number
JP2005520354A
JP2005520354A JP2004521395A JP2004521395A JP2005520354A JP 2005520354 A JP2005520354 A JP 2005520354A JP 2004521395 A JP2004521395 A JP 2004521395A JP 2004521395 A JP2004521395 A JP 2004521395A JP 2005520354 A JP2005520354 A JP 2005520354A
Authority
JP
Japan
Prior art keywords
composition
layer
moiety
radiation
sio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004521395A
Other languages
Japanese (ja)
Other versions
JP4336310B2 (en
Inventor
エンジェローポーロス、マリー
アリラム、アリ
グアルニエリ、シー、リチャード
ハン、ウソン
ウォン、ラニー
モロー、ウェイン、エム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority claimed from PCT/US2002/022176 external-priority patent/WO2004007192A1/en
Publication of JP2005520354A publication Critical patent/JP2005520354A/en
Application granted granted Critical
Publication of JP4336310B2 publication Critical patent/JP4336310B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/38Polysiloxanes modified by chemical after-treatment
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • C08L83/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/16Nitrogen-containing compounds
    • C08K5/34Heterocyclic compounds having nitrogen in the ring
    • C08K5/3442Heterocyclic compounds having nitrogen in the ring having two nitrogen atoms in the ring
    • C08K5/3445Five-membered rings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/36Sulfur-, selenium-, or tellurium-containing compounds
    • C08K5/41Compounds containing sulfur bound to oxygen
    • C08K5/42Sulfonic acids; Derivatives thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Wood Science & Technology (AREA)
  • Structural Engineering (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Silicon Polymers (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】リソグラフィ法において有用な新規の反射防止コーティング/ハードマスク組成物の提供。
【解決手段】ペンダント発色団部分を有するSiO含有ポリマーが存在することを特徴とする反射防止組成物は、リソグラフィ法に有用な反射防止コーティング/ハードマスク組成物である。この組成物は、傑出した光学特性、機械特性およびエッチング選択性を有し、かつスピンオン塗布技術を使用して塗布することができる。この組成物は特に、基板上のその下の材料層、特に金属または半導体層を成形するのに使用されるリソグラフィ法において有用である。
A novel anti-reflective coating / hard mask composition useful in lithography processes.
An antireflective composition characterized by the presence of a SiO-containing polymer having pendant chromophore moieties is an antireflective coating / hardmask composition useful in lithographic processes. This composition has outstanding optical properties, mechanical properties and etch selectivity and can be applied using spin-on coating techniques. This composition is particularly useful in lithographic methods used to mold underlying material layers on a substrate, particularly metal or semiconductor layers.

Description

本発明は、リソグラフィ法において有用な新規の反射防止コーティング/ハードマスク組成物の技術分野に関する。   The present invention relates to the technical field of novel antireflective coating / hardmask compositions useful in lithography processes.

マイクロエレクトロニクス産業および微細構造(たとえばマイクロマシン、磁気抵抗ヘッドなど)の構築を含む他の産業では、構造フィーチャのサイズの低減が常に求められている。マイクロエレクトロニクス産業では、マイクロエレクトロニクス・デバイスのサイズを低減し、または所与のチップ・サイズに対してより多くの回路を提供し、あるいはその両方を達成することが求められている。   In the microelectronics industry and other industries, including the construction of microstructures (eg, micromachines, magnetoresistive heads, etc.), there is an ongoing need to reduce the size of structural features. There is a need in the microelectronics industry to reduce the size of microelectronic devices and / or provide more circuitry for a given chip size.

フィーチャ・サイズの低減の達成には効果的なリソグラフィ技法が不可欠である。所望の基板上にパターンを直接形成することからだけでなく、このような画像形成で一般に使用されるマスクの製造からも、リソグラフィは微細構造の製造に大きな影響を与える。リソグラフィ法は一般に、撮像用放射線で放射線感受性レジスト(radiation-sensitive resist)をパターンどおりに露光することによって、パターン形成されたレジスト層を形成することを含む。続いて、露光したレジスト層をある材料(一般に水性アルカリ現像液)と接触させてレジスト層の一部分を選択的に除去し、それによって所望のパターンを出現させることで、この画像を現像する。続いて、パターン形成されたレジスト層の開口の中の材料をエッチングすることによってこのパターンをその下の材料に転写する。転写完了後、残ったレジスト層を除去する。   Effective lithography techniques are essential to achieve feature size reduction. Lithography has a major impact on the fabrication of microstructures, not only from directly forming a pattern on a desired substrate, but also from the production of masks commonly used in such imaging. Lithographic methods generally involve forming a patterned resist layer by exposing a radiation-sensitive resist in a pattern with imaging radiation. The image is then developed by contacting the exposed resist layer with a material (generally an aqueous alkaline developer) to selectively remove portions of the resist layer, thereby revealing the desired pattern. Subsequently, the pattern is transferred to the underlying material by etching the material in the openings in the patterned resist layer. After the transfer is completed, the remaining resist layer is removed.

一部のリソグラフィ造影法では、使用するレジストが、レジストの下の層に所望のパターンを効果的に転写することを可能にする、後続のエッチング段階に対し十分な抵抗性を示さない。多くの場合(たとえば、超薄のレジスト層が望ましい場合、エッチングするその下の材料が厚い場合、かなりのエッチング深度が必要な場合、または与えられたその下の材料に対してあるエッチング剤を使用したい場合、あるいはこれらの組合せの場合)に、パターン形成されたレジストからの転写によってパターンを形成するその下の材料とレジスト層との間に、いわゆるハードマスク層が使用される。ハードマスク層は、パターン形成されたレジスト層からパターンを受け取る。ハードマスク層は、パターンをその下の材料に転写するのに必要なエッチング法に耐えることができなければならない。   In some lithographic imaging methods, the resist used does not exhibit sufficient resistance to subsequent etching steps that allow the desired pattern to be effectively transferred to the underlying layer of the resist. In many cases (for example, if an ultra-thin resist layer is desired, if the underlying material to be etched is thick, if a significant etch depth is required, or use an etchant for a given underlying material A so-called hard mask layer is used between the resist material and the underlying material that forms the pattern by transfer from the patterned resist. The hard mask layer receives a pattern from the patterned resist layer. The hard mask layer must be able to withstand the etching process required to transfer the pattern to the underlying material.

さらに、その下の材料層が、レジスト層にパターンを形成するために使用する撮像用放射線を過度に反射する場合には一般に、この下層とレジスト層の間に薄い反射防止コーティングを適用することができる。ある場合には、反射防止機能とハードマスク機能とが同じ材料によって提供される。   In addition, if the underlying material layer excessively reflects the imaging radiation used to form the pattern in the resist layer, it is generally possible to apply a thin anti-reflective coating between this underlayer and the resist layer. it can. In some cases, the anti-reflective function and the hard mask function are provided by the same material.

従来技術には、多くのハードマスクおよび反射防止コーティング材料が存在するが、改良された組成物が引続き求められている。これらの従来技術の材料の多くは基板への適用が難しい。これらはたとえば、化学または物理蒸着、あるいは高温焼付け、あるいはその両方の使用を必要とする。スピン・コーティング技法によって塗布することができ、かつ高温焼付けの必要がない反射防止コーティング/ハードマスク組成物があれば望ましいであろう。さらに、その上のフォトレジストとは選択的に容易にエッチングすることができる一方で、その下の層、特にその下の金属層にパターンを形成するのに必要なエッチング法に対しては抵抗性を示すハードマスク組成物が望ましい。
米国特許第4371605号 米国特許第5100503号 特開平1−293339 カナダ特許番号1 204 547 米国特許第5886102号 米国特許第5939236号 米国特許第5861231号 米国特許第5962184号 米国特許第6037097号 米国特許第4855017号 米国特許第5362663号 米国特許第5429710号 米国特許第5562801号 米国特許第5618751号 米国特許第5744376号 米国特許第5801094号 米国特許第5821469号 米国特許第5948570号 モロー(Wayne Moreau)、「半導体リソグラフィ、原理、実践および材料(SemiconductorLithography, Principles, Practices, and Materials)」、プレナム・プレス(Plenum Press)、(1988)
Although there are many hard masks and anti-reflective coating materials in the prior art, there is a continuing need for improved compositions. Many of these prior art materials are difficult to apply to substrates. These require, for example, the use of chemical or physical vapor deposition, or high temperature baking, or both. It would be desirable to have an anti-reflective coating / hard mask composition that can be applied by spin coating techniques and does not require high temperature baking. In addition, it can be selectively and easily etched with the photoresist above it, while being resistant to the etching methods required to form a pattern in the underlying layer, especially the underlying metal layer. A hard mask composition exhibiting
U.S. Pat. No. 4,371,605 US Pat. No. 5,100,503 JP-A-1-293339 Canadian Patent No. 1 204 547 US Pat. No. 5,886,102 US Pat. No. 5,939,236 US Pat. No. 5,861,231 US Pat. No. 5,962,184 US Pat. No. 6,037,097 U.S. Pat. No. 4,855,017 US Pat. No. 5,362,663 US Pat. No. 5,429,710 US Pat. No. 5,562,801 US Pat. No. 5,618,751 US Pat. No. 5,744,376 U.S. Pat. No. 5,801,944 US Pat. No. 5,821,469 US Pat. No. 5,948,570 Wayne Moreau, “Semiconductor Lithography, Principles, Practices, and Materials”, Plenum Press, (1988).

本発明は、リソグラフィ法において有用な新規の反射防止コーティング/ハードマスク組成物を包含する。この組成物は、傑出した光学特性、機械特性およびエッチング選択性を有し、かつスピンオン塗布技術を使用して塗布することができる。この反射防止組成物は、ペンダント発色団部分を有するSiO含有ポリマーが存在することを特徴とする。本発明はさらに、本発明の反射防止コーティング/ハードマスク組成物を含むリソグラフィ構造、このようなリソグラフィ構造を製作する方法、およびこのようなリソグラフィ構造を使用して、基板上のその下の材料層にパターンを形成する方法を包含する。   The present invention includes novel antireflective coating / hardmask compositions useful in lithographic methods. This composition has outstanding optical properties, mechanical properties and etch selectivity and can be applied using spin-on coating techniques. This antireflective composition is characterized by the presence of a SiO-containing polymer having a pendant chromophore moiety. The present invention further includes a lithographic structure comprising the antireflective coating / hardmask composition of the present invention, a method of fabricating such a lithographic structure, and an underlying material layer on a substrate using such a lithographic structure. Includes a method of forming a pattern.

一態様では本発明が、スピンオン反射防止層の形成に適した組成物を包含する。この組成物は、
(a)SiO部分および発色団部分を含むポリマーと、
(b)架橋(crosslinking)成分と、
(c)酸発生剤(acid generator)と
を含む。
In one aspect, the invention includes a composition suitable for forming a spin-on antireflective layer. This composition is
(A) a polymer comprising a SiO moiety and a chromophore moiety;
(B) a crosslinking component;
(C) an acid generator.

SiO部分は、シロキサン部分およびシルセスキオキサン部分からなるグループから選択されることが好ましい。SiO部分は、ポリマーの主鎖部分にあることが好ましい。SiO含有ポリマーはさらに、ポリマーに沿って分布した、架橋成分と反応するための複数の反応部位を含むことが好ましい。酸発生剤は熱によって活性化される酸発生剤であることが好ましい。   The SiO moiety is preferably selected from the group consisting of a siloxane moiety and a silsesquioxane moiety. The SiO portion is preferably in the main chain portion of the polymer. The SiO-containing polymer preferably further includes a plurality of reactive sites distributed along the polymer for reacting with the crosslinking component. The acid generator is preferably an acid generator activated by heat.

他の態様では本発明が、基板上のリソグラフィ構造を包含する。この構造は、
(a)SiO部分および発色団部分を含む架橋したポリマーを含む反射防止層と、
(b)反射防止層の上の放射線感受性画像形成層と
を含む。
In another aspect, the invention includes a lithographic structure on a substrate. This structure is
(A) an antireflective layer comprising a crosslinked polymer comprising a SiO moiety and a chromophore moiety;
(B) a radiation sensitive imaging layer on the antireflective layer.

他の態様では本発明が、パターン形成された材料フィーチャを基板上に形成する方法を包含する。この方法は、
(a)基板上に材料層を提供すること、
(b)SiO部分および発色団部分を含む架橋したポリマーを含む反射防止層を材料層の上に形成すること、
(c)反射防止層の上に放射線感受性画像形成層を形成すること、
(d)画像形成層をパターンどおりに放射線露光し、それによって画像形成層に放射線露光領域のパターンを生み出すこと、
(e)画像形成層および反射防止層の一部分を選択的に除去して材料層の一部分を露出させること、ならびに
(f)材料層の露出部分をエッチングし、それによってパターン形成された材料フィーチャを形成すること
を含む。
In another aspect, the invention includes a method of forming patterned material features on a substrate. This method
(A) providing a material layer on the substrate;
(B) forming an antireflective layer comprising a crosslinked polymer comprising a SiO moiety and a chromophore moiety on the material layer;
(C) forming a radiation sensitive imaging layer on the antireflective layer;
(D) subjecting the image forming layer to radiation exposure in a pattern, thereby producing a pattern of radiation exposed areas in the image forming layer;
(E) selectively removing a portion of the imaging layer and antireflective layer to expose a portion of the material layer; and (f) etching the exposed portion of the material layer, thereby patterning the material features. Including forming.

パターン形成する材料は導体、半導体、磁性体または絶縁体材料であることが好ましく、金属であることがより好ましい。SiO部分は、ポリマーの主鎖部分にあることが好ましい。SiO含有ポリマーはさらに、ポリマーに沿って分布した、架橋成分と反応するための複数の反応部位を含むことが好ましい。   The material for forming the pattern is preferably a conductor, semiconductor, magnetic material or insulator material, and more preferably a metal. The SiO portion is preferably in the main chain portion of the polymer. The SiO-containing polymer preferably further includes a plurality of reactive sites distributed along the polymer for reacting with the crosslinking component.

本発明はさらに、リソグラフィ構造を製作する方法を包含する。   The invention further includes a method of fabricating a lithographic structure.

本発明のこれらの態様および他の態様を以下でより詳細に論じる。   These and other aspects of the invention are discussed in more detail below.

本発明は、リソグラフィ法において有用な新規の反射防止コーティング/ハードマスク組成物を包含する。この反射防止組成物は、ペンダント発色団部分を有するSiO含有ポリマーが存在することを特徴とする。本発明はさらに、本発明の反射防止コーティング/ハードマスク組成物を含むリソグラフィ構造、このようなリソグラフィ構造を製作する方法、およびこのようなリソグラフィ構造を使用して、基板上のその下の材料層にパターンを形成する方法を包含する。   The present invention includes novel antireflective coating / hardmask compositions useful in lithographic methods. This antireflective composition is characterized by the presence of a SiO-containing polymer having a pendant chromophore moiety. The present invention further includes a lithographic structure comprising the antireflective coating / hardmask composition of the present invention, a method of fabricating such a lithographic structure, and an underlying material layer on a substrate using such a lithographic structure. Includes a method of forming a pattern.

本発明の反射防止組成物は一般に、
(a)SiO部分および発色団部分を含むポリマーと、
(b)架橋成分と、
(c)酸発生剤と
を含む。
The antireflective composition of the present invention is generally
(A) a polymer comprising a SiO moiety and a chromophore moiety;
(B) a crosslinking component;
(C) an acid generator.

SiO部分を含むポリマーは、ポリマーの主鎖またはペンダント基、あるいはその両方にSiO部分を含むポリマーとすることができる。SiO部分を含むポリマーはその主鎖にSiO部分を含むことが好ましい。このポリマーはオルガノシロキサン(organosiloxane)であることが好ましく、オルガノシルセスキオキサン(organosilsesquioxane)であるとより好ましい。このポリマーは、従来のスピン・コーティングによる層形成に貢献する溶解/膜形成特性を有していなければならない。SiO含有ポリマーは、後に論じる発色団部分の他に、ポリマーに沿って分布した、架橋成分と反応するための複数の反応部位を含むことが好ましい。   The polymer comprising a SiO moiety can be a polymer comprising a SiO moiety in the polymer backbone or pendant group, or both. The polymer containing the SiO part preferably contains the SiO part in the main chain. The polymer is preferably an organosiloxane, more preferably an organosilsesquioxane. The polymer must have dissolution / film formation properties that contribute to layer formation by conventional spin coating. In addition to the chromophore moieties discussed below, the SiO-containing polymer preferably includes a plurality of reactive sites distributed along the polymer to react with the crosslinking component.

適当なポリマーの例には、シルセスキオキサン(はしご形または網目)構造を有するポリマーが含まれる。このようなポリマーは、下式の構造(I)および(II)を有する単量体を含むことが好ましい。   Examples of suitable polymers include polymers having a silsesquioxane (ladder or network) structure. Such polymers preferably include monomers having the following structures (I) and (II):

Figure 2005520354
上式で、Rは発色団を含み、Rは、架橋成分と反応するための反応部位を含む。
Figure 2005520354
Where R 1 contains a chromophore and R 2 contains a reactive site for reacting with a crosslinking component.

あるいは、単量体(III)および(IV)を含むより一般的な線状オルガノシロキサン・ポリマーを使用することもできる。   Alternatively, more general linear organosiloxane polymers containing monomers (III) and (IV) can be used.

Figure 2005520354
上式で、RおよびRは先に説明したとおりである。場合によってはこのポリマーが、単量体(I)〜(IV)をさまざまな組合せで含む。そのため、Rを含む単量体の平均構造を下記の構造(V)として表し、Rを含む単量体の平均構造を下記の構造(VI)によって表すことができる。
Figure 2005520354
In the above formula, R 1 and R 2 are as described above. In some cases, the polymer comprises monomers (I)-(IV) in various combinations. Therefore, the average structure of the monomer containing R 1 can be represented as the following structure (V), and the average structure of the monomer containing R 2 can be represented by the following structure (VI).

Figure 2005520354
上式で、xは約1から約1.5である。理論的にはxを1.5よりも大きくすることができるが、このような組成物は一般に、スピン・コーティング・プロセスに適した特性を持たない(たとえば望ましくないゲルまたは沈殿相を形成する)。
Figure 2005520354
Where x is from about 1 to about 1.5. Although theoretically x can be greater than 1.5, such compositions generally do not have properties suitable for spin coating processes (eg, forming undesirable gels or precipitated phases). .

一般に、エッチング抵抗性に優れているため、シルセスキオキサン・ポリマーのほうが好ましい。普通のオルガノシロキサン・ポリマー(たとえば構造(III)および(IV)の単量体)を使用する場合には、シルセスキオキサンに基づく製剤よりも架橋度が高いことが好ましい。   In general, silsesquioxane polymers are preferred because of their excellent etching resistance. When ordinary organosiloxane polymers (eg monomers of structure (III) and (IV)) are used, it is preferred that the degree of cross-linking be higher than formulations based on silsesquioxane.

発色団を含む基Rは、(i)SiO含有ポリマーにグラフト結合する(to graft)ことができ、(ii)適当な放射線吸収特性を有し、かつ(iii)自体を含む層またはその上のフォトレジスト層の性能に不利な影響を与えない、適当な任意の発色団を含むことができる。好ましい発色団部分には、クリセン、ピレン、フルオランセン(fluoranthenes)、アントロン、ベンゾフェノン、チオキサントンおよびアントラセンが含まれる。米国特許第4371605号に記載されているものなどのアントラセン誘導体も使用することができる。この特許の開示は参照によって本明細書に組み込まれる。9−アントラセンメタノールは好ましい発色団である。フェノールチアジンなどの中のおそらくは不活性化されているアミノ態窒素を除いて、発色団部分は窒素を含まないことが好ましい。 The group R 1 comprising a chromophore can (i) be grafted to a SiO-containing polymer, (ii) have suitable radiation absorbing properties, and (iii) a layer comprising itself or above Any suitable chromophore can be included that does not adversely affect the performance of the photoresist layer. Preferred chromophore moieties include chrysene, pyrene, fluoranthenes, anthrone, benzophenone, thioxanthone and anthracene. Anthracene derivatives such as those described in US Pat. No. 4,371,605 can also be used. The disclosure of this patent is incorporated herein by reference. 9-anthracenemethanol is a preferred chromophore. It is preferred that the chromophore moiety is free of nitrogen, except for the possibly inactivated amino nitrogen, such as in phenol thiazine.

発色団部分は、酸触媒Oアルキル化またはフリーデル−クラフツ・アルキル化などのCアルキル化によって、SiO含有ポリマーに化学的に結合させることができる。あるいは、エステル化機構によって結合させることもできる。フリーデル−クラフツ触媒反応の好ましい酸はHClである。官能基(R)の約15から40%が発色団部分を含むことが好ましい。場合によっては、SiO含有ポリマーを形成する前の単量体に発色団を結合することもできるが、これは一般に好ましくない。発色団を結合させる部位は、ヒドロキシベンジル基、ヒドロキシメチルベンジル基などの芳香族基であることが好ましい。あるいは、シクロヘキサノール、他のアルコールなど、他の部分との反応によって発色団を結合させることもできる。発色団を結合させる反応はアルコール性OH基のエステル化であることが好ましい。 The chromophore moiety can be chemically coupled to the SiO-containing polymer by C-alkylation such as acid-catalyzed O-alkylation or Friedel-Crafts alkylation. Alternatively, it can be linked by an esterification mechanism. A preferred acid for Friedel-Crafts catalysis is HCl. It is preferred that about 15 to 40% of the functional groups (R 1 ) contain a chromophore moiety. In some cases, the chromophore can be attached to the monomer prior to forming the SiO-containing polymer, but this is generally not preferred. The site to which the chromophore is bonded is preferably an aromatic group such as a hydroxybenzyl group or a hydroxymethylbenzyl group. Alternatively, the chromophore can be bound by reaction with other moieties such as cyclohexanol or other alcohols. The reaction for binding the chromophore is preferably esterification of an alcoholic OH group.

は、架橋成分と反応するための反応部位を含む。Rに含まれる好ましい反応部分はアルコール、より好ましくは芳香族アルコール(たとえばヒドロキシベンジル、フェノール、ヒドロキシメチルベンジルなど)または脂環式アルコール(たとえばシクロヘキサノイル)である。あるいは、フルオロカーボンアルコール、脂肪族アルコール、アミノ基、ビニルエーテル、エポキシドなどの非環式アルコールを使用することもできる。 R 2 includes a reactive site for reacting with the crosslinking component. A preferred reactive moiety contained in R 2 is an alcohol, more preferably an aromatic alcohol (eg, hydroxybenzyl, phenol, hydroxymethylbenzyl, etc.) or an alicyclic alcohol (eg, cyclohexanoyl). Alternatively, acyclic alcohols such as fluorocarbon alcohols, aliphatic alcohols, amino groups, vinyl ethers, and epoxides can be used.

SiO含有ポリマー(発色団結合前)は、ポリ(4−ヒドロキシベンジルシルセスキオキサン)であることが好ましい。本発明の他のシルセスキオキサン・ポリマーの例には以下のものが含まれる:ポリ(p−ヒドロキシフェニルエチルシルセスキオキサン)、ポリ(p−ヒドロキシフェニルエチルシルセスキオキサン−co−p−ヒドロキシ−α−メチルベンジルシルセスキオキサン)、ポリ(p−ヒドロキシフェニルエチルシルセスキオキサン−co−メトキシベンジルシルセスキオキサン)、ポリ(p−ヒドロキシフェニルエチルシルセスキオキサン−co−t−ブチルシルセスキオキサン)、ポリ(p−ヒドロキシフェニルエチルシルセスキオキサン−co−シクロヘキシルシルセスキオキサン)、ポリ(p−ヒドロキシフェニルエチルシルセスキオキサン−co−フェニルシルセスキオキサン)、ポリ(p−ヒドロキシフェニルエチルシルセスキオキサン−co−ビシクロヘプチルシルセスキオキサン)、ポリ(p−ヒドロキシ−α−メチルベンジルシルセスキオキサン)、ポリ(p−ヒドロキシ−α−メチルベンジルシルセスキオキサン−co−p−ヒドロキシベンジルシルセスキオキサン)、ポリ(p−ヒドロキシ−α−メチルベンジルシルセスキオキサン−co−メトキシベンジルシルセスキオキサン)、ポリ(p−ヒドロキシ−α−メチルベンジルシルセスキオキサン−co−t−ブチルシルセスキオキサン)、ポリ(p−ヒドロキシ−α−メチルベンジルシルセスキオキサン−co−シクロヘキシルシルセスキオキサン)、ポリ(p−ヒドロキシ−α−メチルベンジルシルセスキオキサン−co−フェニルシルセスキオキサン)、ポリ(p−ヒドロキシ−α−メチルベンジルシルセスキオキサン−co−ビシクロヘプチルシルセスキオキサン)、およびポリ(p−ヒドロキシベンジルシルセスキオキサン−co−p−ヒドロキシフェニルエチルシルセスキオキサン)。米国特許第5100503号に記載されているポリオルガノシロキサン・ポリマーは架橋成分との反応性が非常に低いため一般に、低温焼付け組成物の生成には有効ではない。この特許の開示は参照によって本明細書に組み込まれる。   The SiO-containing polymer (before chromophore bonding) is preferably poly (4-hydroxybenzylsilsesquioxane). Examples of other silsesquioxane polymers of the present invention include: poly (p-hydroxyphenylethylsilsesquioxane), poly (p-hydroxyphenylethylsilsesquioxane-co-p). -Hydroxy-α-methylbenzylsilsesquioxane), poly (p-hydroxyphenylethylsilsesquioxane-co-methoxybenzylsilsesquioxane), poly (p-hydroxyphenylethylsilsesquioxane-co-t -Butylsilsesquioxane), poly (p-hydroxyphenylethylsilsesquioxane-co-cyclohexylsilsesquioxane), poly (p-hydroxyphenylethylsilsesquioxane-co-phenylsilsesquioxane), Poly (p-hydroxyphenylethylsilsesquioki) -Co-bicycloheptylsilsesquioxane), poly (p-hydroxy-α-methylbenzylsilsesquioxane), poly (p-hydroxy-α-methylbenzylsilsesquioxane-co-p-hydroxybenzylsil) Sesquioxane), poly (p-hydroxy-α-methylbenzylsilsesquioxane-co-methoxybenzylsilsesquioxane), poly (p-hydroxy-α-methylbenzylsilsesquioxane-co-t-butyl) Silsesquioxane), poly (p-hydroxy-α-methylbenzylsilsesquioxane-co-cyclohexylsilsesquioxane), poly (p-hydroxy-α-methylbenzylsilsesquioxane-co-phenylsilsesquito) Oxane), poly (p-hydroxy-α-methylbenzylsilsesqui) Hexane -co- bicycloheptyl silsesquioxane), and poly (p- hydroxybenzyl silsesquioxane -co-p-hydroxyphenyl-ethyl silsesquioxane). The polyorganosiloxane polymers described in US Pat. No. 5,100,503 are generally not effective in producing low temperature baking compositions because of their very low reactivity with the crosslinking component. The disclosure of this patent is incorporated herein by reference.

架橋成分と反応する前の本発明のSiO含有ポリマーの重量平均分子量は少なくとも約1000であることが好ましく、約1000〜10000であるとより好ましい。   The weight average molecular weight of the inventive SiO-containing polymer before reacting with the crosslinking component is preferably at least about 1000, more preferably about 1000 to 10,000.

架橋成分は、生成された酸による触媒作用または加熱によって、あるいはその両方によってSiO含有ポリマーと反応することができる架橋剤であることが好ましい。一般に、本発明の反射防止組成物で使用する架橋成分は、組成物の他の選択成分と両立するネガ型フォトレジストの分野で知られている適当な任意の架橋剤とすることができる。架橋剤は、生成された酸の存在下でポリマー成分を架橋する働きをすることが好ましい。好ましい架橋剤は、アメリカン・シアナミド・カンパニー(American Cyanamid Company)社からPOWDERLINKの商標で販売されているテトラメトキシメチルグリコールウリル(glycoluril)、メチルプロピルテトラメトキシメチルグリコールウリル、メチルフェニルテトラメトキシメチルグリコールウリルなどのグリコールウリル化合物である。他の可能な架橋剤には、以下の構造を有する2,6−ビス(ヒドロキシメチル)−p−クレゾール化合物が含まれる。   The cross-linking component is preferably a cross-linking agent capable of reacting with the SiO-containing polymer by catalysis by the generated acid and / or by heating. In general, the crosslinking component used in the antireflective composition of the present invention can be any suitable crosslinking agent known in the field of negative photoresists that is compatible with the other selected components of the composition. The cross-linking agent preferably functions to cross-link the polymer component in the presence of the generated acid. Preferred cross-linking agents include tetramethoxymethyl glycoluril, methylpropyltetramethoxymethylglycoluril, methylphenyltetramethoxymethylglycoluril sold under the trademark POWDERLINK by American Cyanamid Company, etc. The glycoluril compound. Other possible crosslinkers include 2,6-bis (hydroxymethyl) -p-cresol compounds having the following structure:

Figure 2005520354
これにはさらに、特開平1−293339に記載されているような上記化合物の類似体および誘導体、エーテル化されたアミノ樹脂、たとえばメチル化またはブチル化されたメラミン樹脂(N−メトキシメチルメラミンまたはN−ブトキシメチルメラミン)、またはたとえばカナダ特許番号1 204 547に出ているメチル化/ブチル化されたグリコールウリルが含まれる。ビスエポキシ、ビスフェノール(たとえばビスフェノールA)など、他の架橋剤を使用することもできる。架橋剤の組合せを使用することもできる。
Figure 2005520354
Further to this are analogs and derivatives of the above compounds as described in JP-A-1-293339, etherified amino resins, such as methylated or butylated melamine resins (N-methoxymethylmelamine or N -Butoxymethylmelamine), or methylated / butylated glycoluril, e.g. as found in Canadian Patent No. 1204547. Other crosslinkers such as bisepoxy, bisphenol (eg, bisphenol A) can also be used. Combinations of crosslinkers can also be used.

酸発生剤は、熱処理すると酸を放出する酸生成化合物であることが好ましい。たとえば2,4,4,6−テトラブロモシクロヘキサジエンオン、ベンゾイントシラート、2−ニトロベンジルトシラート、有機スルホン酸の他のアルキルエステルなど、知られているさまざまな熱的酸発生剤を適当に使用する。一般に、活性化するとスルホン酸を生成する化合物が適当である。他の適当な熱活性化酸発生剤が米国特許第5886102号および5939236号に記載されている。これらの2つの特許の開示は参照によって本明細書に組み込まれる。所望ならば、熱活性化酸発生剤の代わりに、または熱活性化酸発生剤と組み合わせて、放射線感受性酸発生剤を使用することができる。適当な放射線感受性酸発生剤の例は米国特許第5886102号および5939236号に記載されている。反射防止組成物の他の成分と両立する限り、レジスト技術分野で知られている他の放射線感受性酸発生剤を使用することもできる。放射線感受性酸発生剤を使用する場合には、架橋反応を触媒する酸の生成を引き起こす適当な放射線を照射することによって、組成物の硬化(架橋)温度を低くすることができる。放射線感受性酸発生剤を使用する場合でも、組成物を熱処理して架橋プロセス(たとえば生産ライン中のウェーハの架橋プロセス)を加速させることが好ましい。   The acid generator is preferably an acid-generating compound that releases an acid upon heat treatment. Various known thermal acid generators such as 2,4,4,6-tetrabromocyclohexadienone, benzoin tosylate, 2-nitrobenzyl tosylate, and other alkyl esters of organic sulfonic acids are suitably used. use. In general, compounds that produce a sulfonic acid upon activation are suitable. Other suitable heat activated acid generators are described in US Pat. Nos. 5,886,102 and 5,939,236. The disclosures of these two patents are incorporated herein by reference. If desired, a radiation-sensitive acid generator can be used in place of or in combination with a heat-activated acid generator. Examples of suitable radiation sensitive acid generators are described in US Pat. Nos. 5,886,102 and 5,939,236. Other radiation sensitive acid generators known in the resist art can be used as long as they are compatible with the other components of the antireflective composition. When a radiation sensitive acid generator is used, the curing (crosslinking) temperature of the composition can be lowered by irradiating with an appropriate radiation that causes generation of an acid that catalyzes the crosslinking reaction. Even when a radiation sensitive acid generator is used, it is preferred to heat treat the composition to accelerate the crosslinking process (eg, the crosslinking process of wafers in a production line).

本発明の反射防止組成物は(固形分ベースで)、(i)約50〜98重量%、より好ましくは約70〜80重量%のSiO含有ポリマーと、(ii)約1〜50重量%、より好ましくは約3〜25重量%、最も好ましくは約5〜25重量%の架橋成分と、(iii)約1〜20重量%、より好ましくは約1〜15重量%の酸発生剤とを含むことが好ましい。   The antireflective composition of the present invention (on a solids basis) comprises (i) about 50-98 wt%, more preferably about 70-80 wt% SiO-containing polymer, and (ii) about 1-50 wt%, More preferably comprises about 3-25% by weight, most preferably about 5-25% by weight of a crosslinking component and (iii) about 1-20% by weight, more preferably about 1-15% by weight of an acid generator. It is preferable.

本発明の反射防止コーティング/ハードマスク組成物は、リソグラフィ構造の形成において所望のレジスト材料と組み合わせて使用することができる。レジストは、紫外放射線(たとえば波長<400nm)または電子ビーム放射線で撮像可能であることが好ましい。適当なレジスト材料の例が米国特許第5861231号、5962184号および6037097号に記載されている。これらの特許の開示は参照によって本明細書に組み込まれる。   The antireflective coating / hardmask composition of the present invention can be used in combination with a desired resist material in the formation of a lithographic structure. The resist is preferably imageable with ultraviolet radiation (eg, wavelength <400 nm) or electron beam radiation. Examples of suitable resist materials are described in US Pat. Nos. 5,861,231, 5,962,184 and 6,370,977. The disclosures of these patents are incorporated herein by reference.

所望の基板へ塗布する前の本発明の反射防止組成物は一般に溶剤を含む。溶剤は、レジストとともに従来どおりに使用することができ、反射防止組成物の性能に対して極端に有害な影響を持たない溶剤とすることができる。好ましい溶剤は、プロピレングリコールモノメチルエーテルアセタート、シクロヘキサノンおよびエチルセロソルブアセタートである。基板に塗布することを目的とした組成物中の溶剤の量は、固形分が約8〜20重量%となる十分なものであることが好ましい。一般に、固形分の多い製剤ほど厚いコーティング層が得られる。本発明の組成物は、当技術分野で知られている少量の補助成分(たとえば塩基添加剤など)を含むことができる。   The antireflective composition of the present invention prior to application to the desired substrate generally contains a solvent. The solvent can be used in the conventional manner together with the resist, and can be a solvent that does not have an extremely harmful influence on the performance of the antireflection composition. Preferred solvents are propylene glycol monomethyl ether acetate, cyclohexanone and ethyl cellosolve acetate. The amount of the solvent in the composition intended to be applied to the substrate is preferably sufficient so that the solid content is about 8 to 20% by weight. In general, the thicker the coating layer, the higher the solid content of the preparation. The compositions of the present invention can contain minor amounts of auxiliary ingredients (such as base additives) known in the art.

本発明の反射防止組成物は、ポリマー、架橋成分、酸発生剤および他の所望の原料成分を従来の方法を使用して一緒にすることによって調製することができる。本発明の組成物は、スピン・コーティングおよび架橋/溶剤除去を達成するその後の焼付けによって、基板上の反射防止層に有利に変換することができる。焼付けは約250℃以下、より好ましくは約150℃〜200℃、最も好ましくは約170℃〜180℃で実施することが好ましい。焼付け時間は、層厚および焼付け温度に応じて変更することができる。170°での焼付け時間は一般に約2分である。   The antireflective composition of the present invention can be prepared by combining the polymer, crosslinking component, acid generator and other desired ingredient components using conventional methods. The composition of the present invention can be advantageously converted to an antireflective layer on the substrate by spin coating and subsequent baking to achieve cross-linking / solvent removal. Baking is preferably performed at about 250 ° C. or less, more preferably about 150 ° C. to 200 ° C., and most preferably about 170 ° C. to 180 ° C. The baking time can be changed according to the layer thickness and baking temperature. The baking time at 170 ° is generally about 2 minutes.

本発明の反射防止組成物の厚さは、希望する機能に応じて変更することができる。たとえば、この組成物を非平坦化反射防止コーティングとして使用する場合には、厚さを約50〜500nmにすることができる。平坦化ハードマスクとして使用する場合には、厚さが約0.5〜5.0μmであることが好ましい。所望ならば、本発明の組成物を、従来のスピンオン・ガラス材料と同じように誘電材料として使用することもできる。   The thickness of the antireflective composition of the present invention can be changed according to the desired function. For example, if the composition is used as a non-planarized antireflective coating, the thickness can be about 50-500 nm. When used as a planarizing hard mask, the thickness is preferably about 0.5 to 5.0 μm. If desired, the composition of the present invention can be used as a dielectric material in the same manner as conventional spin-on glass materials.

本発明の組成物は、半導体基板上に集積回路を製造する際に使用するリソグラフィ法に特に有用である。この組成物は、中間紫外線(mid-UV)、248nm遠紫外線、X線、eビームまたは他の撮像用放射線を使用したリソグラフィ法に特に有用である。   The compositions of the present invention are particularly useful for lithographic methods used in the manufacture of integrated circuits on semiconductor substrates. This composition is particularly useful for lithographic methods using mid-UV, 248 nm deep ultraviolet, X-rays, e-beams or other imaging radiation.

半導体リソグラフィ応用は一般に、半導体基板上の材料層へのパターンの転写を含む。この半導体基板の材料層は、製造プロセスの段階および最終産物に対して設定された所望の材料に応じて、金属導体層、セラミック絶縁体層、半導体層または他の材料である。本発明の組成物は、パターン形成する材料層の上に直接に、好ましくはスピン・コーティングによって塗布することが好ましい。次いで、溶剤を除去し組成物を硬化(架橋)させるために、組成物を焼き付ける。次いで、硬化した本発明の反射防止組成物の上に放射線感受性レジスト層を(直接または間接に)塗布することができる。   Semiconductor lithography applications generally involve the transfer of a pattern to a material layer on a semiconductor substrate. The material layer of the semiconductor substrate is a metal conductor layer, ceramic insulator layer, semiconductor layer or other material depending on the stage of the manufacturing process and the desired material set for the final product. The composition of the invention is preferably applied directly onto the material layer to be patterned, preferably by spin coating. The composition is then baked to remove the solvent and cure (crosslink) the composition. A radiation sensitive resist layer can then be applied (directly or indirectly) onto the cured antireflective composition of the invention.

一般に、溶剤を含んだレジスト組成物を、スピン・コーティングまたは他の技法を使用して塗布する。好ましくは次いで、溶剤を除去しレジスト層のコヒーレンスを改善するために、レジスト・コーティングを有する基板を加熱する(露光前焼付け)。塗布されるレジスト層の厚さはできるだけ薄いことが好ましいが、この厚さは実質的に均一であることが好ましく、レジスト層は、リソグラフィ・パターンをその下の基板材料層へ転写するための以降の処理(一般に反応性イオン・エッチング)に十分に耐えるものでなければならない。この露光前焼付け段階は、約10秒から15分、より好ましくは約15秒から1分、実施することが好ましい。露光前焼付けの温度は、フォトレジストのガラス転移温度に応じて変更することができる。   In general, a solvent-containing resist composition is applied using spin coating or other techniques. Preferably, the substrate with the resist coating is then heated (pre-exposure bake) to remove the solvent and improve the coherence of the resist layer. The thickness of the applied resist layer is preferably as thin as possible, but this thickness is preferably substantially uniform, and the resist layer is used for transferring the lithography pattern to the underlying substrate material layer. Must be sufficiently resistant to this process (generally reactive ion etching). This pre-exposure bake step is preferably performed for about 10 seconds to 15 minutes, more preferably about 15 seconds to 1 minute. The pre-exposure baking temperature can be changed according to the glass transition temperature of the photoresist.

溶剤を除去した後、レジスト層を、所望の放射線(たとえば248nm紫外放射線)でパターンどおりに露光する。電子ビームなどの走査粒子ビームを使用する場合、このパターンどおりの露光は、基板上でビームを走査し、ビームを所望のパターンに選択的に適用することによって達成することができる。より一般的な248nm紫外放射線などの波状放射線の場合には、このパターンどおりの露光をレジスト層の上に置いたマスクを通して実施する。248nmUV放射線では、総露光エネルギーが約100ミリジュール/cm以下、より好ましくは約50ミリジュール/cm以下(たとえば15〜30ミリジュール/cm)であることが好ましい。 After removing the solvent, the resist layer is exposed in a pattern with the desired radiation (eg, 248 nm ultraviolet radiation). When using a scanning particle beam, such as an electron beam, this patternwise exposure can be achieved by scanning the beam over the substrate and selectively applying the beam to the desired pattern. In the case of more general wave radiation such as 248 nm ultraviolet radiation, this pattern exposure is performed through a mask placed on the resist layer. For 248 nm UV radiation, the total exposure energy is preferably about 100 millijoules / cm 2 or less, more preferably about 50 millijoules / cm 2 or less (eg, 15-30 millijoules / cm 2 ).

一般に、パターンどおりの所望の露光の後、レジスト層を焼き付けて、酸触媒反応を完了させ露光したパターンのコントラストを強化する。この露光後焼付けは約60〜175℃、より好ましくは約90〜160℃で実施することが好ましい。この露光後焼付けは約30秒から5分実施することが好ましい。   In general, after the desired exposure in a pattern, the resist layer is baked to complete the acid-catalyzed reaction and enhance the contrast of the exposed pattern. This post-exposure baking is preferably performed at about 60 to 175 ° C, more preferably about 90 to 160 ° C. This post-exposure baking is preferably performed for about 30 seconds to 5 minutes.

露光後焼付けの後、レジスト層を、放射線で露光されたレジストの領域を選択的に溶解するアルカリ溶液と接触させることによって、所望のパターンを有するレジスト構造を得る(現像する)。好ましいアルカリ溶液(現像液)は、水酸化テトラメチルアンモニウムの水溶液である。次いで、残留現像液を除去するため一般に、基板上のリソグラフィ構造を乾燥する。   After post-exposure baking, the resist layer is contacted with an alkaline solution that selectively dissolves areas of the resist exposed to radiation to obtain (develop) a resist structure having the desired pattern. A preferred alkaline solution (developer) is an aqueous solution of tetramethylammonium hydroxide. The lithographic structure on the substrate is then typically dried to remove residual developer.

次いで、当技術分野で知られている技法を使用してCFまたは他の適当なエッチング剤でエッチングすることによって、レジスト構造のパターンを、本発明の反射防止材料の層の露光部分に転写することができる。 The pattern of the resist structure is then transferred to the exposed portion of the layer of the antireflective material of the present invention by etching with CF 4 or other suitable etchant using techniques known in the art. be able to.

本発明の反射防止材料の層およびその下の任意の反射防止コーティングを開口した後、パターン形成するその下の材料層を、この材料層の組成に対して適当なエッチング剤を使用してエッチングすることができる。材料層が金属(たとえばCr)である場合には、Cl/O合剤をドライ・エッチング剤として使用することができる。 After opening the layer of antireflective material of the present invention and any antireflective coating below it, the underlying material layer to be patterned is etched using an etchant appropriate for the composition of the material layer. be able to. When the material layer is a metal (eg, Cr), a Cl 2 / O 2 mixture can be used as a dry etchant.

所望のパターン転写が終わったら、従来の剥離技法を使用して残りのレジストを除去することができる。本発明の組成物を、ハードマスクまたは非平坦化反射防止コーティングとして厳密に使用している場合、本発明の組成物は、CF/Oプラズマと接触させることによって除去することができる。 Once the desired pattern transfer is complete, the remaining resist can be removed using conventional stripping techniques. If the composition of the present invention is strictly used as a hard mask or non-planarized antireflective coating, the composition of the present invention can be removed by contact with a CF 4 / O 2 plasma.

このように、本発明の組成物および結果として得られるリソグラフィ構造を使用して、集積回路デバイスの設計で使用される金属配線、コンタクトまたはバイア用の穴、絶縁部(たとえばダマシーン・トレンチまたは浅いトレンチ分離)、キャパシタ構造用のトレンチなどのパターン形成された材料層構造を生み出すことができる。本発明の組成物は特に、パターン形成された金属構造、特にマスクとして有用なCrベースの構造を生み出す文脈において有用である。   Thus, using the compositions of the present invention and the resulting lithographic structure, holes for metal wiring, contacts or vias, insulators (eg, damascene trenches or shallow trenches) used in integrated circuit device designs. Isolation), patterned material layer structures such as trenches for capacitor structures can be created. The compositions of the present invention are particularly useful in the context of producing patterned metal structures, particularly Cr-based structures useful as masks.

本発明の組成物が有効である可能性がある一般的なリソグラフィ法の例が、米国特許第4855017号、5362663号、5429710号、5562801号、5618751号、5744376号、5801094号、5821469号および5948570号に開示されている。これらの特許の開示は参照によって本明細書に組み込まれる。パターン転写法の他の例が、モロー(Wayne Moreau)の「Semiconductor Lithography, Principles, Practices, andMaterials」, Plenum Press, (1988)の12章および13章に記載されている。この文献の開示は参照によって本明細書に組み込まれる。本発明は、特定のリソグラフィ技法またはデバイス構造に限定されないことを理解されたい。   Examples of common lithographic methods in which the compositions of the present invention may be useful are U.S. Pat. Is disclosed. The disclosures of these patents are incorporated herein by reference. Other examples of pattern transfer methods are described in Chapters 12 and 13 of Wayne Moreau's “Semiconductor Lithography, Principles, Practices, and Materials”, Plenum Press, (1988). The disclosure of this document is incorporated herein by reference. It should be understood that the present invention is not limited to a particular lithographic technique or device structure.

ポリ(4−ヒドロキシベンジルシルセスキオキサン)への9−アントラセン・メチル基のオルト・グラフト結合およびハードマスク/反射防止層製剤
HCl0.4gを含むアセトニトリル150g中で、9−アントラセンメタノール6.7gを、ポリ(4−ヒドロキシベンジルシルセスキオキサン)16gと反応させた。この溶液を加熱して数時間還流させ、次いで水を加えて、グラフト重合したポリマーを沈殿させた。乾燥したこのポリマーをプロピレングリコールモノメチルエーテルアセタート(PGMEA)に溶解して14重量%溶液とした。この溶液に、それぞれ全固形分の10重量%および固形分の5重量%となる量のグリコールウリル樹脂(POWDERLINK架橋剤)およびニトロベンジルトシラート(酸発生剤)を加えた。この溶液にさらに、FC430界面活性剤(3Mコーポレーション(3M Corporation)社が販売)200ppmを加えた。
Ortho-grafting of 9-anthracene methyl group to poly (4-hydroxybenzylsilsesquioxane) and hard mask / antireflective layer formulation In 150 g of acetonitrile containing 0.4 g of HCl, 6.7 g of 9-anthracene methanol was added. And 16 g of poly (4-hydroxybenzylsilsesquioxane). The solution was heated to reflux for several hours and then water was added to precipitate the grafted polymer. This dried polymer was dissolved in propylene glycol monomethyl ether acetate (PGMEA) to give a 14 wt% solution. To this solution were added glycoluril resin (POWDERLINK crosslinking agent) and nitrobenzyl tosylate (acid generator) in amounts of 10% by weight of total solids and 5% by weight of solids, respectively. To this solution was further added 200 ppm of FC430 surfactant (sold by 3M Corporation).

CF/Oガスを用いたハードマスク/反射防止層およびUV−80のエッチング
実施例1に記載したとおりに調製したハードマスク/反射防止層(HM/ARC)を、ヘキサメチルジシラザン(HMDS)を下塗りしたウェーハに3000rpmでスピン・コーティングした。スピン・コーティングした膜を175℃で3分間硬化させた。硬化させた層の上に、UV−80フォトレジスト(シプリー・カンパニー(Shipley Company)社が販売)の層を3000rpmでスピン・コーティングした。このフォトレジスト層を130℃で60秒間軟質焼付けを行った。
CF 4 / O 2 hardmask / antireflective layer using a gas and hardmask / antireflection layer was prepared as described in etching Example 1 UV-80 a (HM / ARC), hexamethyldisilazane (HMDS ) Was spin coated at 3000 rpm. The spin-coated film was cured at 175 ° C. for 3 minutes. A layer of UV-80 photoresist (sold by Shipley Company) was spin coated at 3000 rpm on the cured layer. This photoresist layer was soft baked at 130 ° C. for 60 seconds.

プロフィルメータを用いて厚さを測定した。厚さを測定するために13.0nmのAlストリップをマスクとして使用した。このAlは、Cl/Oプラズマでも、またはCF/Oプラズマでもエッチングされない。 The thickness was measured using a profilometer. A 13.0 nm Al strip was used as a mask to measure the thickness. This Al is not etched by either Cl 2 / O 2 plasma or CF 4 / O 2 plasma.

表Iの条件を用いたCF/Oエッチングで総称酸化物エッチング法を使用した。誘導結合プラズマ(ICP)を使用した低圧高密度プラズマ法を使用した。流量、圧力、電力およびAr希釈は、これらの電気的陰性放電でしばしば遭遇する振動が生じない比較的に安定なプロセスが得られるように選択した。DCセルフ・バイアス電圧は150ボルトに維持した。 A generic oxide etching method was used with CF 4 / O 2 etching using the conditions in Table I. A low pressure high density plasma method using inductively coupled plasma (ICP) was used. The flow rate, pressure, power, and Ar dilution were selected to provide a relatively stable process that did not produce the vibrations often encountered with these electronegative discharges. The DC self-bias voltage was maintained at 150 volts.

Figure 2005520354
Figure 2005520354

Figure 2005520354
Figure 2005520354

Cl/Oガスを用いたハードマスク/反射防止層およびUV−80のエッチング
HM/ARCおよびUV80を、表IIIに記載したエッチング法を使用したほかは実施例2と同様に処理した。
Etching HM / ARC and UV80 hardmask / antireflective layer and UV80 using Cl 2 / O 2 gas, in addition to using the etching method described in Table III were treated in the same manner as in Example 2.

Figure 2005520354
Figure 2005520354

HM/ARCのエッチング速度はUV−80よりもかなり小さい。   The etching rate of HM / ARC is much smaller than UV-80.

Figure 2005520354
Figure 2005520354

Claims (10)

スピンオン反射防止層の形成に適した組成物であって、
(a)SiO部分および発色団部分を含むポリマーと、
(b)架橋成分と、
(c)酸発生剤と
を含む組成物。
A composition suitable for forming a spin-on antireflection layer,
(A) a polymer comprising a SiO moiety and a chromophore moiety;
(B) a crosslinking component;
(C) A composition comprising: an acid generator.
前記SiO部分が、シロキサン部分およびシルセスキオキサン部分からなるグループから選択された、請求項1に記載の組成物。   The composition of claim 1 wherein the SiO moiety is selected from the group consisting of a siloxane moiety and a silsesquioxane moiety. 前記酸発生剤が熱によって活性化される酸発生剤である、請求項1に記載の組成物。   The composition according to claim 1, wherein the acid generator is an acid generator activated by heat. 前記SiO含有ポリマーがさらに、前記ポリマーに沿って分布した、前記架橋成分と反応するための複数の反応部位を含む、請求項1に記載の組成物。   The composition of claim 1, wherein the SiO-containing polymer further comprises a plurality of reactive sites distributed along the polymer to react with the cross-linking component. 前記発色団部分が、クリセン、ピレン、フルオランセン、アントロン、ベンゾフェノン、チオキサントンおよびアントラセンからなるグループから選択された、請求項1に記載の組成物。   The composition of claim 1, wherein the chromophore moiety is selected from the group consisting of chrysene, pyrene, fluoranthene, anthrone, benzophenone, thioxanthone and anthracene. 前記架橋成分がグリコールウリル化合物を含む、請求項1に記載の組成物。   The composition of claim 1, wherein the crosslinking component comprises a glycoluril compound. 前記SiO部分が前記ポリマーの主鎖部分にある、請求項1に記載の組成物。   The composition of claim 1, wherein the SiO moiety is in the main chain portion of the polymer. 基板上の反射防止層であって、架橋した形態の請求項1ないし7のいずれかに記載の組成物を含む反射防止層。   An antireflection layer comprising a composition according to any one of claims 1 to 7 in a crosslinked form on a substrate. パターン形成された材料フィーチャを基板上に形成する方法であって、
(a)基板上に材料層を提供すること、
(b)架橋した形態の請求項1ないし7のいずれかに記載の組成物を含む反射防止層を前記材料層の上に形成すること、
(c)前記反射防止層の上に放射線感受性画像形成層を形成すること、
(d)前記画像形成層をパターンどおりに放射線露光し、それによって前記画像形成層に放射線露光領域のパターンを生み出すこと、
(e)前記画像形成層および反射防止層の一部分を選択的に除去して前記材料層の一部分を露出させること、ならびに
(f)前記材料層の前記露出部分をエッチングし、それによってパターン形成された前記材料フィーチャを形成すること
を含む方法。
A method of forming a patterned material feature on a substrate, comprising:
(A) providing a material layer on the substrate;
(B) forming an antireflective layer containing the composition according to any one of claims 1 to 7 in a crosslinked form on the material layer;
(C) forming a radiation-sensitive image forming layer on the antireflection layer;
(D) subjecting the image forming layer to radiation exposure in a pattern, thereby producing a pattern of radiation exposed areas in the image forming layer;
(E) selectively removing a portion of the imaging layer and antireflective layer to expose a portion of the material layer; and (f) etching the exposed portion of the material layer, thereby patterning. Forming the material feature.
前記放射線が、(a)250nmよりも短い波長を有する紫外放射線および(b)電子ビーム放射線からなるグループから選択され、段階(b)で前記反射防止層が、請求項1ないし7のいずれかに記載の組成物をスピン・コーティングし、続いて前記組成物を架橋させることによって形成される、請求項9に記載の方法。   The radiation is selected from the group consisting of (a) ultraviolet radiation having a wavelength shorter than 250 nm and (b) electron beam radiation, and in step (b) the antireflection layer is in any of claims 1-7. 10. The method of claim 9, wherein the method is formed by spin-coating the composition described above and subsequently crosslinking the composition.
JP2004521395A 2002-07-11 2002-07-11 Silicon-containing antireflection layer as hard mask layer and method for forming the same Expired - Lifetime JP4336310B2 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2002/022176 WO2004007192A1 (en) 2000-06-23 2002-07-11 Antireflective silicon-containing compositions as hardmask layer

Publications (2)

Publication Number Publication Date
JP2005520354A true JP2005520354A (en) 2005-07-07
JP4336310B2 JP4336310B2 (en) 2009-09-30

Family

ID=34271593

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004521395A Expired - Lifetime JP4336310B2 (en) 2002-07-11 2002-07-11 Silicon-containing antireflection layer as hard mask layer and method for forming the same

Country Status (3)

Country Link
EP (1) EP1521797A4 (en)
JP (1) JP4336310B2 (en)
AU (1) AU2002329596A1 (en)

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006528999A (en) * 2003-05-23 2006-12-28 ダウ コーニング コーポレイシヨン Siloxane resin anti-reflective coating composition with high wet etch rate
WO2007097212A1 (en) * 2006-02-22 2007-08-30 Tokyo Ohka Kogyo Co., Ltd. Method for manufacturing organic semiconductor device and composition for forming insulating film used therein
JP2008160065A (en) * 2006-12-21 2008-07-10 Hynix Semiconductor Inc Method of forming dual damascene pattern
JP2008195908A (en) * 2007-02-16 2008-08-28 Toray Fine Chemicals Co Ltd Silicone copolymer having condensed polycyclic hydrocarbon group, and method for producing the same
JP2008266576A (en) * 2007-03-29 2008-11-06 Air Water Inc Polysiloxane compound, manufacturing method of the same and use of the same
EP2011830A1 (en) 2007-07-04 2009-01-07 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
EP2011829A1 (en) 2007-07-04 2009-01-07 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
US7678529B2 (en) 2005-11-21 2010-03-16 Shin-Etsu Chemical Co., Ltd. Silicon-containing film forming composition, silicon-containing film serving as etching mask, substrate processing intermediate, and substrate processing method
US7855043B2 (en) 2006-06-16 2010-12-21 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
US8026038B2 (en) 2007-11-22 2011-09-27 Shin-Etsu Chemical Co., Ltd. Metal oxide-containing film-forming composition, metal oxide-containing film, metal oxide-containing film-bearing substrate, and patterning method
US8029974B2 (en) 2008-10-02 2011-10-04 Shin-Etsu Chemical Co., Ltd. Metal oxide-containing film-forming composition, metal oxide-containing film-formed substrate, and patterning process
EP2426558A1 (en) 2010-09-01 2012-03-07 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film-formed substrate, and patterning process
EP2500775A2 (en) 2011-03-15 2012-09-19 Shin-Etsu Chemical Co., Ltd. Patterning process and composition for forming silicon-containing film usable therefor
EP2518562A2 (en) 2011-04-28 2012-10-31 Shin-Etsu Chemical Co., Ltd. A patterning process
US8323536B2 (en) 2010-11-12 2012-12-04 Shin-Etsu Chemical Co., Ltd. Near-infrared absorbing dye, near-infrared absorptive film-forming composition, and near-infrared absorptive film
US8329376B2 (en) 2006-04-11 2012-12-11 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
EP2540780A1 (en) 2011-06-28 2013-01-02 Shin-Etsu Chemical Co., Ltd. Composition for forming resist underlayer film and patterning process using the same
EP2560049A2 (en) 2011-08-17 2013-02-20 Shin-Etsu Chemical Co., Ltd. Composition for forming a silicon-containing resist underlayer film and patterning processing using the same
EP2599818A1 (en) 2011-11-29 2013-06-05 Shin-Etsu Chemical Co., Ltd. Silicon-containing resist underlayer film-forming composition and patterning process
EP2599819A1 (en) 2011-11-29 2013-06-05 Shin-Etsu Chemical Co., Ltd. Silicon-containing resist underlayer film-forming composition and patterning process
EP2628745A1 (en) 2012-02-14 2013-08-21 Shin-Etsu Chemical Co., Ltd. Silicon-containing surface modifier, resist lower layer film-forming composition containing the same, and patterning process
EP2628744A1 (en) 2012-02-14 2013-08-21 Shin-Etsu Chemical Co., Ltd. Silicon-containing surface modifier, resist underlayer film composition containing this, and patterning process
EP2657240A1 (en) 2012-04-23 2013-10-30 Shin-Etsu Chemical Co., Ltd. Silicon compound, silicon-containing compound, composition for forming resits underlayer film containing the same and patterning process
US8652267B2 (en) 2008-12-11 2014-02-18 Shin-Etsu Chemical Co., Ltd. Coated-type silicon-containing film stripping process
US8722307B2 (en) 2011-05-27 2014-05-13 International Business Machines Corporation Near-infrared absorptive layer-forming composition and multilayer film comprising near-infrared absorptive layer
US8759220B1 (en) 2013-02-28 2014-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process
KR20140113380A (en) 2013-03-15 2014-09-24 신에쓰 가가꾸 고교 가부시끼가이샤 Composition for forming titanium-containing resist underlayer film and patterning process
US8852844B2 (en) 2008-10-02 2014-10-07 Shin-Etsu Chemical Co., Ltd. Composition for forming silicon-containing film, silicon-containing film-formed substrate and patterning process
EP2857467A1 (en) 2013-10-02 2015-04-08 Shin-Etsu Chemical Co., Ltd. Composition for forming a silicon-containing resist under layer film and patterning process
US9176382B2 (en) 2013-03-15 2015-11-03 Shin-Etsu Chemical Co., Ltd. Composition for forming titanium-containing resist underlayer film and patterning process
KR20160022251A (en) 2014-08-19 2016-02-29 신에쓰 가가꾸 고교 가부시끼가이샤 Ultraviolet absorbent, composition for forming resist under layer film and patterning process
US9315670B2 (en) 2013-02-15 2016-04-19 Shin-Etsu Chemical Co., Ltd. Composition for forming resist underlayer film and patterning process
US9377690B2 (en) 2013-01-08 2016-06-28 Shin-Etsu Chemical Co., Ltd. Compositon for forming metal oxide-containing film and patterning process
US9490144B2 (en) 2014-06-04 2016-11-08 Shin-Etsu Chemical Co., Ltd. Quaternary ammonium salt compound, composition for forming a resist under layer film, and patterning process

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1190277B1 (en) * 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6890448B2 (en) * 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
DE60128818T2 (en) * 2000-09-19 2008-02-07 Shipley Co., L.L.C., Marlborough ANTI REFLECTION COMPOSITION
EP1197511A1 (en) * 2000-10-10 2002-04-17 Shipley Company LLC Antireflective composition
TW594416B (en) * 2001-05-08 2004-06-21 Shipley Co Llc Photoimageable composition
US6730454B2 (en) * 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer

Cited By (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006528999A (en) * 2003-05-23 2006-12-28 ダウ コーニング コーポレイシヨン Siloxane resin anti-reflective coating composition with high wet etch rate
JP4796498B2 (en) * 2003-05-23 2011-10-19 ダウ コーニング コーポレーション Siloxane resin anti-reflective coating composition with high wet etch rate
US7678529B2 (en) 2005-11-21 2010-03-16 Shin-Etsu Chemical Co., Ltd. Silicon-containing film forming composition, silicon-containing film serving as etching mask, substrate processing intermediate, and substrate processing method
WO2007097212A1 (en) * 2006-02-22 2007-08-30 Tokyo Ohka Kogyo Co., Ltd. Method for manufacturing organic semiconductor device and composition for forming insulating film used therein
TWI412136B (en) * 2006-02-22 2013-10-11 Tokyo Ohka Kogyo Co Ltd The method for producing an organic semiconductor element and the composition for forming an insulating film used in the method
US8329376B2 (en) 2006-04-11 2012-12-11 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
US7855043B2 (en) 2006-06-16 2010-12-21 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
JP2008160065A (en) * 2006-12-21 2008-07-10 Hynix Semiconductor Inc Method of forming dual damascene pattern
JP2008195908A (en) * 2007-02-16 2008-08-28 Toray Fine Chemicals Co Ltd Silicone copolymer having condensed polycyclic hydrocarbon group, and method for producing the same
JP2008266576A (en) * 2007-03-29 2008-11-06 Air Water Inc Polysiloxane compound, manufacturing method of the same and use of the same
EP2011829A1 (en) 2007-07-04 2009-01-07 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
US7875417B2 (en) 2007-07-04 2011-01-25 Shin-Etsu Chemical Co., Ltd. Silicone-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
US8652750B2 (en) 2007-07-04 2014-02-18 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
EP2011830A1 (en) 2007-07-04 2009-01-07 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
US8026038B2 (en) 2007-11-22 2011-09-27 Shin-Etsu Chemical Co., Ltd. Metal oxide-containing film-forming composition, metal oxide-containing film, metal oxide-containing film-bearing substrate, and patterning method
US8029974B2 (en) 2008-10-02 2011-10-04 Shin-Etsu Chemical Co., Ltd. Metal oxide-containing film-forming composition, metal oxide-containing film-formed substrate, and patterning process
US8852844B2 (en) 2008-10-02 2014-10-07 Shin-Etsu Chemical Co., Ltd. Composition for forming silicon-containing film, silicon-containing film-formed substrate and patterning process
US8652267B2 (en) 2008-12-11 2014-02-18 Shin-Etsu Chemical Co., Ltd. Coated-type silicon-containing film stripping process
EP2426558A1 (en) 2010-09-01 2012-03-07 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film-formed substrate, and patterning process
US8501386B2 (en) 2010-09-01 2013-08-06 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film-formed substrate, and patterning process
US8323536B2 (en) 2010-11-12 2012-12-04 Shin-Etsu Chemical Co., Ltd. Near-infrared absorbing dye, near-infrared absorptive film-forming composition, and near-infrared absorptive film
EP2500775A2 (en) 2011-03-15 2012-09-19 Shin-Etsu Chemical Co., Ltd. Patterning process and composition for forming silicon-containing film usable therefor
US8951711B2 (en) 2011-03-15 2015-02-10 Shin-Etsu Chemical Co., Ltd. Patterning process and composition for forming silicon-containing film usable therefor
US8835102B2 (en) 2011-03-15 2014-09-16 Shin-Etsu Chemical Co., Ltd. Patterning process and composition for forming silicon-containing film usable therefor
US8859189B2 (en) 2011-04-28 2014-10-14 Shin-Etsu Chemical Co., Ltd. Patterning process
KR20120122944A (en) 2011-04-28 2012-11-07 신에쓰 가가꾸 고교 가부시끼가이샤 A patterning process
EP2518562A2 (en) 2011-04-28 2012-10-31 Shin-Etsu Chemical Co., Ltd. A patterning process
US8722307B2 (en) 2011-05-27 2014-05-13 International Business Machines Corporation Near-infrared absorptive layer-forming composition and multilayer film comprising near-infrared absorptive layer
US8951917B2 (en) 2011-06-28 2015-02-10 Shin-Etsu Chemical Co., Ltd. Composition for forming resist underlayer film and patterning process using the same
EP2540780A1 (en) 2011-06-28 2013-01-02 Shin-Etsu Chemical Co., Ltd. Composition for forming resist underlayer film and patterning process using the same
US8932953B2 (en) 2011-08-17 2015-01-13 Shin-Etsu Chemical Co., Ltd. Composition for forming a silicon-containing resist underlayer film and patterning process using the same
EP2560049A2 (en) 2011-08-17 2013-02-20 Shin-Etsu Chemical Co., Ltd. Composition for forming a silicon-containing resist underlayer film and patterning processing using the same
KR20130020577A (en) 2011-08-17 2013-02-27 신에쓰 가가꾸 고교 가부시끼가이샤 Composition for forming a silicon-containing resist underlayer film and patterning process using the same
EP2599819A1 (en) 2011-11-29 2013-06-05 Shin-Etsu Chemical Co., Ltd. Silicon-containing resist underlayer film-forming composition and patterning process
US8945820B2 (en) 2011-11-29 2015-02-03 Shin-Etsu Chemical Co., Ltd. Silicon-containing resist underlayer film-forming composition and patterning process
EP2599818A1 (en) 2011-11-29 2013-06-05 Shin-Etsu Chemical Co., Ltd. Silicon-containing resist underlayer film-forming composition and patterning process
US8715913B2 (en) 2011-11-29 2014-05-06 Shin-Etsu Chemical Co., Ltd. Silicon-containing resist underlayer film-forming composition and patterning process
EP2628745A1 (en) 2012-02-14 2013-08-21 Shin-Etsu Chemical Co., Ltd. Silicon-containing surface modifier, resist lower layer film-forming composition containing the same, and patterning process
US9069247B2 (en) 2012-02-14 2015-06-30 Shin-Etsu Chemical Co., Ltd. Silicon-containing surface modifier, resist lower layer film-forming composition containing the same, and patterning process
EP2628744A1 (en) 2012-02-14 2013-08-21 Shin-Etsu Chemical Co., Ltd. Silicon-containing surface modifier, resist underlayer film composition containing this, and patterning process
US9075309B2 (en) 2012-02-14 2015-07-07 Shin-Etsu Chemical Co., Ltd. Silicon-containing surface modifier, resist underlayer film composition containing this, and patterning process
EP2657240A1 (en) 2012-04-23 2013-10-30 Shin-Etsu Chemical Co., Ltd. Silicon compound, silicon-containing compound, composition for forming resits underlayer film containing the same and patterning process
US9377690B2 (en) 2013-01-08 2016-06-28 Shin-Etsu Chemical Co., Ltd. Compositon for forming metal oxide-containing film and patterning process
US9315670B2 (en) 2013-02-15 2016-04-19 Shin-Etsu Chemical Co., Ltd. Composition for forming resist underlayer film and patterning process
US8759220B1 (en) 2013-02-28 2014-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process
KR20140113380A (en) 2013-03-15 2014-09-24 신에쓰 가가꾸 고교 가부시끼가이샤 Composition for forming titanium-containing resist underlayer film and patterning process
US9176382B2 (en) 2013-03-15 2015-11-03 Shin-Etsu Chemical Co., Ltd. Composition for forming titanium-containing resist underlayer film and patterning process
US9188866B2 (en) 2013-03-15 2015-11-17 Shin-Etsu Chemical Co., Ltd. Composition for forming titanium-containing resist underlayer film and patterning process
EP2857467A1 (en) 2013-10-02 2015-04-08 Shin-Etsu Chemical Co., Ltd. Composition for forming a silicon-containing resist under layer film and patterning process
US9312144B2 (en) 2013-10-02 2016-04-12 Shin-Etsu Chemical Co., Ltd. Composition for forming a silicon-containing resist under layer film and patterning process
US9490144B2 (en) 2014-06-04 2016-11-08 Shin-Etsu Chemical Co., Ltd. Quaternary ammonium salt compound, composition for forming a resist under layer film, and patterning process
KR20160022251A (en) 2014-08-19 2016-02-29 신에쓰 가가꾸 고교 가부시끼가이샤 Ultraviolet absorbent, composition for forming resist under layer film and patterning process
US9624356B2 (en) 2014-08-19 2017-04-18 Shin-Etsu Chemial Co., Ltd Ultraviolet absorber, composition for forming a resist under layer film, and patterning process

Also Published As

Publication number Publication date
EP1521797A4 (en) 2006-12-20
JP4336310B2 (en) 2009-09-30
EP1521797A1 (en) 2005-04-13
AU2002329596A1 (en) 2004-02-02

Similar Documents

Publication Publication Date Title
JP4336310B2 (en) Silicon-containing antireflection layer as hard mask layer and method for forming the same
US6503692B2 (en) Antireflective silicon-containing compositions as hardmask layer
JP4384919B2 (en) Anti-reflective SiO-containing composition for hard mask layer
JP4086830B2 (en) Silicon-containing composition for spin-on ARC / hard mask
JP4042981B2 (en) Anti-reflective hard mask composition for lithography and method for manufacturing semiconductor device using the same
JP4133968B2 (en) Anti-reflective hard mask composition and method for manufacturing semiconductor device using the same
JP5220418B2 (en) Low refractive index polymer as the base layer for silicon-containing photoresists
US6927015B2 (en) Underlayer compositions for multilayer lithographic processes
EP1061560B1 (en) Antireflective hard mask compositions
KR101230529B1 (en) Hardmask Composition and Method for forming a pattern of Semiconductor Device Using the Same
JP2013522654A (en) An antireflection hard mask composition and a method for preparing a patterned material using the same.
KR100666023B1 (en) Antireflective silicon-containing compositions as hardmask layer

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080125

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090206

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090327

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090508

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090616

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090626

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120703

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4336310

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130703

Year of fee payment: 4

EXPY Cancellation because of completion of term