JP2005512309A6 - Spin-on antireflection coating for photolithography - Google Patents

Spin-on antireflection coating for photolithography Download PDF

Info

Publication number
JP2005512309A6
JP2005512309A6 JP2003546172A JP2003546172A JP2005512309A6 JP 2005512309 A6 JP2005512309 A6 JP 2005512309A6 JP 2003546172 A JP2003546172 A JP 2003546172A JP 2003546172 A JP2003546172 A JP 2003546172A JP 2005512309 A6 JP2005512309 A6 JP 2005512309A6
Authority
JP
Japan
Prior art keywords
grams
spin
composition
acid
deionized water
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003546172A
Other languages
Japanese (ja)
Other versions
JP4381143B2 (en
JP2005512309A (en
Inventor
ボールドウィン,テレサ
ケネディー,ジョセフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Filing date
Publication date
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Priority claimed from PCT/US2001/045306 external-priority patent/WO2003044600A1/en
Publication of JP2005512309A publication Critical patent/JP2005512309A/en
Publication of JP2005512309A6 publication Critical patent/JP2005512309A6/en
Application granted granted Critical
Publication of JP4381143B2 publication Critical patent/JP4381143B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Abstract

紫外線フォトリソグラフィー用反射防止膜材料は、スピンオン材料に導入される、少なくとも1つの吸収性化合物と、少なくとも1つのpH調整剤とを含む。適した吸収性化合物は、フォトリソグラフィーに使用され得る365 nm、248 nm、193 nm、および157 nmといった波長周辺を吸収する吸収性化合物である。好適なpH調整剤は、最終的なスピンオン組成物のpHを調整するだけでなく、層状材料、電子部品、または半導体部品の一部となる最終的なスピンオン組成物の化学的性能および特徴、機械的性能、ならびに構造的構成に影響を及ぼし、最終的なスピンオン組成物が、組み合わされるレジスト材料とより適合するようにさせる。より具体的には、pH調整剤は、ポリマー特性、構造的な構成、および空間的な方向性に強く影響し、反射防止膜の表面特性を最適なレジスト性能となるように向上させる。つまり、スピンオン材料のpHを単に調整するのみで、スピンオン組成物または組み合わされるレジスト材料の機械的性質および構造的構成に影響を及ぼさないpH調整剤は、本明細書においては、考えられない。吸収性でpH調整されたスピンオン材料の製造方法には、少なくとも1つの有機吸収性化合物と少なくとも1つのpH調整剤とを、スピンオン材料および組成物の合成中に、少なくとも1つのシラン反応物と混合させることが含まれる。  The antireflective coating material for ultraviolet photolithography includes at least one absorbing compound and at least one pH adjuster that are introduced into the spin-on material. Suitable absorbing compounds are those that absorb around wavelengths such as 365 nm, 248 nm, 193 nm, and 157 nm that can be used for photolithography. Suitable pH modifiers not only adjust the pH of the final spin-on composition, but also the chemical performance and characteristics of the final spin-on composition that becomes part of the layered material, electronic component, or semiconductor component, machine Affect the physical performance as well as the structural configuration, making the final spin-on composition more compatible with the resist material being combined. More specifically, the pH adjusting agent strongly influences the polymer characteristics, the structural configuration, and the spatial directionality, and improves the surface characteristics of the antireflection film so as to obtain optimum resist performance. That is, a pH adjusting agent that merely adjusts the pH of the spin-on material and does not affect the mechanical properties and structural configuration of the spin-on composition or the combined resist material is not considered herein. A method of making an absorbable and pH adjusted spin-on material includes mixing at least one organic absorbing compound and at least one pH adjusting agent with at least one silane reactant during the synthesis of the spin-on material and composition. Included.

Description

発明の分野
本発明は、一般的にはスピンオンガラス材料に関し、より詳細には、フォトリソグラフィーにおける反射防止層として使用するための光吸収性スピンオンガラス材料およびその材料の製造方法に関する。
The present invention relates generally to spin-on glass materials, and more particularly to a light-absorbing spin-on glass material for use as an antireflective layer in photolithography and a method for producing the material.

発明の背景
より高速の動作に対する要求を満たすため、集積回路デバイスの特徴空間の特性次元(characteristic dimensions)が小さくなり続けている。より小さい寸法のデバイスの製造にあたっては、半導体の製造において従来用いられてきた多くのプロセスにおいて新たな課題が生じる。これらの製造工程において最も重要なものの1つが、フォトリソグラフィーである。
BACKGROUND OF THE INVENTION In order to meet the demand for faster operation than the background of the invention, the characteristic dimensions of the feature space of integrated circuit devices continue to decrease. The manufacture of smaller sized devices creates new challenges in many processes conventionally used in semiconductor manufacturing. One of the most important in these manufacturing processes is photolithography.

フォトリソグラフィーで作成されるパターンの線幅の変動(ばらつき)が、半導体ウエハのアンダー層(underlying layer)で反射する光による光学的な干渉に起因し得ることが、長い間、認識されてきた。また、アンダー層のトポグラフィーによるフォトレジストの厚さの変動(ばらつき)も、線幅の変動を生じる。フォトレジスト層の下に施される反射防止膜(ARC)は、照射光線の反射による干渉を防ぐために用いられてきた。さらに、反射防止膜は、ウエハのトポグラフィーを部分的に平坦化し、フォトレジストの厚さをより均一にすることによりステップにわたる線幅の変動を改善する。   It has long been recognized that variations (variations) in the line width of a pattern produced by photolithography can be attributed to optical interference due to light reflected by an underlying layer of a semiconductor wafer. In addition, a variation (variation) in the thickness of the photoresist due to the topography of the under layer also causes a variation in the line width. An anti-reflective coating (ARC) applied under the photoresist layer has been used to prevent interference due to reflection of the irradiated light. In addition, the anti-reflective coating improves the line width variation across steps by partially planarizing the topography of the wafer and making the photoresist thickness more uniform.

有機高分子フィルム(特に、フォトレジストを露光させるために従来用いられてきたi線(365nm)およびg線(436nm)の波長を吸収する有機高分子フィルム、および最近用いられる、157 nm、193 nm、248 nmの波長を吸収する有機高分子フィルム)が、反射防止膜として用いられ、または、反射防止膜として試験されている。しかし、有機ARCが有機フォトレジストと多くの化学的性質を共通にするということから、使用できる工程の配列が制限されてしまう。さらに、ARC(有機ARCと無機ARCの両方が含まれる)は、フォトレジスト層と混合される。有機ARCおよび無機ARCは、充分にベイク(焼成)またはキュアされていない場合、フォトレジスト層と混合してしまうことがある。   Organic polymer films (especially organic polymer films that absorb i-line (365 nm) and g-line (436 nm) wavelengths conventionally used to expose photoresists, and recently used 157 nm, 193 nm , An organic polymer film that absorbs a wavelength of 248 nm) has been used as an antireflective coating or has been tested as an antireflective coating. However, since organic ARC shares many chemical properties with organic photoresists, the process sequences that can be used are limited. In addition, ARC (which includes both organic and inorganic ARC) is mixed with the photoresist layer. Organic ARC and inorganic ARC may mix with the photoresist layer if not fully baked or cured.

こういった混合を避けるための1つの方法は、有機ARCに付加的な成分として熱硬化性バインダーを添加することであり、例えば、米国特許第5,693,691号(Flaim et al)に記載されている。米国特許第4,910.122号(Arnold et al)に記載されているように、場合によって付加的な添加剤(例えば、湿潤剤、接着促進剤、保存剤、可塑剤など)と同様に、色素を有機ARC'sに導入することができる。こういったこれまでの特許により混合してしまうという問題のいくらかは解決されるかもしれないが、組合わされるARC層に起因するレジスト端の86°〜90°の均一性の欠如の問題は従来の技術では解決されなかった。   One way to avoid such mixing is to add a thermosetting binder as an additional component to the organic ARC, as described, for example, in US Pat. No. 5,693,691 (Flaim et al). As described in U.S. Pat. No. 4,910.122 (Arnold et al), the dye may optionally be organic ARC's, as well as additional additives (eg, wetting agents, adhesion promoters, preservatives, plasticizers, etc.). Can be introduced. Some of these mixed-up issues may be solved, but the lack of 86 ° -90 ° uniformity of resist edges due to the combined ARC layer has been It was not solved by the technology.

また、フォトレジストと反射防止膜とは互いに影響し合い、レジストにパターンが現像されると、反射防止膜および/またはレジスト材料の化学的性質によりレジストが「崩れる(fall over)」ことがある。つまり、パターンを付けられたレジストの側壁が、フォトレジストが現像された後、反射防止膜に対し約90度の角度を維持できないのである。その代わり、レジストが反射防止膜に対して120°または80°となる。このような欠点も、フォトレジスト材料と反射防止膜とが、化学的、物理的、または機械的に不必要に適合性があることの現れである。   Also, the photoresist and the antireflective film interact with each other, and when a pattern is developed in the resist, the resist may “fall over” due to the chemical nature of the antireflective film and / or resist material. That is, the patterned resist sidewall cannot maintain an angle of about 90 degrees with respect to the antireflective coating after the photoresist is developed. Instead, the resist is at 120 ° or 80 ° with respect to the antireflection film. Such drawbacks are also a manifestation of the unnecessarily chemical, physical or mechanical compatibility of the photoresist material and the anti-reflective coating.

反射防止層として使用することのできる他のグループの材料として、色素を含有するスピンオンガラス(SOG)組成物がある。Yauらによる米国特許第4,587,138号には、スピンオンガラスに約1重量%の量で色素(ベーシックイエロー#11など)を混合することが記載されている。Allmanらの米国特許第5,100,503号には、無機色素(例えば、TiO2、Cr2O7、MoO4、MnO4、またはSCO4)および接着促進剤を含有する架橋ポリオルガノシロキサンが記載されている。Allmanらの文献は、また、スピンオンガラス組成物が平坦化層(planarizing layer)として働くことも教示している。しかし、これまでに開示されているスピンオンガラスと色素との複合化は、小さな寸法のデバイスを製造するため用いられる遠紫外光源(特に、248および193 nm)の感光には適していない。さらに、すべての色素を簡単にすべてのスピンオンガラス組成物に導入できる訳ではない。また、これらのARCが上述の有機ARCと化学的に異なるとしても、組み合わされるレジスト層は、ARC層とレジスト層との化学的、物理的、および機械的な不適合(これらは、レジスト材料と反射防止膜とを組み合わせる際に共通する問題である)によって、現像後に依然「崩れる」ことがあり得る。 Another group of materials that can be used as an antireflective layer is a spin-on glass (SOG) composition containing a dye. U.S. Pat. No. 4,587,138 by Yau et al. Describes mixing a dye (such as Basic Yellow # 11) in a spin-on glass in an amount of about 1% by weight. U.S. Pat. No. 5,100,503 to Allman et al. Describes inorganic dyes (e.g., TiO 2 , Cr 2 O 7 , MoO Four , MnO Four Or SCO Four ) And cross-linked polyorganosiloxanes containing adhesion promoters are described. Allman et al. Also teach that the spin-on glass composition acts as a planarizing layer. However, the combination of spin-on glass and dyes disclosed so far is not suitable for the exposure of deep ultraviolet light sources (especially 248 and 193 nm) used to produce small-sized devices. Furthermore, not all dyes can be easily introduced into all spin-on glass compositions. Also, even though these ARCs are chemically different from the organic ARCs described above, the combined resist layer is a chemical, physical and mechanical incompatibility between the ARC layer and the resist layer. Can be “collapsed” after development, due to common problems when combined with anti-blocking films.

よって、a)紫外光域において強力かつ均一に吸光し、b)レジスト材料を「崩れ」させたり、意図したレジストラインよりはみ出ることのない、c)フォトレジスト現像剤および上記のSOG反射防止膜の製造工程に対して感応することのない、吸収性スピンオンガラス反射防止膜およびリソグラフィー材料が、層状材料、電子部品、および半導体部品の製造を発展させるために望まれる。   Therefore, a) absorbs strongly and uniformly in the ultraviolet region, b) does not `` break '' the resist material or protrude from the intended resist line, c) the photoresist developer and the above SOG antireflection film Absorptive spin-on glass antireflective coatings and lithographic materials that are insensitive to the manufacturing process are desired to advance the manufacturing of layered materials, electronic components, and semiconductor components.

発明の概要
紫外線フォトリソグラフィー用反射防止膜材料は、無機スピンオン材料中またはスピンオンガラス(SOG)材料中に導入される、少なくとも1つの吸収性有機化合物と少なくとも1つのpH調整剤とを含んでなる。
SUMMARY OF THE INVENTION An antireflective coating material for ultraviolet photolithography comprises at least one absorptive organic compound and at least one pH adjuster that are introduced into an inorganic spin-on material or a spin-on glass (SOG) material.

このスピンオン材料は、無機ベースの化合物(例えば、シリコンベースの化合物、ガリウムベースの化合物、アーセニック(ヒ素)ベース(arsenic-based)の化合物、ホウ素ベースの化合物、またはこれらの無機元素および材料の組み合わせ)を含んでなる。考えられるスピンオンガラス材料のいくつかは、メチルシロキサン、メチルシルセスキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサン、シリケートポリマー、およびこれらの混合物を含んでもよい。本明細書において用いられるように、「スピンオンガラス材料」として知られるグループには、シロキサンポリマー、一般式(H0〜1.0SiO1.5〜2.0)xのハイドロジェンシロキサンポリマーおよび式(HSiO1.5)xを有するハイドロジェンシルセスキオキサンポリマー(式中、xは約4より大きい)が含まれてもよい。また、ハイドロジェンシルセスキオキサンとアルコキシヒドリドシロキサンあるいはヒドロキシヒドリドシロキサンとのコポリマーが含まれてもよい。スピンオンガラス材料は、付加的に、一般式(H0〜1.0SiO1.5〜2.0)n(R0〜1.0SiO1.5〜2.0)mの有機ヒドリドシロキサンポリマーおよび一般式(HSiO1.5)n(RSiO1.5)mの有機ヒドリドシルセスキオキサンポリマー(式中、mは0より大きく、nとmの合成は約4より大きく、Rはアルキルまたはアリールである)を含む。 This spin-on material is an inorganic-based compound (eg, a silicon-based compound, a gallium-based compound, an arsenic-based compound, a boron-based compound, or a combination of these inorganic elements and materials) Comprising. Some of the possible spin-on glass materials may include methyl siloxane, methyl silsesquioxane, phenyl siloxane, phenyl silsesquioxane, methyl phenyl siloxane, methyl phenyl silsesquioxane, silicate polymers, and mixtures thereof. . As used herein, a group known as “spin-on glass materials” includes siloxane polymers, hydrogen siloxane polymers of the general formula (H 0-1.0 SiO 1.5-2.0 ) x and formula (HSiO 1.5 ) x . Hydrogensilsesquioxane polymers having x where x is greater than about 4 may be included. A copolymer of hydrogensilsesquioxane and alkoxyhydridosiloxane or hydroxyhydridosiloxane may also be included. The spin-on glass material additionally comprises an organic hydridosiloxane polymer of the general formula (H 0-1.0 SiO 1.5-2.0 ) n (R 0-1.0 SiO 1.5-2.0 ) m and a general formula (HSiO 1.5 ) n (RSiO 1.5 ) m organic hydridosilsesquioxane polymers, where m is greater than 0, n and m are synthesized greater than about 4, and R is alkyl or aryl.

このスピンオンガラス材料に導入するのに適した吸収性化合物は、375 nm未満、または約260 nm未満の波長において、強い吸光性を有する。具体的には、適した吸光性化合物は、フォトリソグラフィーにおいて用いられ得る、248 nm、193 mn、157 nmといった波長周辺、または他の紫外域の波長(例えば、365 nm)にて吸収性を有する。適した化合物の発色団は、典型的には、少なくとも1つのベンゼン環を有しており、2以上のベンゼン環を有している場合には、これらの環は縮合していても、縮合していなくてもよい。導入することが可能な吸収性化合物は、発色団に連結された、接触可能な反応性基を有しており、この反応性基は、ヒドロキシル基、アミン基、カルボン酸基、および1、2、または3つのアルコキシ基に結合したケイ素またはハロゲン原子置換基で置換されたシリル基を含んでもよい。反応性基は直接、発色団と結合していてもよいし、炭化水素の架橋や酸素結合を介して発色団と結合していてもよい。また、発色団は、スピンオンガラス材料を作製するために用いられるのと同様の、シリコンベースの化合物またはポリマーを含んでいてもよい。   Absorbing compounds suitable for introduction into this spin-on-glass material have strong absorbance at wavelengths below 375 nm, or below about 260 nm. Specifically, suitable light-absorbing compounds have absorption at wavelengths around 248 nm, 193 mn, 157 nm, or other ultraviolet wavelengths (eg 365 nm) that can be used in photolithography. . The chromophores of suitable compounds typically have at least one benzene ring, and if they have more than one benzene ring, these rings may be fused, even if they are fused. It does not have to be. Absorbable compounds that can be introduced have accessible reactive groups linked to a chromophore, which are hydroxyl groups, amine groups, carboxylic acid groups, and 1, 2 Or a silyl group substituted with a silicon or halogen atom substituent bonded to three alkoxy groups. The reactive group may be directly bonded to the chromophore, or may be bonded to the chromophore through a hydrocarbon bridge or an oxygen bond. The chromophore may also contain silicon-based compounds or polymers similar to those used to make spin-on glass materials.

pH調整剤は、スピンオン材料の混合物および吸収性有機化合物の一部に添加して、最終的なスピンオン組成物のpHを、最終的なスピンオン組成物が組み合わされるレジスト層や他の組み合わされる層と適合性が良くなるようなpHに「調整」または調節する化合物、材料、または溶液である。しかし、pH調整剤が、最終的なスピンオン組成物のpHを調節するだけでなく、層状材料、電気部品、または半導体部品の一部といった最終的なスピンオン組成物の化学的な性能、機械的な性能、および構造的な構成にまで影響を及ぼし、スピンオン組成物と組み合わされるレジスト材料との適合性をより良くさせることが好ましい。より具体的には、pH調整剤は、ポリマーの性質、構造的な構成、および空間的な方向性に強く作用し、反射防止膜の表面特性を最適なレジスト性能が得られるように向上させる。つまり、単にスピンオン材料のpHを調節するだけで、スピンオン組成物や組み合わされるレジスト材料の機械的特性および構造的構成に作用しないpH調整剤は、本明細書において意図するものではない。   A pH adjuster is added to the mixture of spin-on materials and a portion of the absorbing organic compound to control the pH of the final spin-on composition with the resist layer and other combined layers with which the final spin-on composition is combined. A compound, material, or solution that “adjusts” or adjusts to a pH such that it is compatible. However, the pH adjuster not only adjusts the pH of the final spin-on composition, but also the chemical performance, mechanical properties of the final spin-on composition, such as a layered material, electrical component, or part of a semiconductor component. It is preferable to affect performance and structural configuration, and to better match the resist material combined with the spin-on composition. More specifically, the pH adjuster strongly acts on the polymer properties, structural configuration, and spatial orientation, and improves the surface characteristics of the antireflection film so that optimum resist performance can be obtained. That is, pH adjusters that simply adjust the pH of the spin-on material and do not affect the mechanical properties and structural configuration of the spin-on composition and the resist material being combined are not contemplated herein.

本発明の他の観点からは、吸収性スピンオン組成物を合成するための方法が提供される。スピンオン材料は、通常、シランと、シリコンベースの反応剤(例えば、トリエトキシシラン、テトラエトキシシラン、メチルトリエトキシシラン、ジメチルジエトキシシラン、テトラメトキシシラン、メチルトリメトキシシラン、トリメトキシシラン、ジメチルジメトキシシラン、フェニルトリエトキシシラン、フェニルトリメトキシシラン、ジフェニルジエトキシシラン、およびジフェニルジメトキシシランなど)とから合成される。しかし、ガリウム、ヒ素、ゲルマニウム、ホウ素、ならびに類似の元素および材料も、ケイ素原子と組み合わせて、またはそれのみで、使用し、スピンオン材料を製造することができる。ハロシラン類(特に、クロロシラン類)もシラン反応剤として使用することができる。   Another aspect of the invention provides a method for synthesizing an absorptive spin-on composition. Spin-on materials are typically silane and silicon-based reactants (eg, triethoxysilane, tetraethoxysilane, methyltriethoxysilane, dimethyldiethoxysilane, tetramethoxysilane, methyltrimethoxysilane, trimethoxysilane, dimethyldimethoxy). Silane, phenyltriethoxysilane, phenyltrimethoxysilane, diphenyldiethoxysilane, and diphenyldimethoxysilane). However, gallium, arsenic, germanium, boron, and similar elements and materials can also be used in combination with or alone with silicon atoms to produce spin-on materials. Halosilanes (particularly chlorosilanes) can also be used as silane reactants.

吸収性スピンオン組成物を製造する方法は、少なくとも1つの無機ベースの組成物、少なくとも1つの導入することが可能な吸収性有機化合物、少なくとも1つのpH調整剤、酸/水混合物(例えば、硝酸/水混合物)、および少なくとも1つの溶媒を混合して反応混合物を生成させ;反応混合物を還流して吸収性スピンオン組成物を生成させることを含む。生成したスピンオン組成物は、次いで、少なくとも1つの溶媒で希釈し、種々の厚さのフィルムを製造するためのコーティング用溶液が製造される。また、pH調整剤を、還流工程の間あるいは還流工程の後に添加することもできる。   A method for producing an absorptive spin-on composition comprises at least one inorganic-based composition, at least one absorbable organic compound, at least one pH adjuster, an acid / water mixture (eg nitric acid / Water mixture), and mixing at least one solvent to form a reaction mixture; refluxing the reaction mixture to produce an absorbing spin-on composition. The resulting spin-on composition is then diluted with at least one solvent to produce a coating solution for producing films of various thicknesses. Moreover, a pH adjuster can also be added during a reflux process or after a reflux process.

吸収性スピンオン組成物の他の製造方法においては、少なくとも1つの無機ベースの組成物、少なくとも1つの導入することが可能な吸収性有機化合物、少なくとも1つのpH調整剤、および少なくとも1つの溶媒を混合して、反応混合物を生成させることができる。次いで、この反応混合物を還流して、吸収性スピンオン組成物を生成させる。生成したスピンオン組成物を少なくとも1つの溶媒で希釈して、種々の厚さのフィルムを製造するためのコーティング用溶液を調製する。この方法においてpH調整剤は、従来の様々な酸/水混合物(異なる酸を添加してもよく、少ない量の酸を添加してもよく、より多くの水を添加したものでもよい)であってよい。しかしながら、選択されたpH調整剤に関わらず、pH調整剤はpHのみに影響を及ぼすのでなく、ARCの化学的、機械的、および物理的特性にも影響を及ぼし、レジストとARCとの組み合わせの適合性もより良くするという基本原則はそのままである。   In another method of manufacturing an absorbent spin-on composition, at least one inorganic-based composition, at least one absorbable organic compound, at least one pH adjuster, and at least one solvent are mixed. Thus, a reaction mixture can be produced. The reaction mixture is then refluxed to produce an absorptive spin-on composition. The resulting spin-on composition is diluted with at least one solvent to prepare a coating solution for producing films of various thicknesses. In this method, the pH adjusting agent is a conventional various acid / water mixture (a different acid may be added, a small amount of acid may be added, or a larger amount of water may be added). You can. However, regardless of the pH adjuster selected, the pH adjuster not only affects the pH, but also the chemical, mechanical, and physical properties of the ARC, and the combination of resist and ARC. The basic principle of better compatibility remains the same.

本発明のさらに他の観点からは、吸収性スピンオン組成物は、少なくとも1つのシリコンベースの化合物、少なくとも1つの導入することが可能な吸収性有機化合物(これは、約375 nm未満の波長の光を吸収する)、およびpH調整剤を含むように製造される。さらに、少なくとも1つのシリコンベースの化合物または導入することが可能な吸収性有機化合物が少なくとも1つのアルキル基、アルコキシ基、ケトン基、またはアゾ基を含んでなる、吸収性スピンオン組成物が提供される。   In yet another aspect of the present invention, an absorptive spin-on composition includes at least one silicon-based compound, at least one absorptive organic compound that can be introduced (this is light having a wavelength of less than about 375 nm). And a pH adjuster. Further provided is an absorptive spin-on composition in which at least one silicon-based compound or absorbable organic compound that can be introduced comprises at least one alkyl group, alkoxy group, ketone group, or azo group. .

本発明のさらに他の観点からは、9-アントラセンカルボキシ-アルキルトリアルコキシシランを含んでなる化合物群の吸収性化合物を含んでなるスピンオン組成物が提供される。9-アントラセンカルボキシ-アルキルトリアルコキシシラン類のいずれかを合成する方法には、9-アントラセンカルボン酸、クロロアルキルトリアルコキシシラン、トリエチルアミン、および溶媒を混合して反応混合物を形成させ;この反応混合物を還流し;この還流した反応混合物を冷却して、沈殿物と残った溶液とを形成させ;さらに、残った溶液をろ過し、液体の9-アントラセンカルボキシ-アルキルトリアルコキシシランを製造することが含まれる。   Yet another aspect of the present invention provides a spin-on composition comprising an absorptive compound from the group of compounds comprising 9-anthracenecarboxy-alkyltrialkoxysilane. A method of synthesizing any of the 9-anthracenecarboxy-alkyltrialkoxysilanes includes mixing 9-anthracenecarboxylic acid, chloroalkyltrialkoxysilane, triethylamine, and a solvent to form a reaction mixture; Reflux; cooling the refluxed reaction mixture to form a precipitate and a remaining solution; further, filtering the remaining solution to produce a liquid 9-anthracenecarboxy-alkyltrialkoxysilane. It is.

詳細な説明
紫外線フォトリソグラフィー用反射防止膜材料は、無機スピンオン材料またはスピンオンガラス(SOG)材料に導入することが可能な、少なくとも1つの吸収性有機化合物と少なくとも1つのpH調整剤とを含む。この吸収性スピンオン組成物は適当な溶媒に溶解され、コーティング用溶液を形成し、層状材料、電子デバイス、および半導体デバイスの製造において、種々の材料の層に塗布される。吸収性スピンオン反射防止膜は、現行の層状材料、電子部品、または半導体製造工程に容易に組み込むことができるように設計される。この組み込みを容易にする特性のいくつかは、a)現像剤に対する耐久性、b)標準的なフォトレジスト工程における熱的安定性、およびc)アンダー層に対する選択的除去である。
DETAILED DESCRIPTION An anti-reflective coating material for ultraviolet photolithography includes at least one absorbing organic compound and at least one pH adjuster that can be introduced into an inorganic spin-on material or a spin-on glass (SOG) material. The absorbent spin-on composition is dissolved in a suitable solvent to form a coating solution and applied to layers of various materials in the manufacture of layered materials, electronic devices, and semiconductor devices. Absorptive spin-on antireflective coatings are designed to be easily incorporated into current layered materials, electronic components, or semiconductor manufacturing processes. Some of the properties that facilitate this incorporation are a) durability to the developer, b) thermal stability in standard photoresist processes, and c) selective removal to the underlayer.

スピンオン材料
考えられるスピンオン材料には、無機ベースの化合物(例えば、シリコンベースの化合物、ガリウムベースの化合物、ゲルマニウムベースの化合物、アーセニック(ヒ素)ベースの化合物、ホウ素ベースの化合物、またはこれらの組み合わせ)がある。本明細書で用いられるように、「スピンオン材料」、「有機スピンオン材料」、「スピンオン組成物」、および「無機スピンオン組成物」という用語は、交換することが可能である場合があり、基材や表面上にスピンオンさせることのできるその溶液やその組成物を指す場合もある。また、「スピンオンガラス材料」という用語は「無機スピンオン材料」の一部を示し、スピンオンガラス材料は、シリコンベースの化合物および/またはポリマーを全部または一部に含むスピンオン材料を示すと考えられる。シリコンベースの化合物としては、例えば、シロキサン化合物(例えば、メチルシロキサン、メチルシルセスキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサン、シラザンポリマー、シリケートポリマー、およびこれらの混合物など)が挙げられる。考えられるシラザンポリマーは、発色団を結合させることができる「透明な」ポリマー骨格を有する、パーヒドロシラザンである。
Spin-on materials Possible spin-on materials include inorganic-based compounds (eg, silicon-based compounds, gallium-based compounds, germanium-based compounds, arsenic-based compounds, boron-based compounds, or combinations thereof) is there. As used herein, the terms “spin-on material”, “organic spin-on material”, “spin-on composition”, and “inorganic spin-on composition” may be interchangeable and Or a solution or composition thereof that can be spun on the surface. Also, the term “spin-on glass material” refers to a portion of “inorganic spin-on material”, and the spin-on glass material is considered to indicate a spin-on material that includes silicon-based compounds and / or polymers in whole or in part. Silicon-based compounds include, for example, siloxane compounds (eg, methyl siloxane, methyl silsesquioxane, phenyl siloxane, phenyl silsesquioxane, methyl phenyl siloxane, methyl phenyl silsesquioxane, silazane polymers, silicate polymers, and And a mixture thereof). A possible silazane polymer is perhydrosilazane, which has a “transparent” polymer backbone to which chromophores can be attached.

本明細書において用いられるように、「スピンオンガラス材料」という用語も、シロキサンポリマーおよびブロックポリマー、一般式(H0-1.0SiO1.5〜2.0)xのハイドロジェンシロキサンポリマー、および、式(HSiO1.5)x(式中、xは約4より大きい)を有するハイドロジェンシルセスキオキサンポリマーを含む。また、ハイドロジェンシルセスキオキサンとアルコキシヒドリドシロキサンあるいはヒドロキシヒドリドシロキサンとのコポリマーも含まれる。スピンオンガラス材料は、付加的に、一般式(H0〜1.0SiO1.5〜2.0)n(R0〜1.0SiO1.5〜2.0)mの有機ヒドリドシロキサンポリマーおよび一般式(HSiO1.5)n(RSiO1.5)mの有機ヒドリドシルセスキオキサンポリマー(式中、mは0より大きく、nとmの合計が約4より大きく、Rはアルキルまたはアリールである)を含む。有用な有機ヒドリドシロキサンポリマーのいくつかは、RがC1〜C20のアルキル基またはC6〜C12のアリール基で、nとmの合計が約4〜約5000である。この有機ヒドリドシロキサンと有機ヒドリドシルセスキオキサンポリマーは、互いに示されるスピンオンポリマーである。具体例の中には、アルキルヒドリドシロキサン(例えば、メチルヒドリドシロキサン、エチルヒドリドシロキサン、プロピルヒドリドシロキサン、t-ブチルヒドリドシロキサン、フェニルヒドリドシロキサン);および、アルキルヒドリドシルセスキオキサン(例えば、メチルヒドリドシルセスキオキサン、エチルヒドリドシルセスキオキサン、プロピルヒドリドシルセスキオキサン、t-ブチルヒドリドシルセキオキサン、フェニルヒドリドシルセスキオキサン)、およびこれらの組み合わせが含まれる。 As used herein, the term “spin-on-glass material” also refers to siloxane polymers and block polymers, hydrogen siloxane polymers of the general formula (H 0-1.0 SiO 1.5-2.0 ) x , and formula (HSiO 1.5 ) a hydrogensilsesquioxane polymer having x (where x is greater than about 4). Also included are copolymers of hydrogensilsesquioxane and alkoxyhydridosiloxane or hydroxyhydridosiloxane. The spin-on glass material additionally comprises an organic hydridosiloxane polymer of the general formula (H 0-1.0 SiO 1.5-2.0 ) n (R 0-1.0 SiO 1.5-2.0 ) m and a general formula (HSiO 1.5 ) n (RSiO 1.5 ) m organic hydridosilsesquioxane polymers, wherein m is greater than 0, the sum of n and m is greater than about 4, and R is alkyl or aryl. Some useful organohydridosiloxane polymers, R is an aryl group an alkyl group or a C 6 -C 12 of C 1 -C 20, sum of n and m is from about 4 to about 5000. This organohydridosiloxane and organohydridosilsesquioxane polymer are spin-on polymers that are shown to each other. Among the specific examples are alkyl hydridosiloxanes (eg, methyl hydride siloxane, ethyl hydride siloxane, propyl hydrido siloxane, t-butyl hydrido siloxane, phenyl hydrido siloxane); and alkyl hydridosilsesquioxanes (eg, methyl hydridosyl). Sesquioxane, ethyl hydrido silsesquioxane, propyl hydrido silsesquioxane, t-butyl hydrido silsesquioxane, phenyl hydrido silsesquioxane), and combinations thereof.

吸収性化合物
多くのナフタレンベースの化合物、フェナントレンベースの化合物、およびアントラセンベースの化合物は、248 nmおよびそれ未満において強い吸収を有する。ベンゼンベースの化合物(ここでは、フェニルベースの化合物と同じ意味)は、200 nmより短い波長において強い吸収を有する。これらのナフタレンベースの化合物、アントラセンベースの化合物、フェナントレンベースの化合物、およびフェニルベースの化合物は、しばしば色素と言われるが、これらの化合物の吸収は可視光域の波長のみに限定される訳ではないため、本明細書においては吸収性化合物という用語を用いる。しかし、こういった吸収性化合物のすべてを、反射防止膜材料として使用するために、スピンオン材料に導入することができる訳ではない。本発明での使用に適した吸収性化合物は、定義できる吸収ピークが、フォトリソグラフィーにおいて用いられることのある、248 nm、193 nm、157 nmといった波長、または他の紫外域の波長(例えば、365nm)の周辺に集まっている。好ましい「定義できる吸収ピーク」はその幅が少なくとも1nmであるものである(ここで、この幅は、フォトリソグラフィーの分野において一般に公知の技術により測定される)。さらに好ましい態様においては、定義できる吸収のピークは、少なくとも5nmの幅を有する。よりさらに好ましい態様においては、定義できる吸収のピークは、少なくとも10 nmの幅を有する。
Absorbing compounds Many naphthalene-based compounds, phenanthrene-based compounds, and anthracene-based compounds have strong absorption at 248 nm and below. Benzene-based compounds (here, the same meaning as phenyl-based compounds) have strong absorption at wavelengths shorter than 200 nm. These naphthalene-based compounds, anthracene-based compounds, phenanthrene-based compounds, and phenyl-based compounds are often referred to as dyes, but the absorption of these compounds is not limited to only wavelengths in the visible range. Therefore, in this specification, the term absorptive compound is used. However, not all of these absorptive compounds can be introduced into the spin-on material for use as an antireflective coating material. Absorptive compounds suitable for use in the present invention have definable absorption peaks at wavelengths such as 248 nm, 193 nm, 157 nm, or other ultraviolet wavelengths (eg 365 nm) that may be used in photolithography. ) Preferred “definable absorption peaks” are those whose width is at least 1 nm (where the width is measured by techniques generally known in the field of photolithography). In a further preferred embodiment, the definable absorption peak has a width of at least 5 nm. In an even more preferred embodiment, the definable absorption peak has a width of at least 10 nm.

適した吸収性化合物の発色団は、典型的に、少なくとも1つのベンゼン環を有し、2以上のベンゼン環がある場合、ベンゼン環は縮合していても縮合していなくてもよい。導入することが可能な吸収性化合物は、発色団に結合された、接触可能な反応性基を有する。この反応性基としては、ヒドロキシル基、アミン基、カルボン酸基、1、2、または3つの「脱離基」(例えば、アルコキシ基またはハロゲン元素)にケイ素結合している置換シリル基が挙げられる。エトキシ基またはメトキシ基または塩素原子が、脱離基としてよく用いられる。好ましい反応性基としては、シリコンアルコキシ基、シリコンジアルコキシ基、およびシリコントリアルコキシ基(例えば、シリコンエトキシ基、シリコンジエトキシ基、シリコントリエトキシ基、シリコンメトキシ基、シリコンジメトキシ基、シリコントリメトキシ基)、およびハロシリル基(例えば、クロロシリル基、ジクロロシリル基、およびトリクロロシリル基)が挙げられる。   Suitable absorbing compound chromophores typically have at least one benzene ring, and if there are two or more benzene rings, the benzene rings may or may not be condensed. Absorbable compounds that can be introduced have accessible reactive groups attached to the chromophore. This reactive group includes a hydroxyl group, an amine group, a carboxylic acid group, a substituted silyl group that is silicon-bonded to one, two, or three “leaving groups” (eg, an alkoxy group or a halogen element). . Ethoxy groups or methoxy groups or chlorine atoms are often used as leaving groups. Preferred reactive groups include silicon alkoxy groups, silicon dialkoxy groups, and silicon trialkoxy groups (for example, silicon ethoxy groups, silicon diethoxy groups, silicon triethoxy groups, silicon methoxy groups, silicon dimethoxy groups, silicon trimethoxy groups). ) And halosilyl groups (for example, chlorosilyl, dichlorosilyl, and trichlorosilyl groups).

反応性基は、(例えば、フェニルトリエトキシシランのように)発色団と直接結合してもよく、(例えば、9-アントラセンカルボキシ-アルキルトリアルコキシシランのように)酸素結合や炭化水素の架橋を介して発色団と連結されていてもよい。発色団上にシリコントリアルコキシ基を含むことは、有利であることが見出されており、特に、吸収性SOGフィルムの安定性を向上させるのに有利であることが見出されている。他の有用な吸収性化合物は、アゾ基(-N=N-)および接触可能な反応性基を有する化合物であり、具体的な用途において365 nm付近の吸収が望まれる場合、ベンゼン環とつながっているアゾ基を有する化合物が特に有用である。アゾ基は、直鎖分子、環状分子、または直鎖分子と環状分子とのハイブリッド分子の一部として含まれていてもよい。   Reactive groups may be linked directly to chromophores (eg, phenyltriethoxysilane), and oxygen bonds and hydrocarbon bridges (eg, 9-anthracenecarboxy-alkyltrialkoxysilane). It may be linked to the chromophore via Inclusion of silicon trialkoxy groups on the chromophore has been found to be advantageous, and in particular has been found to be advantageous for improving the stability of absorbent SOG films. Other useful absorptive compounds are those that have an azo group (-N = N-) and a reactive group that can be contacted and are linked to the benzene ring if absorption near 365 nm is desired in a specific application. The compounds having an azo group are particularly useful. The azo group may be included as part of a linear molecule, a cyclic molecule, or a hybrid molecule of a linear molecule and a cyclic molecule.

吸収性化合物は、スピンオン材料の基質中に、相互接着的(interstitially)に導入されていてもよい。また、吸収性化合物はスピンオン材料やポリマーと化学的に結合してもよい。考えられる態様のなかには、導入することが可能な吸収性化合物が、接触可能な反応性基を介して、スピンオン材料の骨格やポリマー骨格と結合を形成するものもある。   The absorbing compound may be introduced interstitially into the substrate of the spin-on material. Further, the absorbing compound may be chemically bonded to the spin-on material or the polymer. In some possible embodiments, the absorbable compound that can be introduced forms a bond with the backbone of the spin-on material or the polymer backbone via a reactive group that can be contacted.

また、吸収性スピンオン組成物および材料は、シリコンベースの化合物と、約375 nm未満の波長において光を吸収する導入することが可能な吸収性有機化合物とを含む。さらに、他の態様においては、少なくとも1つのシリコンベースの化合物または導入することが可能な吸収性有機化合物が、少なくとも1つのアルキル基、アルコキシ基、ケトン基、またはアゾ基を含むと考えられる。   Absorptive spin-on compositions and materials also include silicon-based compounds and absorbable organic compounds that can be introduced that absorb light at wavelengths below about 375 nm. Furthermore, in other embodiments, the at least one silicon-based compound or the absorbable organic compound that can be introduced will comprise at least one alkyl group, alkoxy group, ketone group, or azo group.

本発明での使用に適した吸収性化合物としては、例えば、アントラフラビン酸(1)、9-アントラセンカルボン酸(2)、9-アントラセンメタノール(3)、9-アントラセンエタノール(4)、9-アントラセンプロパノール(5)、9-アントラセンブタノール(6)、アリザリン(7)、キニザリン(8)、プリムリン(primuline)(9)、2−ヒドロキシ-4-(3-トリエトキシシリルプロポキシ)-ジフェニルケトン(10)、2-ヒドロキシ-4-(3-トリメトキシシリルプロポキシ)-ジフェニルケトン(11)、2-ヒドロキシ-4-(3-トリブトキシシリルプロポキシ)-ジフェニルケトン(12)、2-ヒドロキシ-4-(3-トリプロポキシシリルプロポキシ)-ジフェニルケトン(13)、ロゾール酸(14)、トリエトキシシリルプロピル−1,8−ナフタリイミド (15)、トリメトキシシリルプロピル-1,8-ナフタルイミド(16)、トリプロポキシシリルプロピル-1,8-ナフタルイミド(17)、9-アントラセンカルボキシ-メチルトリエトキシシラン(18)、9-アントラセンカルボキシ-エチルトリエトキシシラン(19)、9-アントラセンカルボキシ-ブチルトリエトキシシラン(20)、9-アントラセンカルボキシ-プロピルトリエトキシシラン(21)、9-アントラセンカルボキシ-メチルトリメトキシシラン(22)、9-アントラセンカルボキシ-エチルトリブトキシシラン(23)、9-アントラセンカルボキシ-メチルトリプロポキシシラン(24)、9-アントラセンカルボキシ-プロピルトリメトキシシラン(25)、フェニルトリエトキシシラン(26)、フェニルトリメトキシシラン(27)、フェニルトリプロポキシシラン(28)、10−フェナントレンカルボキシ−メチルトリエトキシシラン(29)、10-フェナントレンカルボキシ-エチルトリエトキシシラン(30)、10-フェナントレンカルボキシ-メチルトリメトキシシラン(31)、10-フェナントレンカルボキシ-プロピルトリエトキシシラン(32)、4−フェニルアゾフェノール(33)、4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン(34)、4-メトキシフェニルアゾベンゼン-4-カルボキシ-エチルトリエトキシシラン(35)、4-エトキシフェニルアゾベンゼン-4-カルボキシ-プロピルトリエトキシシラン(36)、4-ブトキシフェニルアゾベンゼン-4-カルボキシ-プロピルトリエトキシシラン(37)、4−メトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン(38)、4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン(39)、4-メトキシフェニルアゾベンゼン-4-カルボキシ-エチルトリエトキシシラン(40)、4-メトキシフェニルアゾベンゼン-4-カルボキシ-プロピルトリエトキシシラン(41)、およびこれらの組み合わせが挙げられる。吸収性化合物1〜41の化学式を図1a〜図1fに示す。有利な結果が、例えば、9-アントラセンカルボキシ-メチルトリエトキシシラン(18)、9-アントラセンメタノール(3)と2−ヒドロキシ-4-(3-トリエトキシシリルプロポキシ)-ジフェニルケトン(10)とロゾール酸(14)との組み合わせ、およびフェニルトリエトキシシラン(26)の場合に得られた。しかし、上記の具体的な化合物のリストはすべてを示すリストではなく、考えられる化合物および好ましい化合物は、上記の具体的な化合物を含む化学的な化合物群から選択し得ることに留意すべきである。   Absorbable compounds suitable for use in the present invention include, for example, anthraflavic acid (1), 9-anthracenecarboxylic acid (2), 9-anthracenemethanol (3), 9-anthraceneethanol (4), 9- Anthracenepropanol (5), 9-anthracenebutanol (6), alizarin (7), quinizarin (8), primuline (9), 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone ( 10), 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenyl ketone (11), 2-hydroxy-4- (3-tributoxysilylpropoxy) -diphenyl ketone (12), 2-hydroxy-4 -(3-tripropoxysilylpropoxy) -diphenyl ketone (13), rosoleic acid (14), triethoxysilylpropyl-1,8-naphthalimide (15), trimethoxysilylpropyl-1,8-naphthalimide (16) , Tripropoxysil Propyl-1,8-naphthalimide (17), 9-anthracenecarboxy-methyltriethoxysilane (18), 9-anthracenecarboxy-ethyltriethoxysilane (19), 9-anthracenecarboxy-butyltriethoxysilane (20) 9-anthracenecarboxy-propyltriethoxysilane (21), 9-anthracenecarboxy-methyltrimethoxysilane (22), 9-anthracenecarboxy-ethyltributoxysilane (23), 9-anthracenecarboxy-methyltripropoxysilane ( 24), 9-anthracenecarboxy-propyltrimethoxysilane (25), phenyltriethoxysilane (26), phenyltrimethoxysilane (27), phenyltripropoxysilane (28), 10-phenanthrenecarboxy-methyltriethoxysilane ( 29), 10-phenanthrenecarboxy-ethyltriethoxysilane (30) 10-phenanthrenecarboxy-methyltrimethoxysilane (31), 10-phenanthrenecarboxy-propyltriethoxysilane (32), 4-phenylazophenol (33), 4-ethoxyphenylazobenzene-4-carboxy-methyltriethoxysilane ( 34), 4-methoxyphenylazobenzene-4-carboxy-ethyltriethoxysilane (35), 4-ethoxyphenylazobenzene-4-carboxy-propyltriethoxysilane (36), 4-butoxyphenylazobenzene-4-carboxy-propyl Triethoxysilane (37), 4-methoxyphenylazobenzene-4-carboxy-methyltriethoxysilane (38), 4-ethoxyphenylazobenzene-4-carboxy-methyltriethoxysilane (39), 4-methoxyphenylazobenzene-4 -Carboxy-ethyltriethoxysilane (40) 4-methoxyphenyl azobenzene-4-carboxy - propyltriethoxysilane (41), and combinations thereof. Chemical formulas of the absorbent compounds 1 to 41 are shown in FIGS. 1a to 1f. Favorable results include, for example, 9-anthracenecarboxy-methyltriethoxysilane (18), 9-anthracenemethanol (3), 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone (10) and rosole Obtained in combination with acid (14) and phenyltriethoxysilane (26). However, it should be noted that the above list of specific compounds is not an exhaustive list, and possible and preferred compounds may be selected from a group of chemical compounds including the above specific compounds. .

吸収性化合物1〜25および29〜41は、例えば、アルドリッチケミカル社(Aldrich Chemical Company(Milwaukee, WI))より市販されている。9-アントラセンカルボキシ-アルキルトリアルコキシシランは、実施例に記載されているように、エステル化法により合成される。吸収性化合物26〜28は、ゲレスト社(Gelest, Inc.(Tullytown, PA))より市販されている。吸収性化合物(26〜28)の他のフェニルベースの吸収性化合物(これらの多くも、ゲレスト社(Gelest,Inc.)から市販されている)としては、例えば、フェニル環または置換フェニル(例えば、メチルフェニル、クロロフェニル、およびクロロメチルフェニル)が導入されているシリコンベースの反応性基を有する構造が挙げられる。具体的なフェニル-ベースの吸収性化合物として、ほんの2、3の例を挙げれば、フェニルトリメトキシシラン、ベンジルトリクロロシラン、クロロメチルフェニルトリメトキシシラン、フェニルトリフルオロシランである。さらに、ほんの2、3の例を挙げれば、1または2の「脱離基」を含むジフェニルシラン(例えば、ジフェニルメチルエトキシシラン、ジフェニルジエトキシシラン、およびジフェニルジクロロシラン)も、適した導入することが可能な吸収性化合物である。メトキシ安息香酸を始めとするアルコキシ安息香酸も、吸収性化合物として使用することができる。   Absorbable compounds 1-25 and 29-41 are commercially available from, for example, Aldrich Chemical Company (Milwaukee, Wis.). 9-anthracenecarboxy-alkyltrialkoxysilane is synthesized by an esterification method as described in the examples. Absorbable compounds 26-28 are commercially available from Gelest, Inc. (Tullytown, PA). Other phenyl-based absorbent compounds (of which many are commercially available from Gelest, Inc.) as absorbent compounds (26-28) include, for example, phenyl rings or substituted phenyl (eg, Examples include structures having silicon-based reactive groups into which (methylphenyl, chlorophenyl, and chloromethylphenyl) have been introduced. Specific examples of phenyl-based absorbent compounds include phenyltrimethoxysilane, benzyltrichlorosilane, chloromethylphenyltrimethoxysilane, and phenyltrifluorosilane, to name just a few. In addition, to name just a few, diphenylsilanes containing one or two “leaving groups” (eg, diphenylmethylethoxysilane, diphenyldiethoxysilane, and diphenyldichlorosilane) should also be introduced appropriately. Is a possible absorptive compound. Alkoxybenzoic acid including methoxybenzoic acid can also be used as an absorptive compound.

9-アントラセンカルボキシ-アルキルトリアルコキシシラン化合物の一般的な合成方法は、9-アントラセンカルボン酸およびクロロメチルトリアルコキシシラン化合物を反応剤として使用することを含んでなる。特に、9-アントラセンカルボキシ-メチルトリエトキシシラン(18)の合成法では、9-アントラセンカルボン酸(2)とクロロメチルトリエトキシシランとを反応剤として用いる。この反応剤は、前もって4Åのモレキュラーシーブ上で乾燥されたトリエチルアミンとメチルイソブチルケトン(MINK)と混合され、反応混合物が形成され、この反応混合物は加熱して還流され、約6〜10時間還流される。還流後、反応混合物を一晩冷却して、大量の固体沈殿物を得る。残った溶液は、ロータリーエバポレートし、シリカゲルカラムでろ過し、2度目のロータリーエバポレートをし、9-アントラセンカルボキシ-メチルトリエトキシシラン(18)を暗いコハク色の油状液体(これを精製してもよい)として得る。この方法は、9-アントラセンカルボキシ-アルキルトリアルコキシシラン(例えば、9-アントラセンカルボキシ-エチルトリエトキシシラン、9-アントラセンカルボキシ-プロピルトリメトキシシラン、および9-アントラセンカルボキシ-プロピルトリエトキシシランを含む)といった群のいかなる化合物を製造するために使用するのに適しているため、重要である。   A general method for synthesizing 9-anthracenecarboxy-alkyltrialkoxysilane compounds comprises using 9-anthracenecarboxylic acid and a chloromethyltrialkoxysilane compound as reactants. In particular, in the method for synthesizing 9-anthracenecarboxy-methyltriethoxysilane (18), 9-anthracenecarboxylic acid (2) and chloromethyltriethoxysilane are used as reactants. This reactant is mixed with triethylamine and methyl isobutyl ketone (MINK) previously dried over 4 cm molecular sieve to form a reaction mixture, which is heated to reflux and refluxed for about 6-10 hours. The After reflux, the reaction mixture is cooled overnight to give a large amount of solid precipitate. The remaining solution is rotary evaporated, filtered through a silica gel column, a second rotary evaporation, and 9-anthracenecarboxy-methyltriethoxysilane (18) is added to a dark amber oily liquid (this may be purified). ) Get as. This method includes 9-anthracenecarboxy-alkyltrialkoxysilanes (eg, including 9-anthracenecarboxy-ethyltriethoxysilane, 9-anthracenecarboxy-propyltrimethoxysilane, and 9-anthracenecarboxy-propyltriethoxysilane) This is important because it is suitable for use in making any compound of the group.

pH調整剤
pH調整剤は、スピンオン材料と吸収性有機化合物との混合物に添加する化合物、材料、または溶液であって、最終的なスピンオン組成物のpHを「調節」または調整して、選択されたいかなるレジスト材料(吸収ピークが365nm、248 nm、193 nm、および157 nmの周辺であるものを含む)とも適合可能またはより適合可能にする。
pH adjuster
A pH adjuster is a compound, material, or solution that is added to a mixture of a spin-on material and an absorbing organic compound that “adjusts” or adjusts the pH of the final spin-on composition to any resist selected. Also compatible or more compatible with materials (including those with absorption peaks around 365 nm, 248 nm, 193 nm, and 157 nm).

しかし、このpH調整剤は、最終的なスピンオン組成物のpHを調整するだけでなく、層状材料、電子部品、または半導体部品の一部である最終的なスピンオン組成物の化学的な性能や特性、機械的な性能、および構造的な構成に影響を与え、スピンオン組成物が、組み合わされるレジスト材料とより適合性を良くすることにも留意すべきである。より具体的には、pH調整剤は、ポリマー特性、構造的な構成、および空間的な方向性に強い影響を及ぼし、反射防止膜の表面特性を最適なレジスト性能が得られるように向上させる。つまり、単にスピンオン材料のpHを調整するだけで、スピンオン組成物や組み合わせられるレジスト材料の機械的性能や構造的構成に影響を与えないpH調整剤は、本明細書においては意図されない。   However, this pH modifier not only adjusts the pH of the final spin-on composition, but also the chemical performance and properties of the final spin-on composition that is part of the layered material, electronic component, or semiconductor component It should also be noted that the spin-on composition is more compatible with the resist material being combined, affecting the mechanical performance and structural configuration. More specifically, the pH adjusting agent has a strong influence on the polymer characteristics, the structural configuration, and the spatial orientation, and improves the surface characteristics of the antireflection film so that optimum resist performance can be obtained. That is, a pH adjusting agent that does not affect the mechanical performance and structural configuration of the spin-on composition and the resist material to be combined simply by adjusting the pH of the spin-on material is not intended in this specification.

考えられるpH調整剤は、2つの別個の、および、ある時は関連する機能を有する:a)添加された組成物のpHに影響を与え;かつ、b)スピンオン組成物の機械的性能および/または構造的な構成に影響を与え(これは、ポリマー特性、構造的な構成、および空間的な方向性に強く影響し、反射防止膜の表面特性を最適なレジスト性能となるように向上させるということもできる)。   Possible pH modifiers have two separate and sometimes related functions: a) affects the pH of the added composition; and b) the mechanical performance of the spin-on composition and / or Or affects the structural composition (which strongly affects polymer properties, structural composition, and spatial orientation, and improves the surface properties of the anti-reflective coating for optimal resist performance. Can also).

考えられるpH調整剤は、pH調整剤を添加する組成物のpHに影響を及ぼすように部分的に設計される。潜在的なpH調整剤の化合物群には、a)すべての適した酸性または塩基性の溶液、化合物、および/または成分、および/またはb)すべての適した強度および濃度の酸性または塩基性の溶液、化合物、および/または成分が含まれる。適切なpH「影響剤」の集合は、最終的なpH調整剤が選択される最大の化合物の集合である。というのも、pH「影響剤」は、最終的なスピンオン組成物の機械的性能および/または構造的構成に影響を与え、最終的なスピンオン組成物を適合可能かより適合可能にすることができなければならないからである。これは、例えば、選択されたpH調整剤は、スピンオン材料および吸収性有機化合物の混合物の溶解性パラメータ、分子量、融点、またはいくつかほかの物理的な特性に適合するようにも設計されることを意味している。つまり、pH調整剤およびスピンオン材料と吸収性有機化合物との混合物は、pH調整剤が、混合物のpHに影響を与えるという第1の機能を発揮する場合であっても、好ましい物理的性質に応じて、物理的に適合性のないものであってはならない。好ましい態様においては、好ましい物理的性質とは、溶解性のパラメータまたは分子量である。さらに好ましい態様においては、好ましい物理的な性質は、溶解性パラメータである。   Possible pH adjusters are designed in part to affect the pH of the composition to which the pH adjuster is added. Potential pH modifier compounds include: a) any suitable acidic or basic solution, compound, and / or ingredient, and / or b) any suitable strength and concentration of acidic or basic. Solutions, compounds, and / or ingredients are included. The appropriate pH “influencer” set is the largest set of compounds for which the final pH modifier is selected. This is because the pH “influencer” affects the mechanical performance and / or structural configuration of the final spin-on composition and can make the final spin-on composition adaptable or more adaptable. Because it must be. This means, for example, that the selected pH modifier is also designed to suit the solubility parameters, molecular weight, melting point, or some other physical property of the mixture of the spin-on material and the absorbing organic compound. Means. In other words, the pH adjusting agent and the mixture of the spin-on material and the absorbing organic compound are in accordance with preferable physical properties even when the pH adjusting agent exerts the first function of affecting the pH of the mixture. Must not be physically incompatible. In a preferred embodiment, the preferred physical property is a solubility parameter or molecular weight. In a further preferred embodiment, the preferred physical property is a solubility parameter.

また、調整剤は、レジスト材料とARCとの組み合わせの機能や性質に機械的および構造的に影響を与えることも考えられる。例えば、pH調整されたスピンオン組成物を基材または層状材料に塗布し、次いで、レジスト材料をスピンオン組成物に塗布する。レジスト材料が露光されると、その後、スピンオン組成物に対して85〜90°の角度で現像される(現像ライン)。つまり、レジスト材料が、スピンオン組成物上に「崩れる」ことがなく、有用な現像ラインを有している。スピンオン組成物がpH調整されていない場合、レジスト材料がエッチング後にスピンオン組成物に「崩れる」ことがあり、これにより、問題のあるレジスト材料および/または問題のある層状材料が明らかに生じてしまう。この例においては、pH調整されたスピンオン組成物が、最終的なスピンオン組成物の機械的および構造的な強さ、およびレジスト材料とARCとの組み合わせの適合性に影響を与える。本明細書で用いられるように、「組み合わせられた」または「組み合わせ」という用語は、2つの材料または組成物が互いの上に配置され、2つの材料が物理的、機械的および/または化学的に互いに結合していることを意味する。   In addition, the adjusting agent may have a mechanical and structural influence on the function and properties of the combination of the resist material and the ARC. For example, a pH adjusted spin-on composition is applied to a substrate or layered material, and then a resist material is applied to the spin-on composition. When the resist material is exposed, it is then developed at an angle of 85-90 ° to the spin-on composition (development line). That is, the resist material does not “collapse” on the spin-on composition and has a useful development line. If the spin-on composition is not pH adjusted, the resist material may “collapse” into the spin-on composition after etching, which clearly results in problematic resist materials and / or problematic layered materials. In this example, the pH adjusted spin-on composition affects the mechanical and structural strength of the final spin-on composition and the compatibility of the resist material and ARC combination. As used herein, the term “combined” or “combination” means that two materials or compositions are placed on top of each other and the two materials are physical, mechanical and / or chemical. Means that they are bonded to each other.

いくつかの適したpH調整剤としては、例えば、種々のモル濃度のアミン類(例えば、γ-アミノアルキルトリアルコキシシラン類、特に、γ-アミノプロピルトリエトキシシラン類(APTFまたはAPTEOS));水;オキサイドおよびアルコキシド(例えば、ナトリウムアルコキシド、カリウムアルコキシド、水酸化カリウムなど);ハロゲン化水素(例えば、臭化水素、塩酸);酢酸;硫酸、乳酸、硝酸;TMAH;プロピレングリコールメチルエーテルアセテート(PGMEA);アミンベースのオリゴマー(ケイ素などの無機原子を含むオリゴマーを含む)、およびこれらの組み合わせが挙げられる。考えられるpH調整剤のモル濃度としては、ピュア(バルク)、10モラー、1.0モラー、0.1モラー、および0.01モラーの濃度が挙げられるが、これはレジスト材料に応じて選択されるpH剤に依存する。   Some suitable pH adjusters include, for example, various molar concentrations of amines (eg, γ-aminoalkyltrialkoxysilanes, especially γ-aminopropyltriethoxysilanes (APTF or APTEOS)); water Oxides and alkoxides (eg, sodium alkoxide, potassium alkoxide, potassium hydroxide, etc.); hydrogen halides (eg, hydrogen bromide, hydrochloric acid); acetic acid; sulfuric acid, lactic acid, nitric acid; TMAH; propylene glycol methyl ether acetate (PGMEA) Amine-based oligomers (including oligomers containing inorganic atoms such as silicon), and combinations thereof. Possible pH modifier molar concentrations include pure (bulk), 10 molar, 1.0 molar, 0.1 molar, and 0.01 molar concentrations, depending on the pH agent chosen depending on the resist material. .

考えられるレジスト材料としては、すべてのフォトリソグラフィーのレジスト材料が含まれ、157 nm、193 nm、248 nm、および365nm周辺の波長域を有するものが含まれる。レジスト材料の範囲が広い理由は、pH調整剤によって、どんなフォトリソグラフィーのレジスト材料も反射防止膜に組み合わせることができ、それらを互いに適合させることが可能だからである。考えられるフォトリソグラフィーのレジスト材料のなかには、アクリレートベースのレジスト材料、エポキシベースの化学的に増幅されたレジスト、フルオロポリマーレジスト(これらは、157 nmの吸収波長を考えたときに、特に有効である)、ポリ(ノルボルネン−無水マレイン酸)交互コポリマー、ポリスチレン系、およびジアゾナフトキノン/ノボラックレジストがある。   Possible resist materials include all photolithography resist materials, including those having wavelength bands around 157 nm, 193 nm, 248 nm, and 365 nm. The reason for the wide range of resist materials is that any photolithographic resist material can be combined with the anti-reflective coating by the pH adjusting agent and they can be matched to each other. Among the possible photolithographic resist materials are acrylate-based resist materials, epoxy-based chemically amplified resists, fluoropolymer resists (these are particularly effective when considering an absorption wavelength of 157 nm) , Poly (norbornene-maleic anhydride) alternating copolymers, polystyrene-based, and diazonaphthoquinone / novolak resists.

製造方法
本発明の他の観点からは、本明細書に記載された吸収性スピンオン組成物の合成方法が提供される。スピンオン材料は、典型的には、種々のシラン反応物(例えば、トリエトキシシラン(HTEOS)、テトラエトキシシラン(TEOS)、メチルトリエトキシシラン(MTEOS)、ジメチルジエトキシシラン、テトラメトキシシラン(TMOS)、メチルトリメトキシシラン(MTMOS)、トリメトキシシラン、ジメチルジメトキシシラン、フェニルトリエトキシシラン(PTEOS)、フェニルトリメトキシシラン(PTMOS)、ジフェニルジエトキシシラン、およびジフェニルジメトキシシランが含まれる)から合成される。しかし、ガリウム、ヒ素、ゲルマニウム、ホウ素、および類似の原子、ならびに材料も、ケイ素原子と合わせて、または単独の原子材料として使用し、スピンオン材料を製造することができる。
Manufacturing Method From another aspect of the present invention, a method for synthesizing the absorptive spin-on composition described herein is provided. Spin-on materials typically include various silane reactants (eg, triethoxysilane (HTEOS), tetraethoxysilane (TEOS), methyltriethoxysilane (MTEOS), dimethyldiethoxysilane, tetramethoxysilane (TMOS). , Methyltrimethoxysilane (MTMOS), trimethoxysilane, dimethyldimethoxysilane, phenyltriethoxysilane (PTEOS), phenyltrimethoxysilane (PTMOS), diphenyldiethoxysilane, and diphenyldimethoxysilane) . However, gallium, arsenic, germanium, boron, and similar atoms and materials can also be used in conjunction with silicon atoms or as a single atomic material to produce spin-on materials.

また、クロロシラン類(例えば、トリクロロシラン、メチルトリクロロシラン、エチルトリクロロシラン、フェニルトリクロロシラン、テトラクロロシラン、ジクロロシラン、メチルジクロロシラン、ジメチルジクロロシラン、クロロトリエトキシシラン、クロロトリメトキシシラン、クロロメチルトリエトキシシラン、クロロエチルトリエトキシシラン、クロロフェニルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロエチルトリメトキシシラン、およびクロロフェニルトリメトキシシラン)を始めとするハロシラン類を、シラン反応物として用いることができる。   In addition, chlorosilanes (for example, trichlorosilane, methyltrichlorosilane, ethyltrichlorosilane, phenyltrichlorosilane, tetrachlorosilane, dichlorosilane, methyldichlorosilane, dimethyldichlorosilane, chlorotriethoxysilane, chlorotrimethoxysilane, chloromethyltriethoxy) Halosilanes including silane, chloroethyltriethoxysilane, chlorophenyltriethoxysilane, chloromethyltrimethoxysilane, chloroethyltrimethoxysilane, and chlorophenyltrimethoxysilane) can be used as the silane reactant.

一般に、吸収性スピンオン組成物を製造するには、吸収性化合物(例えば、吸収性化合物1〜41)またはこれらの組み合わせを、SOG 材料の合成中にシラン反応物質と混合する。pH調整剤を、SOG材料の合成中または合成終了後に、シラン反応物と混合してもよい。   Generally, to produce an absorbing spin-on composition, an absorbing compound (eg, absorbing compounds 1-41) or a combination thereof is mixed with a silane reactant during the synthesis of the SOG material. A pH adjuster may be mixed with the silane reactant during or after the synthesis of the SOG material.

吸収性スピンオン組成物の製造方法として考えられる1つは、少なくとも1つの無機ベースの組成物、少なくとも1つの導入することが可能なな吸収性有機化合物、少なくとも1つのpH調整剤、酸/水混合物(例えば、硝酸/水混合物)、および少なくとも1つの溶媒を混合して反応混合物を生成させること;および、この反応混合物を還流して、吸収性スピンオン組成物を生成させること、が含まれる。生成したスピンオン組成物は、次いで、少なくとも1つの溶媒で希釈され、種々の厚さのフィルムを製造するコーティング用溶液が提供される。また、pH調整剤は、付加的に、還流工程中または還流工程後に添加することができる。   One possible method for producing an absorbent spin-on composition is at least one inorganic-based composition, at least one absorbable organic compound, at least one pH adjuster, acid / water mixture. (E.g., nitric acid / water mixture), and mixing at least one solvent to form a reaction mixture; and refluxing the reaction mixture to produce an absorbing spin-on composition. The resulting spin-on composition is then diluted with at least one solvent to provide a coating solution that produces films of various thicknesses. Further, the pH adjusting agent can be added during or after the refluxing step.

吸収性スピンオン組成物の他に考えられる製造方法においては、 少なくとも1つの無機ベースの組成物、少なくとも1つの導入することが可能な吸収性有機化合物、少なくとも1つのpH調整剤、および少なくとも1つの溶媒が混合されて、反応混合物が生成される。次いで、この反応混合物を還流し、吸収性スピンオン組成物が生成される。生成したスピンオン組成物を少なくとも1つの溶媒で希釈して、種々の厚さのフィルムを製造するコーティング用溶液が提供される。この方法におけるpH調整剤としては、種々の従来用いられる酸/水混合物があり、異なった酸を添加してもよく、酸を少なくして添加してもよく、また多めの水を添加してもよい。しかし、どのpH調整剤が選択されたとしても、pH調整剤によってpHだけが影響を受けるのではなく、ARCの化学的、機械的、および物理的な性質も影響を受け、レジストとARCの組み合わせの適合性がより良好になるという、基本原則は維持される。   In addition to the absorbable spin-on composition, possible production methods include: at least one inorganic-based composition, at least one absorbable organic compound, at least one pH adjuster, and at least one solvent. Are mixed to produce a reaction mixture. The reaction mixture is then refluxed to produce an absorptive spin-on composition. The resulting spin-on composition is diluted with at least one solvent to provide a coating solution that produces films of various thicknesses. There are various conventionally used acid / water mixtures as pH adjusters in this method. Different acids may be added, less acid may be added, or more water may be added. Also good. However, whatever pH modifier is selected, not only the pH is affected by the pH modifier, but also the chemical, mechanical, and physical properties of ARC, and the combination of resist and ARC. The basic principle of better conformance is maintained.

より具体的には、シラン反応物(例えば、HTEOS、またはTEOSおよびMTEOS、TMOSおよびMTMOS);または、択一的に、テトラクロロシランおよびメチルトリクロロシラン、少なくとも1つの吸収性化合物(例えば、吸収性化合物1〜41); 少なくとも1つのpH調整剤(例えば、APTF);溶媒または溶媒の組み合わせ;および酸/水混合物を含んでなる反応混合物を、反応容器中で形成させる。適当な溶媒としては、アセトン、2-プロパノール、および他のシンプルなアルコール、ケトン、およびエステル(例えば、1-プロパノール、MIBK、プロポキシプロパノール、およびプロピルアセテート)がある。酸/水混合物は、例えば、硝酸と水である。他のプロトン酸または酸無水物(例えば、酢酸、ギ酸、塩酸、または無水酢酸)が、代わりに、酸混合物中に用いられる。生じた混合物は、約1〜24時間還流され、吸収性スピンオン溶液が生成される。先に述べたように、pH調整剤は、選択されたレジスト材料に応じて、還流工程中または還流工程後に添加することができる。また、先に述べたように、酸/水混合物中の酸濃度および/または強度、および水の濃度は、具体的な層状材料、電子部品、または半導体部品の用途に対して選択されたレジスト材料に応じてpH調整剤となるように、様々であってよい。   More specifically, silane reactants (eg, HTEOS, or TEOS and MTEOS, TMOS and MTMOS); or alternatively, tetrachlorosilane and methyltrichlorosilane, at least one absorbent compound (eg, absorbent compound) A reaction mixture is formed in the reaction vessel comprising at least one pH adjusting agent (eg APTF); solvent or combination of solvents; and an acid / water mixture. Suitable solvents include acetone, 2-propanol, and other simple alcohols, ketones, and esters (eg, 1-propanol, MIBK, propoxypropanol, and propyl acetate). The acid / water mixture is, for example, nitric acid and water. Other protic acids or acid anhydrides (eg acetic acid, formic acid, hydrochloric acid, or acetic anhydride) are used instead in the acid mixture. The resulting mixture is refluxed for about 1-24 hours to produce an absorbing spin-on solution. As mentioned above, the pH adjusting agent can be added during or after the refluxing step, depending on the resist material selected. Also, as noted above, the acid concentration and / or strength in the acid / water mixture, and the water concentration is selected from the resist material selected for the specific layered material, electronic component, or semiconductor component application. Depending on the pH, it may be varied to be a pH adjuster.

吸収性スピンオン材料を適切な溶媒で希釈して、種々の厚さのフィルムを製造するコーティング用溶液を得ることができる。適切な希釈溶媒としては、アセトン、2-プロパノール、エタノール、ブタノール、メタノール、プロピルアセテート、エチルラクテート、プロピレングリコールプロピルエーテル(工業的にはプロパノール-Pと言われる)がある。高沸点の希釈溶媒(例えば、エチルラクテート、およびプロピレングリコールプロピルエーテル)が有利であることが見出された。高沸点の溶媒によると、フィルムの泡欠陥が生成する可能性が減少する。反対に、低沸点の溶媒は、架橋したフィルムのトップ層(表面層)にトラップされ、続く焼成工程で移動した場合にボイド(空隙)となってしまうことがある。本発明において有用な付加的な溶媒としては、エチレングリコールジメチルエーテル(他にグリムとも言われる)、アニソール、ジブチルエーテル、ジプロピルエーテル、プロピレングリコールメチルエーテルアセテート、ペンタノールがある。付加的に、界面活性剤(例えば、3M (Minneapolis,MN)により提供されている製品であるFC430、またはDIC (日本)により提供されている製品であるMegaface R08)もコーティング用溶液に添加することができる。コーティング用溶液は、典型的には、約0.5〜20重量%のポリマーである。使用前に、コーティング用溶液を標準ろ過法によってろ過する。   The absorbing spin-on material can be diluted with a suitable solvent to obtain coating solutions that produce films of various thicknesses. Suitable diluent solvents include acetone, 2-propanol, ethanol, butanol, methanol, propyl acetate, ethyl lactate, propylene glycol propyl ether (referred to industrially as propanol-P). High boiling diluent solvents such as ethyl lactate and propylene glycol propyl ether have been found to be advantageous. High boiling solvents reduce the likelihood of film bubble defects. On the contrary, the low boiling point solvent may be trapped in the top layer (surface layer) of the crosslinked film and become voids (voids) when moved in the subsequent baking step. Additional solvents useful in the present invention include ethylene glycol dimethyl ether (also referred to as glyme), anisole, dibutyl ether, dipropyl ether, propylene glycol methyl ether acetate, pentanol. Additionally, surfactants (eg FC430, a product offered by 3M (Minneapolis, MN) or Megaface R08, a product offered by DIC (Japan)) should also be added to the coating solution. Can do. The coating solution is typically about 0.5-20% polymer by weight. Prior to use, the coating solution is filtered by standard filtration methods.

吸収性スピンオン材料を生成させる第2の方法によれば、少なくとも1つのシラン反応物質、少なくとも1つの吸収性化合物(例えば、吸収性化合物1〜41)、少なくとも1つのpH調整剤、および溶媒あるいは溶媒の混合物を含んでなる反応混合物を、反応容器中で形成させる。この反応混合物を、約1〜24時間、加熱還流または還流する。シラン反応物と溶媒は、上述の第1の方法において記載したものである。上記の酸/水混合物を、反応混合物に撹拌しながら添加する。生じた混合物を、約1〜24時間、加熱還流および還流して、吸収性でpH調整されたスピンオン材料を生成させる。この吸収性スピンオン材料を、上記のように、希釈およびろ過して、コーティング用溶液を生成させる。また、先に述べたように、pH調整剤を最初の還流工程の間または還流工程の後に添加してもよい。   According to a second method of producing an absorptive spin-on material, at least one silane reactant, at least one absorptive compound (e.g., absorptive compound 1-41), at least one pH adjuster, and a solvent or solvent A reaction mixture comprising a mixture of is formed in a reaction vessel. The reaction mixture is heated to reflux or refluxed for about 1-24 hours. The silane reactant and the solvent are those described in the first method. The above acid / water mixture is added to the reaction mixture with stirring. The resulting mixture is heated to reflux and reflux for about 1 to 24 hours to produce an absorptive and pH adjusted spin-on material. The absorbent spin-on material is diluted and filtered as described above to produce a coating solution. Also, as mentioned above, the pH adjuster may be added during the first reflux step or after the reflux step.

吸収性有機ヒドリドシロキサン材料を生成させる方法は、無極性溶媒と極性溶媒とを含む2相の溶媒と、相間移動触媒との混合物を生成させ;少なくとも1つの有機トリハロシラン、ヒドリドトリハロシランを加え;少なくとも1つのpH調整剤を加え;さらに、少なくとも1つの吸収性化合物(例えば、吸収性化合物1〜41)、2相の反応混合物を生成させ;さらに、2相の反応混合物を約1〜24時間反応させ、吸収性有機ヒドリドシロキサンポリマーを生成させることを含む。この相間移動触媒としては、テトラブチルアンモニウムクロライドおよびベンジルトリメチルアンモニウムクロライドが挙げられるが、これに限定されるものではない。無極性溶媒としては、例えば、ペンタン、ヘキサン、ヘプタン、シクロヘキサン、ベンゼン、トルエン、キシレン、ハロゲン化溶媒(例えば、四塩化炭素)、およびこれらの混合物が挙げられるが、これに限定されるものではない。有用な極性溶媒としては、水、アルコール、およびアルコールと水との混合物がある。吸光性ポリマー溶液は、上述のように希釈およびろ過されて、コーティング用溶液が生成される。   A method of producing an absorbent organohydridosiloxane material produces a mixture of a two-phase solvent comprising a nonpolar solvent and a polar solvent and a phase transfer catalyst; adding at least one organotrihalosilane, hydridotrihalosilane; At least one pH adjusting agent is added; further, at least one absorbent compound (eg, absorbent compounds 1-41) is formed to form a two-phase reaction mixture; and further, the two-phase reaction mixture is allowed to react for about 1-24 hours Reacting to form an absorbable organohydridosiloxane polymer. Examples of the phase transfer catalyst include, but are not limited to, tetrabutylammonium chloride and benzyltrimethylammonium chloride. Nonpolar solvents include, but are not limited to, pentane, hexane, heptane, cyclohexane, benzene, toluene, xylene, halogenated solvents (eg, carbon tetrachloride), and mixtures thereof. . Useful polar solvents include water, alcohol, and mixtures of alcohol and water. The light absorbing polymer solution is diluted and filtered as described above to produce a coating solution.

塗布
吸収性でpH調整されたスピンオンコーティング用溶液は種々の基材に塗布されて、層状材料、半導体の製造において用いられる膜、または電子部品中で用いられる膜が、具体的な製造方法(典型的には、従来のスピンオン蒸着法)に応じて、形成される。これらの方法としては、吸収性SOG反射防止膜を製造するための、ディスペンススピン(dispense spin)、シックネススピン(thickness spin)、および熱ベーキング工程が挙げられる。典型的な方法は、1000〜4000 rpmの約20秒間のシックネススピン(thickness spin)、およびそれぞれ約1分間の2または3の80℃〜300℃の温度でのべーク工程を含む。本発明の吸収性でpH調整されたスピンオン反射防止膜は、約1.3〜約2.0の屈折率を有し、約0.07より大きい吸光係数を有する。
A solution for spin-on coating, which is pH-adjusted by coating absorption, is applied to various substrates, and a layered material, a film used in the manufacture of semiconductors, or a film used in electronic components is a specific manufacturing method (typically Specifically, it is formed according to a conventional spin-on deposition method. These methods include a dispense spin, a thickness spin, and a thermal baking process to produce an absorptive SOG anti-reflective coating. A typical method includes a thickness spin of about 20 seconds at 1000 to 4000 rpm, and a bake step at a temperature of 2 or 3 80 ° C to 300 ° C for about 1 minute each. The absorptive and pH adjusted spin-on antireflective coating of the present invention has a refractive index of about 1.3 to about 2.0 and an extinction coefficient greater than about 0.07.

本明細書において考えられる基材としては、好ましい実質的に固体の材料が含まれる。特に好ましい基材層としては、フィルム、ガラス、セラミック、プラスチック、金属あるいは被覆された金属、または複合材料がある。好ましい態様においては、基材としては、シリコンあるいはゲルマニウムヒ素ダイまたはウエハ表面、パッケージ表面(packaging surface)(例えば、銅、銀、ニッケル、あるいは金めっきされたリードフレームにおいて見い出される)、銅表面(例えば、回路板において見られる)、またはパッケージ内部接続線(package interconnect trace)、ビアウォール(via-wall)あるいはスティフナー界面(stiffener interface)(「銅」には、そのままの銅(裸銅)と銅の酸化物が含まれる)、ポリマーベースのパッケージまたは基板界面(例えば、ポリイミドベースのフレックスパッケージ、鉛あるいは他の金属の合金はんだ球表面で見つけられる)、ガラスおよびポリマー(例えば、ポリミミド)が含まれる。より好ましい態様においては、基材としては、パッケージングおよび回路板産業において一般的な材料(例えば、シリコン、銅、ガラス、および他のポリマー)が含まれる。   Substrates contemplated herein include preferred substantially solid materials. Particularly preferred substrate layers include films, glass, ceramics, plastics, metals or coated metals, or composite materials. In a preferred embodiment, the substrate includes a silicon or germanium arsenic die or wafer surface, a packaging surface (e.g. found in copper, silver, nickel or gold plated lead frames), a copper surface (e.g. , Found in circuit boards), or package interconnect traces, via-walls or stiffener interfaces (“copper” is the term copper (bare copper) and copper Oxides), polymer based packages or substrate interfaces (eg found on polyimide based flex packages, lead or other metal alloy solder ball surfaces), glass and polymers (eg polymimide). In more preferred embodiments, the substrate includes materials common in the packaging and circuit board industries (eg, silicon, copper, glass, and other polymers).

本発明の吸収性スピンオンガラス材料をフォトリソグラフィープロセスにおける反射防止膜として使用する一般的な方法を、図2a〜2hに示す。図2aに示したように、誘電体層22がシリコン基材20上に蒸着されている。誘電体層22は、種々の誘電材料(例えば、TEOSから誘導されたシリコンジオキサイド層、シランベースのシリコンジオキサイド層、熱成長酸化物(thermally grown oxide)、または化学蒸着法で作製されたメチルヒドリドシロキサンあるいはシリコンジオキサイドが導入された他の成分や化合物が含まれる)からなることができる。誘電体層22は、典型的には、光学的に透明な媒体であるが、光学的に透明でなくてもよい。吸収性でpH調整されたスピンオン反射防止膜層24は、誘電体層22上に塗布され(図2b)、通常のポジティブフォトレジストであるフォトレジスト層26で被覆され、図2cに示される積層体が製造される。図2cの積層体は、図2dに示されるように、マスク30を通して紫外光線32で露光される。露光中は、この吸収性でpH調整されたスピンオンARC層24は、フォトレジストを透過したUV光32を吸収する。誘電体層22は一般的におよび通常、UV波長域において透明であるので、吸収性スピンオンARC層24が存在しない場合、UV光32はシリコン層20で反射し、限界寸法(例えば、露光されたフォトレジストの限界寸法27)を小さくしてしまう。この例においては、直接的な像の転写をするポジティブフォトレジストが想定されている。しかし、有機誘電体の中には光学的に透明でないものがあることに留意すべきである。   A general method for using the absorbing spin-on glass material of the present invention as an anti-reflective coating in a photolithography process is shown in FIGS. As shown in FIG. 2 a, a dielectric layer 22 is deposited on the silicon substrate 20. Dielectric layer 22 can be a variety of dielectric materials (eg, silicon dioxide layers derived from TEOS, silane-based silicon dioxide layers, thermally grown oxide, or methyl deposited by chemical vapor deposition). And other components and compounds into which hydridosiloxane or silicon dioxide is introduced). The dielectric layer 22 is typically an optically transparent medium, but may not be optically transparent. Absorbent and pH-adjusted spin-on antireflective coating layer 24 is applied over dielectric layer 22 (FIG. 2b) and covered with a photoresist layer 26, which is a normal positive photoresist, and the laminate shown in FIG. 2c. Is manufactured. The stack of FIG. 2c is exposed with ultraviolet light 32 through a mask 30, as shown in FIG. 2d. During exposure, the absorptive and pH adjusted spin-on ARC layer 24 absorbs UV light 32 transmitted through the photoresist. Since the dielectric layer 22 is generally and usually transparent in the UV wavelength region, in the absence of the absorptive spin-on ARC layer 24, the UV light 32 is reflected by the silicon layer 20 and has a critical dimension (eg, exposed) Reduce the critical dimension 27) of photoresist. In this example, a positive photoresist that directly transfers an image is assumed. However, it should be noted that some organic dielectrics are not optically transparent.

露光された積層体は現像され、図2eの積層体が製造される。吸収性でpH調整されたスピンオンARC層24は従来のフォトレジスト現像液(例えば、テトラメチルアンモニウムハイドロオキサイド(TMAH)の2.5%溶液)に対して耐性を有する。一方、ARC層(フォトレジスト材料の化学的性質のうちいくつかを有する)はフォトレジスト現像材に対してより感応性である。さらに、吸収性でpH調整されたスピンオンARCは、フォトレジストの除去プロセスに対して耐性があり、有機ARCは耐性がないと考えられる。したがって、吸収性でpH調整されたスピンオン層によって、ARC層を再塗布する必要なく、フォトレジストの再加工が容易なりうる。   The exposed laminate is developed to produce the laminate of FIG. 2e. Absorbent and pH adjusted spin-on ARC layer 24 is resistant to conventional photoresist developers (eg, 2.5% solution of tetramethylammonium hydroxide (TMAH)). On the other hand, ARC layers (which have some of the chemistry of photoresist materials) are more sensitive to photoresist developers. Furthermore, absorbable and pH adjusted spin-on ARCs are resistant to the photoresist removal process, and organic ARCs are not considered resistant. Thus, the absorbable and pH adjusted spin-on layer can facilitate reworking of the photoresist without the need to recoat the ARC layer.

次に、吸収性で調整されたスピンオンARC層24に、フォトレジスト層26の開口部を介して、パターンをエッチングし、図2fのエッチングされた積層体を製造する。フォトレジストに対し高い選択性を有するフルオロカーボンによるエッチングを用いて、吸収性スピンオンARC層24をエッチングする。吸収性スピンオン層のフルオロカーボンエッチングに対する反応によって、有機ARC層上の、吸収性でpH調整されたスピンオン層の付加的な利点が得られる(これには、酸素プラズマエッチングが必要である)。酸素プラズマエッチングは、酸素プラズマが有機ベースのフォトレジストもエッチングしてしまうため、現像したフォトレジストの限界寸法を低くすることがある。フルオロカーボンプラズマは、酸素プラズマよりもフォトレジストをより消費しない。より短いUV波長域においては、焦点深度の要求から、図2dに示す露光工程におけるフォトレジスト層の膜厚が制限される。例えば、193 nmにおいては、フォトレジスト層の膜厚は約300 nmであるべきだと見積られる。よって、こういった短波長が用いられる場合、フォトレジストに対し選択的にエッチングされるARC層を設けることが重要である。   Next, the pattern is etched through the opening of the photoresist layer 26 in the spin-on ARC layer 24 adjusted by the absorptivity to produce the etched laminate of FIG. 2f. The absorptive spin-on ARC layer 24 is etched using etching with a fluorocarbon having high selectivity to the photoresist. The reaction of the absorptive spin-on layer to the fluorocarbon etch provides the additional advantage of an absorptive, pH-tuned spin-on layer on the organic ARC layer (this requires an oxygen plasma etch). Oxygen plasma etching may also reduce the critical dimension of the developed photoresist because oxygen plasma also etches organic-based photoresists. Fluorocarbon plasma consumes less photoresist than oxygen plasma. In a shorter UV wavelength region, the film thickness of the photoresist layer in the exposure process shown in FIG. For example, at 193 nm, the thickness of the photoresist layer is estimated to be about 300 nm. Therefore, when such short wavelengths are used, it is important to provide an ARC layer that is selectively etched with respect to the photoresist.

フルオロカーボンエッチングを誘電体層22を通して継続し、図2gの積層体を得る。フォトレジスト層26は、この継続されたエッチングプロセスにおいて、部分的に消費される。最後に、フォトレジスト層26を、酸素プラズマあるいは水素除去薬品を用いてまたはウェットな薬品によって除去し、スピンオンARC層24を、緩衝化した酸素エッチング(例えば、標準フッ化水素酸/水混合物、非水性フッ化物、部分的に水性フッ化物、あるいは完全な水性フッ化物)または水性あるいは非水性の有機アミンを用いて除去する。好ましくは、このスピンオンARC層は、下層の誘電体層に対して優れた選択性を示す溶液によって除去することができる。すなわち、図2a〜2hに示す一般的なフォトリソグラフィー法は、反射防止膜層および犠牲的な反射防止膜層としての、吸収性スピンオン材料の工程的な利点を示している。   Fluorocarbon etching is continued through the dielectric layer 22 to obtain the stack of FIG. 2g. Photoresist layer 26 is partially consumed in this continued etching process. Finally, the photoresist layer 26 is removed using an oxygen plasma or hydrogen scavenging chemistry or by wet chemistry, and the spin-on ARC layer 24 is buffered oxygen etch (eg, standard hydrofluoric acid / water mixture, non- Aqueous fluoride, partially aqueous fluoride, or fully aqueous fluoride) or aqueous or non-aqueous organic amines are used for removal. Preferably, the spin-on ARC layer can be removed by a solution that exhibits excellent selectivity for the underlying dielectric layer. That is, the general photolithography method shown in FIGS. 2a to 2h shows the process advantage of an absorptive spin-on material as an antireflection coating layer and a sacrificial antireflection coating layer.

組み合わせるため、および、レジスト材料の適合性を改善するためのpH調整剤を含む吸収性スピンオン材料の合成方法について、以下の実施例で説明する。以下の実施例において調製される溶液と膜は、いくつかのフォトレジスト材料(157 nm、193 nm、248 nm、および375 nmのあたりで吸収するものを含む)と適合性があるように調製される。193nmでのレジスト材料の例は、アクリレートレジスト材料である。   The method of synthesizing an absorptive spin-on material that includes a pH modifier to combine and improve the compatibility of the resist material is described in the following examples. The solutions and films prepared in the following examples are prepared to be compatible with several photoresist materials, including those that absorb around 157 nm, 193 nm, 248 nm, and 375 nm. The An example of a resist material at 193 nm is an acrylate resist material.

[実施例1]
9-アントラセンカルボキシ−メチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
22リットルのフラスコにて、6331.20グラムの2-プロパノール、3166.66グラムのアセトン、2633.78グラムのTEOS、1639.78グラムのMTEOS、958.97グラムの9-アントラセンカルボキシ-メチルトリエトキシシラン、119.24グラムの0.1 Mの硝酸、および1425.58グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に対して、932.80グラムのブタノールと20650.0 gのエチルラクテートを加えた。この溶液を、pH調整実験で使用できるようにろ過した。pH調整剤、0.1 Mの硝酸を、約1.5の開始pHを有するスピンオン材料650gの2つに分けた溶液に添加した。硝酸を以下の量加えて、以下のpHにした:a)2.794 g (pH = 0.7) ; b) 0.293 g(pH = 0.75)。APTEOSを、同じスピンオン材料650 gの別の2つの分けられた溶液に、以下のpHとなるように以下の量を添加した:a) 0.053 g(pH = 4.13) ; b)'0.151 g (pH = 5. 47)。次いで、この溶液を分注し、3000 rpm膜厚の回転を20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性はN&K Technology Model 1200分析機により測定した。膜厚は1402.17Åだった。248 nmにおいて、屈折率(reflactive index)(n)は1.47であり、吸光係数(k)は0.429だった。以下のすべての実施例において、同じスピン工程および焼成工程ならびに測定法を用いた。
9-アントラセンカルボキシ-メチルトリエトキシシランとpH調整剤とを含む他の吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-メチルトリエトキシシラン、0.6グラムの0.1 Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC430(3M, Minneapolis, MN) を加えた。この溶液をろ過した。この溶液を分注し、3000 rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N&K Technology Model 1200分析機により測定した。膜厚は1635Åだった。248 nmでは、屈折率(n)は1.373であり、吸光係数(k)は0.268だった。しかし、この実施例および以下の計画された実施例における屈折率と吸光係数のデータは、始めの反応物と開始化合物に依存して変化することを考慮すべきである。以下のすべての実施例において、同じスピン工程と焼成工程および測定法を用いた。
9-アントラセンカルボキシ-メチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-メチルトリエトキシシラン、0.6グラムの0.01 Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC430(3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N&K Technology Model 1200分析機により測定した。膜厚は1635Åだった。248 nmにおいて、屈折率(n)は1.373であり、吸光係数(k)は0.268だった。
9-アントラセンカルボキシ-メチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1-リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-メチルトリエトキシシラン、0.6グラムの1.0 Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC430(3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。膜厚は1635Åだった。
9-アントラセンカルボキシ-メチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-メチルトリエトキシシラン、0.6グラムの0.1 Mの硝酸、および100グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。膜厚は1635Åだった。
9-アントラセンカルボキシ-メチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1-リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-メチルトリエトキシシラン、0.6グラムの0.1 Mの硝酸、および130グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。膜厚は1635Åだった。
9-アントラセンカルボキシ-メチルトリエトキシシランとpH調整剤とを含んだ吸収性スピンオン材料の合成
1-リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-メチルトリエトキシシラン、0.6グラムの0.1 Mの硝酸、および77グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。1 gのAPTEOSをこの溶液に、還流しながら添加した。還流後、この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。膜厚は1635Åだった。248 nmにおいて、屈折率(n)は1.373であり、吸光係数(k)は0.268だった。
9-アントラセンカルボキシ-メチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-メチルトリエトキシシラン、0.6グラムの0.1 Mの硝酸、および77グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。1gのAPTEOSを、還流後、この溶液に添加した。また、還流後、この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。膜厚は1635Åだった。
9-アントラセンカルボキシ-エチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-エチルトリエトキシシラン、0.6グラムの10 Mの酢酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-エチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-エチルトリエトキシシラン、0.6グラムの1.0 Mの酢酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-エチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-エチルトリエトキシシラン、0.6グラムのピュア(バルク)な酢酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-エチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-エチルトリエトキシシラン、0.6グラムの10 Mの酢酸、および100グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-エチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-エチルトリエトキシシラン、0.6グラムの1.0 Mの酢酸、および130グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-エチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-エチルトリエトキシシラン、0.6グラムのピュア(バルク)な酢酸、および72グラムの脱イオン水を混合した。1.0gの水酸化カリウムを還流前に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-エチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-エチルトリエトキシシラン、0.6グラムの10 Mの酢酸、および72グラムの脱イオン水を混合した。1.0gの水酸化カリウムを、還流しながら加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-エチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、60グラムの9-アントラセンカルボキシ-エチルトリエトキシシラン、0.6グラムの1.0 Mの酢酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。1.0gの水酸化カリウムを、還流前に加えた。また、この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-プロピルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、178グラムの2-プロパノール、89グラムのアセトン、52グラムのTEOS、59グラムのMTEOS、29グラムの9-アントラセンカルボキシ-プロピルトリエトキシシラン、3.3グラムのピュア(バルク)な乳酸、および40グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、26グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。厚さは1487.1オングストローム;k= 0.4315;n=1.4986だった。
9-アントラセンカルボキシ-プロピルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、178グラムの2-プロパノール、89グラムのアセトン、52グラムのTEOS、59グラムのMTEOS、29グラムの9-アントラセンカルボキシ-プロピルトリエトキシシラン、3.3グラムの10 M乳酸、および40グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、26グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-プロピルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、178グラムの2-プロパノール、89グラムのアセトン、52グラムのTEOS、59グラムのMTEOS、29グラムの9-アントラセンカルボキシ-プロピルトリエトキシシラン、3.3グラムのピュア(バルク)な乳酸、および40グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、26グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-プロピルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、178グラムの2-プロパノール、89グラムのアセトン、52グラムのTEOS、59グラムのMTEOS、29グラムの9-アントラセンカルボキシ-プロピルトリエトキシシラン、3.3グラムの1.0 M乳酸、および70グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、26グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-プロピルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、178グラムの2-プロパノール、89グラムのアセトン、52グラムのTEOS、59グラムのMTEOS、29グラムの9-アントラセンカルボキシ-プロピルトリエトキシシラン、3.3グラムの10 M乳酸、および90グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、26グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-プロピルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、178グラムの2プロパノール、89グラムのアセトン、52グラムのTEOS、59グラムのMTEOS、29グラムの9-アントラセンカルボキシ-プロピルトリエトキシシラン、3.3グラムの10 M乳酸、および40グラムの脱イオン水を混合した。1.5 gのTMAHを、還流前にこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、26グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430(3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-プロピルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、178グラムの2-プロパノール、89グラムのアセトン、52グラムのTEOS、59グラムのMTEOS、29グラムの9-アントラセンカルボキシ-プロピルトリエトキシシラン、3.3グラムの10 M乳酸、および40グラムの脱イオン水を混合した。1.5 gのTMAHを、還流しながらこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、26グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-プロピルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、178グラムの2-プロパノール、89グラムのアセトン、52グラムのTEOS、59グラムのMTEOS、29グラムの9-アントラセンカルボキシ-プロピルトリエトキシシラン、3.3グラムの10 M乳酸、および40グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。1.5 gのTMAHを、還流後この溶液に加えた。また、還流後、この溶液に、26グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。
9-アントラセンカルボキシ-プロピルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、178グラムの2-プロパノール、89グラムのアセトン、52グラムのTEOS、59グラムのMTEOS、29グラムの9-アントラセンカルボキシ-プロピルトリエトキシシラン、3.3グラムの10 M乳酸、および40グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、26グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。この溶液をろ過した。この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。厚さは1487.1オングストローム;k= 0.4315;n=1.4986だった。
[Example 1]
Synthesis of absorbing spin-on materials containing 9-anthracenecarboxy-methyltriethoxysilane and pH modifier
In a 22 liter flask, 6331.20 grams of 2-propanol, 3166.66 grams of acetone, 2263.78 grams of TEOS, 1639.78 grams of MTEOS, 958.97 grams of 9-anthracenecarboxy-methyltriethoxysilane, 119.24 grams of 0.1 M nitric acid, And 1425.58 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, 932.80 grams of butanol and 20650.0 g of ethyl lactate were added. This solution was filtered for use in pH adjustment experiments. A pH adjuster, 0.1 M nitric acid, was added to two separate solutions of 650 g of spin-on material having an initial pH of about 1.5. Nitric acid was added in the following amounts to achieve the following pH: a) 2.794 g (pH = 0.7); b) 0.293 g (pH = 0.75). APTEOS was added to two separate solutions of 650 g of the same spin-on material in the following amounts: a) 0.053 g (pH = 4.13); b) '0.151 g (pH = 5. 47). Next, this solution was dispensed, rotated at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. The optical properties were measured by N & K Technology Model 1200 analyzer. The film thickness was 1402.17mm. At 248 nm, the refractive index (n) was 1.47 and the extinction coefficient (k) was 0.429. In all the following examples, the same spin process and firing process and measurement method were used.
Synthesis of other absorptive spin-on materials containing 9-anthracenecarboxy-methyltriethoxysilane and pH modifier
In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracenecarboxy-methyltriethoxysilane, 0.6 grams 0.1 M nitric acid, and 72 grams of deionized water was mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC430 (3M, Minneapolis, MN). . This solution was filtered. This solution was dispensed, and spind at 3000 rpm film thickness was performed for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thickness was 1635 mm. At 248 nm, the refractive index (n) was 1.373 and the extinction coefficient (k) was 0.268. However, it should be taken into account that the refractive index and extinction coefficient data in this example and the following planned examples will vary depending on the starting reactant and starting compound. In all the following examples, the same spin process, firing process and measurement method were used.
Synthesis of absorbing spin-on materials containing 9-anthracenecarboxy-methyltriethoxysilane and pH modifier
In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracenecarboxy-methyltriethoxysilane, 0.6 grams 0.01 M nitric acid, and 72 grams of deionized water was mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC430 (3M, Minneapolis, MN). . This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thickness was 1635 mm. At 248 nm, the refractive index (n) was 1.373 and the extinction coefficient (k) was 0.268.
Synthesis of absorbing spin-on materials containing 9-anthracenecarboxy-methyltriethoxysilane and pH modifier
In a 1-liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracenecarboxy-methyltriethoxysilane, 0.6 grams 1.0 M nitric acid, And 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC430 (3M, Minneapolis, MN). . This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thickness was 1635 mm.
Synthesis of absorbing spin-on materials containing 9-anthracenecarboxy-methyltriethoxysilane and pH modifier
In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracenecarboxy-methyltriethoxysilane, 0.6 grams 0.1 M nitric acid, and 100 grams of deionized water was mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thickness was 1635 mm.
Synthesis of absorbing spin-on materials containing 9-anthracenecarboxy-methyltriethoxysilane and pH modifier
In a 1-liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracenecarboxy-methyltriethoxysilane, 0.6 grams 0.1 M nitric acid, And 130 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thickness was 1635 mm.
Synthesis of absorptive spin-on materials containing 9-anthracenecarboxy-methyltriethoxysilane and pH modifier
In a 1-liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracenecarboxy-methyltriethoxysilane, 0.6 grams 0.1 M nitric acid, And 77 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. 1 g of APTEOS was added to this solution at reflux. After reflux, the solution was charged with 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN ) Was added. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thickness was 1635 mm. At 248 nm, the refractive index (n) was 1.373 and the extinction coefficient (k) was 0.268.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-methyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams of 9-anthracenecarboxy-methyltriethoxysilane, 0.6 grams of 0.1 M nitric acid, and 77 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. 1 g of APTEOS was added to the solution after refluxing. Also after reflux, the solution was added to 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis , MN) was added. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thickness was 1635 mm.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-ethyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams of 9-anthracenecarboxy-ethyltriethoxysilane, 0.6 grams of 10 M acetic acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-ethyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams of 9-anthracenecarboxy-ethyltriethoxysilane, 0.6 grams of 1.0 M acetic acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-ethyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams of 9-anthracenecarboxy-ethyltriethoxysilane, 0.6 grams of pure (bulk) acetic acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-ethyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams of 9-anthracenecarboxy-ethyltriethoxysilane, 0.6 grams of 10 M acetic acid, and 100 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-ethyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams of 9-anthracenecarboxy-ethyltriethoxysilane, 0.6 grams of 1.0 M acetic acid, and 130 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-ethyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams of 9-anthracenecarboxy-ethyltriethoxysilane, 0.6 grams of pure (bulk) acetic acid, and 72 grams of deionized water were mixed. 1.0 g of potassium hydroxide was added before refluxing. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-ethyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams of 9-anthracenecarboxy-ethyltriethoxysilane, 0.6 grams of 10 M acetic acid, and 72 grams of deionized water were mixed. 1.0 g potassium hydroxide was added at reflux. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-ethyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams of 9-anthracenecarboxy-ethyltriethoxysilane, 0.6 grams of 1.0 M acetic acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. 1.0 g of potassium hydroxide was added before refluxing. This solution also contained 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) Was added. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-propyltriethoxysilane and pH adjuster In a 1 liter flask, 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams of 9-anthracenecarboxy-propyltriethoxysilane, 3.3 grams of pure (bulk) lactic acid, and 40 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 26 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer. The thickness was 1487.1 angstroms; k = 0.4315; n = 1.4986.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-propyltriethoxysilane and pH adjuster In a 1 liter flask, 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams of 9-anthracenecarboxy-propyltriethoxysilane, 3.3 grams of 10 M lactic acid, and 40 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 26 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-propyltriethoxysilane and pH adjuster In a 1 liter flask, 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams of 9-anthracenecarboxy-propyltriethoxysilane, 3.3 grams of pure (bulk) lactic acid, and 40 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 26 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-propyltriethoxysilane and pH adjuster In a 1 liter flask, 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams of 9-anthracenecarboxy-propyltriethoxysilane, 3.3 grams of 1.0 M lactic acid, and 70 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 26 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-propyltriethoxysilane and pH adjuster In a 1 liter flask, 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams of 9-anthracenecarboxy-propyltriethoxysilane, 3.3 grams of 10 M lactic acid, and 90 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 26 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-propyltriethoxysilane and pH adjuster In a 1 liter flask, 178 grams of 2 propanol, 89 grams of acetone, 52 grams of TEOS, 59 grams of MTEOS, 29 Grams of 9-anthracenecarboxy-propyltriethoxysilane, 3.3 grams of 10 M lactic acid, and 40 grams of deionized water were mixed. 1.5 g of TMAH was added to this solution before refluxing. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 26 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-propyltriethoxysilane and pH adjuster In a 1 liter flask, 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams of 9-anthracenecarboxy-propyltriethoxysilane, 3.3 grams of 10 M lactic acid, and 40 grams of deionized water were mixed. 1.5 g of TMAH was added to this solution at reflux. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 26 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-propyltriethoxysilane and pH adjuster In a 1 liter flask, 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams of 9-anthracenecarboxy-propyltriethoxysilane, 3.3 grams of 10 M lactic acid, and 40 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. 1.5 g of TMAH was added to the solution after reflux. Also after reflux, the solution was added to 26 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis , MN). This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-propyltriethoxysilane and pH adjuster In a 1 liter flask, 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams of 9-anthracenecarboxy-propyltriethoxysilane, 3.3 grams of 10 M lactic acid, and 40 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 26 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was. This solution was filtered. This solution was dispensed, and spinning was performed at 3000 rpm film thickness for 20 seconds, and baked at 80 ° C. and 180 ° C. for 1 minute, respectively. Optical properties were measured with an N & K Technology Model 1200 analyzer. The thickness was 1487.1 angstroms; k = 0.4315; n = 1.4986.

[実施例2]
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、25グラムの9-アントラセンメタノール、10グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.6グラムの0.1 Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、111グラムのブタノール、459グラムの2-プロパノール、230グラムのアセトン、309グラムのエタノール、50グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。厚さ=1436オングストローム、n= 1. 479、k = 0.1255だった。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、25グラムの9-アントラセンメタノール、10グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.6グラムの0.01 Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、111グラムのブタノール、459グラムの2-プロパノール、230グラムのアセトン、309グラムのエタノール、50グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、25グラムの9-アントラセンメタノール、10グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.6グラムの1.0 Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、111グラムのブタノール、459グラムの2-プロパノール、230グラムのアセトン、309グラムのエタノール、50グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、25グラムの9-アントラセンメタノール、10グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.6グラムの0.1 Mの硝酸、および95グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、111グラムのブタノール、459グラムの2-プロパノール、230グラムのアセトン、309グラムのエタノール、50グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、25グラムの9-アントラセンメタノール、10グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.6グラムの0.1 Mの硝酸、および110グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、111グラムのブタノール、459グラムの2-プロパノール、230グラムのアセトン、309グラムのエタノール、50グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、25グラムの9-アントラセンメタノール、10グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.6グラムの0.1 Mの硝酸、および72グラムの脱イオン水を混合した。1.2 gのAPTEOSを、還流前にこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、111グラムのブタノール、459グラムの2-プロパノール、230グラムのアセトン、309グラムのエタノール、50グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、25グラムの9-アントラセンメタノール、10グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.6グラムの0.1 Mの硝酸、および72グラムの脱イオン水を混合した。1.2 gのAPTEOSを、還流しながらこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、111グラムのブタノール、459グラムの2-プロパノール、230グラムのアセトン、309グラムのエタノール、50グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、25グラムの9-アントラセンメタノール、10グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.6グラムの0.1 Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。1.2 gのAPTEOSを、還流後この溶液に加えた。また、還流後、この溶液に、111グラムのブタノール、459グラムの2-プロパノール、230グラムのアセトン、309グラムのエタノール、50グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
[Example 2]
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 25 grams of 9-anthracenemethanol, 10 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid 0.6 grams of 0.1 M nitric acid and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 111 grams butanol, 459 grams 2-propanol, 230 grams acetone, 309 grams ethanol, 50 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was. Thickness = 1436 angstrom, n = 1.479, k = 0.1255.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 25 grams of 9-anthracenemethanol, 10 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid 0.6 grams of 0.01 M nitric acid and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 111 grams butanol, 459 grams 2-propanol, 230 grams acetone, 309 grams ethanol, 50 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 25 grams of 9-anthracenemethanol, 10 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid 0.6 grams of 1.0 M nitric acid, and 72 grams of deionized water. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 111 grams butanol, 459 grams 2-propanol, 230 grams acetone, 309 grams ethanol, 50 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 25 grams of 9-anthracenemethanol, 10 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid 0.6 grams of 0.1 M nitric acid and 95 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 111 grams butanol, 459 grams 2-propanol, 230 grams acetone, 309 grams ethanol, 50 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 25 grams of 9-anthracenemethanol, 10 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid 0.6 grams of 0.1 M nitric acid and 110 grams of deionized water. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 111 grams butanol, 459 grams 2-propanol, 230 grams acetone, 309 grams ethanol, 50 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 25 grams of 9-anthracenemethanol, 10 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid 0.6 grams of 0.1 M nitric acid and 72 grams of deionized water were mixed. 1.2 g of APTEOS was added to this solution before refluxing. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 111 grams butanol, 459 grams 2-propanol, 230 grams acetone, 309 grams ethanol, 50 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 25 grams of 9-anthracenemethanol, 10 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid 0.6 grams of 0.1 M nitric acid and 72 grams of deionized water were mixed. 1.2 g of APTEOS was added to this solution at reflux. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 111 grams butanol, 459 grams 2-propanol, 230 grams acetone, 309 grams ethanol, 50 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbing spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 25 grams of 9-anthracenemethanol, 10 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid 0.6 grams of 0.1 M nitric acid and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. 1.2 g of APTEOS was added to the solution after reflux. Also after reflux, the solution was added to 111 grams butanol, 459 grams 2-propanol, 230 grams acetone, 309 grams ethanol, 50 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis , MN).

[実施例3]
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、93グラムのTEOS、77グラムのMTEOS、20グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの10 Mの酢酸、および71.90グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、93グラムのTEOS、77グラムのMTEOS、20グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの1.0 Mの酢酸、および71.90グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、93グラムのTEOS、77グラムのMTEOS、20グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムのピュア(バルク)な酢酸、および71.90グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、93グラムのTEOS、77グラムのMTEOS、20グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの10 Mの酢酸、および95グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、93グラムのTEOS、77グラムのMTEOS、20グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの10 Mの酢酸、および120グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、93グラムのTEOS、77グラムのMTEOS、20グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの10 Mの酢酸、および71.90グラムの脱イオン水を混合した。2.2 gの水酸化カリウムを、還流前にこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、93グラムのTEOS、77グラムのMTEOS、20グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの10 Mの酢酸、および71.90グラムの脱イオン水を混合した。2.2 gの水酸化カリウムを、還流しながらこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430. (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、93グラムのTEOS、77グラムのMTEOS、20グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの1075
Mの酢酸、および71.90グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。2.2 gの水酸化カリウムを、還流後この溶液に加えた。また、還流後、この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
[Example 3]
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 93 grams of TEOS, 77 grams of MTEOS, 20 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 10 M acetic acid and 71.90 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 93 grams of TEOS, 77 grams of MTEOS, 20 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 1.0 M acetic acid and 71.90 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 93 grams of TEOS, 77 grams of MTEOS, 20 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of pure (bulk) acetic acid and 71.90 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 93 grams of TEOS, 77 grams of MTEOS, 20 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 10 M acetic acid and 95 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 93 grams of TEOS, 77 grams of MTEOS, 20 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 10 M acetic acid and 120 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 93 grams of TEOS, 77 grams of MTEOS, 20 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 10 M acetic acid and 71.90 grams of deionized water were mixed. 2.2 g of potassium hydroxide was added to this solution before refluxing. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 93 grams of TEOS, 77 grams of MTEOS, 20 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 10 M acetic acid and 71.90 grams of deionized water were mixed. 2.2 g of potassium hydroxide was added to this solution at reflux. The flask was refluxed and / or heated for 1-12 hours. To this solution, 57 grams of butanol, 88 grams of 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams of deionized water, and 3.75 grams of 10% FC 430. (3M, Minneapolis, MN) added.
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 93 grams of TEOS, 77 grams of MTEOS, 20 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 1075
M acetic acid and 71.90 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. 2.2 g of potassium hydroxide was added to the solution after reflux. Also, after reflux, the solution was charged with 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis , MN).

[実施例4]
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、108グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの0.1 Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。厚さ= 4275Å、n= 1.529、k = 0.124だった。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、108グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの0.01 Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。厚さ= 4275Å、n= 1.529、k = 0.124だった。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、108グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの1.0 Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。厚さ= 4275Å、n= 1.529、k = 0.124だった。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1-リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、108グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの0.1 Mの硝酸、および95グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。厚さ=4275Å、n= 1.529、k = 0.124だった。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、108グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの0.1 Mの硝酸、および125グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。厚さ=4275Å、n= 1. 529、k = 0.124だった。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、108グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの0.1 Mの硝酸、および72グラムの脱イオン水を混合した。3gのAPTEOSを、還流前にこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC430 (3M, Minneapolis, MN)を加えた。厚さ= 4275Å、n= 1.529、k = 0.124だった。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、108グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの0.1 Mの硝酸、および72グラムの脱イオン水を混合した。3gのAPTEOSを、還流しながらこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。厚さ= 4275Å、n= 1.529、k = 0.124だった。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性SOGの合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、108グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、5グラムのロゾール酸、0.5599グラムの0.1 Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。3gのAPTEOSを、還流後この溶液に加えた。また、還流後、この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。厚さ= 4275Å、n= 1.529、k = 0.124だった。
[Example 4]
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 108 grams of TEOS, 77 grams of MTEOS, 10 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 0.1 M nitric acid and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was. Thickness = 4275mm, n = 1.529, k = 0.124.
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 108 grams of TEOS, 77 grams of MTEOS, 10 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 0.01 M nitric acid and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was. Thickness = 4275mm, n = 1.529, k = 0.124.
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 108 grams of TEOS, 77 grams of MTEOS, 10 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 1.0 M nitric acid and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was. Thickness = 4275mm, n = 1.529, k = 0.124.
Synthesis of absorbable SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, rosoleic acid, and pH adjuster
In a 1-liter flask, 297 grams of 2-propanol, 148 grams of acetone, 108 grams of TEOS, 77 grams of MTEOS, 10 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-tri Ethoxysilpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 0.1 M nitric acid, and 95 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was. Thickness = 4275mm, n = 1.529, k = 0.124.
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 108 grams of TEOS, 77 grams of MTEOS, 10 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 0.1 M nitric acid and 125 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was. Thickness = 4275mm, n = 1.529, k = 0.124.
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 108 grams of TEOS, 77 grams of MTEOS, 10 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 0.1 M nitric acid and 72 grams of deionized water were mixed. 3 g of APTEOS was added to this solution before refluxing. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC430 (3M, Minneapolis, MN). . Thickness = 4275mm, n = 1.529, k = 0.124.
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosolic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 108 grams of TEOS, 77 grams of MTEOS, 10 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 0.1 M nitric acid and 72 grams of deionized water were mixed. 3 g of APTEOS was added to this solution at reflux. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was. Thickness = 4275mm, n = 1.529, k = 0.124.
Synthesis of absorbent SOG containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 108 grams of TEOS, 77 grams of MTEOS, 10 grams of 9-anthracenemethanol, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 5 grams of rosoleic acid, 0.5599 grams of 0.1 M nitric acid and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. 3 g of APTEOS was added to the solution after reflux. Also, after reflux, the solution was charged with 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis , MN). Thickness = 4275mm, n = 1.529, k = 0.124.

[実施例5]
2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの0.1 Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。厚さ= 3592Å、n= 1.563、k = 0.067だった。
2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの0.01 Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの1.0Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの0.1 Mの硝酸、および90グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの0.1 Mの硝酸、および125グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの0.1 Mの硝酸、および72グラムの脱イオン水を混合した。0.26gのAPTEOSを、還流前にこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの0.1 Mの硝酸、および72グラムの脱イオン水を混合した。0.26gのAPTEOSを、還流工程の間にこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。
2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの0.1 Mの硝酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。0.26gのAPTEOSを、還流後この溶液に加えた。また、還流後、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC430 (3M,Minneapolis, MN)をこの溶液に加えた。
2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの10 M乳酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの1.0 M乳酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの1.0 M乳酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、75グラムの2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの10 M乳酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの10 M乳酸、および115グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC430 (3M, Minneapolis, MN)を加えた。
2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの10 M乳酸、および72グラムの脱イオン水を混合した。0.06 gのAPTEOSを、還流前にこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。
2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの10 M乳酸、および72グラムの脱イオン水を混合した。0.06 gのAPTEOSを還流しながらこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトンとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51グラムのMTEOS、60グラムの2-ヒドロキシ-4-(3-トリメトキシシリプロポキシ)-ジフェニルケトン、0.6グラムの10 M乳酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。0.06 gのAPTEOSを還流後この溶液に加えた。還流後、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)もこの溶液に加えた。
[Example 5]
Synthesis of absorbent spin-on material containing 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 0.1 M nitric acid, and 72 grams of deionized water. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was. Thickness = 3592mm, n = 1.563, k = 0.067.
Synthesis of absorbent spin-on material containing 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 0.01 M nitric acid, and 72 grams of deionized water. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 1.0 M nitric acid, and 72 grams of deionized water. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 0.1 M nitric acid, and 90 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 0.1 M nitric acid, and 125 grams of deionized water. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 0.1 M nitric acid, and 72 grams of deionized water. 0.26 g of APTEOS was added to this solution before refluxing. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 0.1 M nitric acid, and 72 grams of deionized water. 0.26 g of APTEOS was added to this solution during the reflux step. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 0.1 M nitric acid, and 72 grams of deionized water. The flask was refluxed and / or heated for 1-12 hours. 0.26 g of APTEOS was added to the solution after reflux. After reflux, 57 grams of butanol, 88 grams of 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams of deionized water, and 3.75 grams of 10% FC430 (3M, Minneapolis, Minn.) Added to the solution.
Synthesis of absorbable spin-on material containing 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenyl ketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 10 M lactic acid, and 72 grams of deionized water. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbable spin-on material containing 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenyl ketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 1.0 M lactic acid, and 72 grams of deionized water. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbable spin-on material containing 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenyl ketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 1.0 M lactic acid, and 72 grams of deionized water. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenylketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 75 grams of 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 10 M lactic acid, and 72 grams of deionized water. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenylketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 10 M lactic acid, and 115 grams of deionized water. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC430 (3M, Minneapolis, MN). .
Synthesis of absorbent spin-on material containing 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenylketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 10 M lactic acid, and 72 grams of deionized water. 0.06 g of APTEOS was added to this solution before refluxing. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbable spin-on material containing 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenyl ketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 10 M lactic acid, and 72 grams of deionized water. 0.06 g of APTEOS was added to this solution at reflux. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenylketone and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams Of TEOS, 51 grams of MTEOS, 60 grams of 2-hydroxy-4- (3-trimethoxysilylpropoxy) -diphenyl ketone, 0.6 grams of 10 M lactic acid, and 72 grams of deionized water. The flask was refluxed and / or heated for 1-12 hours. 0.06 g of APTEOS was added to the solution after refluxing. After reflux, 57 grams of butanol, 88 grams of 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams of deionized water, and 3.75 grams of 10% FC 430 (3M, Minneapolis, Minn.) Added to.

[実施例6]
9-アントラセンメタノールとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、0.6グラムの0.1 M塩酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。
9-アントラセンメタノールとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、0.6グラムの0.01 M塩酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC430(3M, Minneapolis,MN)を加えた。
9-アントラセンメタノールとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、0.6グラムの1.0 M塩酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノールとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、0.6グラムの0.1 M塩酸、および100グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。
9-アントラセンメタノールとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、0.6グラムの0.1 M塩酸、および130グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。
9-アントラセンメタノールとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、0.6グラムの0.1 M塩酸、および72グラムの脱イオン水を混合した。1.2 gの水酸化カリウムを、還流前にこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノールとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、0.6グラムの0.1 M塩酸、および72グラムの脱イオン水を混合した。1.2 gの水酸化カリウムを、還流しながらこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノールとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、10グラムの9-アントラセンメタノール、0.6グラムの0.1 M塩酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。1.2 gの水酸化カリウムを、還流後この溶液に加えた。また、還流後、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)をこの溶液に加えた。
[Example 6]
Synthesis of absorbing spin-on material containing 9-anthracene methanol and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 10 grams of 9- Anthracene methanol, 0.6 grams of 0.1 M hydrochloric acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbing spin-on material containing 9-anthracene methanol and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 10 grams of 9- Anthracene methanol, 0.6 grams of 0.01 M hydrochloric acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC430 (3M, Minneapolis, MN). .
Synthesis of absorbing spin-on material containing 9-anthracene methanol and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 10 grams of 9- Anthracene methanol, 0.6 grams of 1.0 M hydrochloric acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbing spin-on material containing 9-anthracene methanol and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 10 grams of 9- Anthracene methanol, 0.6 grams 0.1 M hydrochloric acid, and 100 grams deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbing spin-on material containing 9-anthracene methanol and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 10 grams of 9- Anthracene methanol, 0.6 grams 0.1 M hydrochloric acid, and 130 grams deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbing spin-on material containing 9-anthracene methanol and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 10 grams of 9- Anthracene methanol, 0.6 grams of 0.1 M hydrochloric acid, and 72 grams of deionized water were mixed. 1.2 g of potassium hydroxide was added to this solution before refluxing. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbing spin-on material containing 9-anthracene methanol and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 10 grams of 9- Anthracene methanol, 0.6 grams of 0.1 M hydrochloric acid, and 72 grams of deionized water were mixed. 1.2 g of potassium hydroxide was added to this solution at reflux. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC430 (3M, Minneapolis, MN). .
Synthesis of absorbing spin-on material containing 9-anthracene methanol and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 10 grams of 9- Anthracene methanol, 0.6 grams of 0.1 M hydrochloric acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. 1.2 g of potassium hydroxide was added to the solution after reflux. Also after reflux, 57 grams of butanol, 88 grams of 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams of deionized water, and 3.75 grams of 10% FC 430 (3M, Minneapolis, Minn.) Added to this solution.

[実施例7]
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、20グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムの1.0 Mの酢酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。厚さ= 3503Å、n= 1. 475、k= 0.193だった。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、20グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムの10 Mの酢酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、20グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムのピュアなM(バルク)の酢酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC430 (3M, Minneapolis,MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、20グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムの10 Mの酢酸、および 98グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、20グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムの10 Mの酢酸、および120グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、20グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムの10 Mの酢酸、および72グラムの脱イオン水を混合した。1.5 gのTMAHを、還流前にこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、20グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムの10 Mの酢酸、および72グラムの脱イオン水を混合した。1.5 gのTMAHを、還流しながらこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、20グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムの10 Mの酢酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。1.5 gのTMAHを、この溶液に 還流後、加えた。また、還流後、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)をこの溶液に加えた。
[Example 7]
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 20 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracenemethanol, and 5 grams of rosole Acid, 0.6 grams of 1.0 M acetic acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was. Thickness = 3503mm, n = 1.475, k = 0.193.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 20 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracenemethanol, and 5 grams of rosole Acid, 0.6 grams of 10 M acetic acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 20 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracenemethanol, and 5 grams of rosole Acid, 0.6 grams of pure M (bulk) acetic acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC430 (3M, Minneapolis, MN). .
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 20 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracenemethanol, and 5 grams of rosole Acid, 0.6 grams of 10 M acetic acid, and 98 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 20 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracenemethanol, and 5 grams of rosole Acid, 0.6 grams of 10 M acetic acid, and 120 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 20 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracenemethanol, and 5 grams of rosole Acid, 0.6 grams of 10 M acetic acid, and 72 grams of deionized water were mixed. 1.5 g of TMAH was added to this solution before refluxing. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 20 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracenemethanol, and 5 grams of rosole Acid, 0.6 grams of 10 M acetic acid, and 72 grams of deionized water were mixed. 1.5 g of TMAH was added to this solution at reflux. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 20 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracenemethanol, and 5 grams of rosole Acid, 0.6 grams of 10 M acetic acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. 1.5 g of TMAH was added to the solution after refluxing. Also after reflux, 57 grams of butanol, 88 grams of 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams of deionized water, and 3.75 grams of 10% FC 430 (3M, Minneapolis, Minn.) Added to this solution.

[実施例8]
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、5グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムの10 M乳酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。厚さ=3119Å、n= 1. 454、k = 0.175だった。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、5グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムの1.0 M乳酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、5グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムのピュア(バルク)な乳酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、5グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムの10 M乳酸、および100グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、5グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムの10 M乳酸、および130グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、5グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムの10 M乳酸、および72グラムの脱イオン水を混合した。0.1 gのAPTEOSを還流前にこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、5グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムの10 M乳酸、および72グラムの脱イオン水を混合した。0.1 gのAPTEOSを還流しながらこの溶液に加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、5グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、0.6グラムの10 M乳酸、および72グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。0.1 gのAPTEOSを還流後この溶液に加えた。また、還流後、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)をこの溶液に加えた。
[Example 8]
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 5 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracene methanol, and 5 grams of rosole Acid, 0.6 grams of 10 M lactic acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was. Thickness = 3119mm, n = 1.454, k = 0.175.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 5 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracenemethanol, and 5 grams of rosole Acid, 0.6 grams of 1.0 M lactic acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 5 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracene methanol, and 5 grams of rosole Acid, 0.6 grams of pure (bulk) lactic acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 5 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracene methanol, and 5 grams of rosole Acid, 0.6 grams of 10 M lactic acid, and 100 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 5 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracene methanol, and 5 grams of rosole Acid, 0.6 grams of 10 M lactic acid, and 130 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC430 (3M, Minneapolis, MN). .
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 5 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracene methanol, and 5 grams of rosole Acid, 0.6 grams of 10 M lactic acid, and 72 grams of deionized water were mixed. 0.1 g of APTEOS was added to this solution before refluxing. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 5 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracene methanol, and 5 grams of rosole Acid, 0.6 grams of 10 M lactic acid, and 72 grams of deionized water were mixed. 0.1 g of APTEOS was added to this solution at reflux. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN) It was.
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, and pH adjuster 297 grams of 2-propanol in a 1 liter flask , 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS, 5 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracene methanol, and 5 grams of rosole Acid, 0.6 grams of 10 M lactic acid, and 72 grams of deionized water were mixed. The flask was refluxed and / or heated for 1-12 hours. 0.1 g of APTEOS was added to the solution after refluxing. Also after reflux, 57 grams of butanol, 88 grams of 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams of deionized water, and 3.75 grams of 10% FC 430 (3M, Minneapolis, Minn.) Added to this solution.

[実施例9]
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、キニザリン、アリザリン、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、20グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、および5グラムのロゾール酸、2グラムのキニザリン、2グラムのアリザリン、0.6グラムの0.1 Mの硝酸、1.0 Mの硝酸、および0.01 Mの硝酸(3つの別個の混合物に添加される)、および72グラムの脱イオン水を混合した。0.1 Mの硝酸を含む他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.7グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。厚さ= 3554Å、n= 1.489、k= 0.193だった。
[Example 9]
Synthesis of absorbent spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, quinizarin, alizarin, and pH adjuster 297 grams in a 1 liter flask 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 20 grams 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams 9-anthracenemethanol, and 5 grams of rosoleic acid, 2 grams of quinizarin, 2 grams of alizarin, 0.6 grams of 0.1 M nitric acid, 1.0 M nitric acid, and 0.01 M nitric acid (added to three separate mixtures), and 72 grams Deionized water was mixed. In two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.7 grams 10% FC 430 (3M, Minneapolis, MN) It was. Thickness = 3554mm, n = 1.489, k = 0.193.

他の3つの溶液中に、1.1 gの水酸化カリウムを加えた。それぞれの溶液中に、水酸化カリウムを、還流工程の前、還流工程の間、還流工程の後、それぞれ加えた。   In the other three solutions, 1.1 g potassium hydroxide was added. In each solution, potassium hydroxide was added before, during, and after the reflux step.

[実施例10]
9-アントラセンメタノール、2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、ロゾール酸、アリザリン、およびpH調整剤を含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、51.5グラムのMTEOS、5グラムの2-ヒドロキシ-4-(3-トリエトキシシリプロポキシ)-ジフェニルケトン、25グラムの9-アントラセンメタノール、5グラムのロゾール酸、および2グラムのアリザリン、0.5599グラムの1.0 M、10M、およびピュア(バルク)な酢酸(3つの別々の混合物にそれぞれ添加される)、および71.90グラムの脱イオン水を混合した。10 Mの酢酸を含む他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、56.68グラムのブタノール、87.99グラムの2-プロパノール、44.10グラムのアセトン、59.31グラムのエタノール、9.55グラムの脱イオン水、および3.75グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
[Example 10]
Synthesis of absorbing spin-on material containing 9-anthracenemethanol, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosoleic acid, alizarin, and pH adjuster 297 grams of 2 in a 1 liter flask -Propanol, 148 grams of acetone, 123 grams of TEOS, 51.5 grams of MTEOS, 5 grams of 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenyl ketone, 25 grams of 9-anthracene methanol, 5 grams Rozolic acid and 2 grams of alizarin, 0.5599 grams of 1.0 M, 10 M, and pure (bulk) acetic acid (added to each of the three separate mixtures) and 71.90 grams of deionized water were mixed. In two other solutions containing 10 M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution is added 56.68 grams butanol, 87.99 grams 2-propanol, 44.10 grams acetone, 59.31 grams ethanol, 9.55 grams deionized water, and 3.75 grams 10% FC 430 (3M, Minneapolis, MN). It was.

他の3つの溶液に、0.25 gのAPTEOSを加えた。それぞれの溶液中に、APTEOSを、それぞれ、還流工程の前、還流工程の間、還流工程の後、添加した。   To the other three solutions, 0.25 g of APTEOS was added. In each solution, APTEOS was added before, during, and after the reflux step, respectively.

[実施例11]
9-アントラセンカルボキシ-メチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS, 30グラムの9-アントラセンカルボキシ-メチルトリエトキシシラン、0.6グラムの0.1 M、0.01 M、および1.0 Mの硝酸(3つの別の溶液にそれぞれ添加される)、および72グラムの脱イオン水を混合した。0.1 Mの硝酸を含む他の2つの溶液に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.7グラムの10 %FC430 (3M, Minneapolis, MN)を加えた。
[Example 11]
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-methyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams of 9-anthracenecarboxy-methyltriethoxysilane, 0.6 grams of 0.1 M, 0.01 M, and 1.0 M nitric acid (added to each of three separate solutions) and 72 grams of deionized water were mixed. . To the other two solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.7 grams 10% FC430 (3M, Minneapolis, MN). .

他の3つの溶液中に、0.25 gのHClを添加した。それぞれの溶液には、HClをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
9-アントラセンカルボキシ-エチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、30グラムの9-アントラセンカルボキシ-エチルトリエトキシシラン、0.6グラムの1.0 M、10 M、およびピュア(バルク)な乳酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。10 M乳酸を含む他の2つの溶液に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.7グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。
In the other three solutions, 0.25 g HCl was added. To each solution, HCl was added before, during, and after the reflux step, respectively.
Synthesis of absorbing spin-on material containing 9-anthracenecarboxy-ethyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams of 9-anthracenecarboxy-ethyltriethoxysilane, 0.6 grams of 1.0 M, 10 M, and pure (bulk) lactic acid (each added to three separate solutions), and 72 grams of deionized water Mixed. To the other two solutions containing 10 M lactic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.7 grams 10% FC 430 (3M, Minneapolis, MN) It was.

他の3つの溶液に、1.2 gのAPTEOSを加えた。それぞれの溶液には、APTEOSをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
9-アントラセンカルボキシ-プロピルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、30グラムの9-アントラセンカルボキシ-プロピルトリエトキシシラン、0.6グラムの1.0 M、10 M、およびピュア(バルク)な乳酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。10M乳酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.7グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。
To the other three solutions, 1.2 g of APTEOS was added. APTEOS was added to each solution before, during, and after the reflux step, respectively.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-propyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams of 9-anthracenecarboxy-propyltriethoxysilane, 0.6 grams of 1.0 M, 10 M, and pure (bulk) lactic acid (added to each of three separate solutions), and 72 grams of deionized water Mixed. In two other solutions containing 10M lactic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.7 grams 10% FC 430 (3M, Minneapolis, MN) It was.

他の3つの溶液中に、0.2 gのAPTEOSを加えた。それぞれの溶液には、APTEOSをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
9-アントラセンカルボキシ-ペンチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、30グラムの9-アントラセンカルボキシ-ペンチルトリエトキシシラン、0.6グラムの0.1 M、0.01 M、および1.0 Mの硝酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。0.1Mの硝酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.7グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
In the other three solutions, 0.2 g of APTEOS was added. APTEOS was added to each solution before, during, and after the reflux step, respectively.
Synthesis of absorbing spin-on material containing 9-anthracenecarboxy-pentyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams of 9-anthracenecarboxy-pentyltriethoxysilane, 0.6 grams of 0.1 M, 0.01 M, and 1.0 M nitric acid (each added to three separate solutions) and 72 grams of deionized water were mixed. . In two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.7 grams 10% FC 430 (3M, Minneapolis, MN) It was.

他の3つの溶液中に、1.0 gの水酸化カリウムを加えた。それぞれの溶液には、水酸化カリウムをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
9-アントラセンカルボキシ-メチルトリメトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、30グラムの9-アントラセンカルボキシ-メチルトリメトキシシラン、0.6グラムの1.0 M、10 M、およびピュア(バルク)な酢酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。10Mの酢酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.7グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
In the other three solutions, 1.0 g of potassium hydroxide was added. To each solution, potassium hydroxide was added before, during, and after the reflux step, respectively.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-methyltrimethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams of 9-anthracenecarboxy-methyltrimethoxysilane, 0.6 grams of 1.0 M, 10 M, and pure (bulk) acetic acid (each added to three separate solutions), and 72 grams of deionized water Mixed. In two other solutions containing 10M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.7 grams 10% FC 430 (3M, Minneapolis, MN) It was.

他の3つの溶液中に、2.4 gのTMAHを加えた。それぞれの溶液には、TMAHをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
9-アントラセンカルボキシ-エチルトリメトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS、30グラムの9-アントラセンカルボキシ-エチルトリメトキシシラン、0.6グラムの1.0 M、10 M、およびピュア(バルク)な乳酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。10M乳酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.7グラムの10%FC 430 (3M,Minneapolis, MN)を加えた。
In the other three solutions, 2.4 g of TMAH was added. To each solution, TMAH was added before, during, and after the reflux step, respectively.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-ethyltrimethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams of 9-anthracenecarboxy-ethyltrimethoxysilane, 0.6 grams of 1.0 M, 10 M, and pure (bulk) lactic acid (added to each of three separate solutions), and 72 grams of deionized water Mixed. In two other solutions containing 10M lactic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.7 grams 10% FC 430 (3M, Minneapolis, MN) It was.

他の3つの溶液中に、1.2 gのAPTEOSを加えた。それぞれの溶液には、APTEOSをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
9-アントラセンカルボキシ-プロピルトリメトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOS, 30グラムの9-アントラセンカルボキシ-プロピルトリメトキシシラン、0.6グラムの0.1 M、0.01 M、および1.0 M塩酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。0.1M塩酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.7グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
In the other three solutions, 1.2 g of APTEOS was added. APTEOS was added to each solution before, during, and after the reflux step, respectively.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-propyltrimethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams of 9-anthracenecarboxy-propyltrimethoxysilane, 0.6 grams of 0.1 M, 0.01 M, and 1.0 M hydrochloric acid (added to each of three separate solutions) and 72 grams of deionized water were mixed. In two other solutions containing 0.1M hydrochloric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.7 grams 10% FC 430 (3M, Minneapolis, MN) It was.

他の3つの溶液中に、1.4 gのAPTEOSを加えた。それぞれの溶液には、APTEOSをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。。   In the other three solutions, 1.4 g of APTEOS was added. APTEOS was added to each solution before, during, and after the reflux step, respectively. .

[実施例12]
9-アントラセンメタノールとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOSをよび10グラムの9-アントラセンメタノールを混合した。この溶液を6時間還流した。0.6グラムの0.1 M、0.01 M、および1.0 M塩酸(それぞれ3つの別の溶液に添加される)と、72グラムの脱イオン水との混合物を混合した。0.1M塩酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.7グラムの10% FC430 (3M, Minneapolis,MN)を加えた。
[Example 12]
Synthesis of absorbent spin-on material containing 9-anthracenemethanol and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS and 10 grams of 9 -Anthracene methanol was mixed. The solution was refluxed for 6 hours. A mixture of 0.6 grams of 0.1 M, 0.01 M, and 1.0 M hydrochloric acid (each added to three separate solutions) and 72 grams of deionized water was mixed. In two other solutions containing 0.1M hydrochloric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.7 grams 10% FC430 (3M, Minneapolis, MN). .

他の3つの溶液中に、1.4 gのAPTEOSを加えた。それぞれの溶液には、APTEOSをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
9-アントラセン エタノールとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOSをよび10グラムの9-アントラセン エタノールを混合した。この溶液を6時間還流した。0.6グラムの0.1 M、0.01 M、および1.0 Mの硝酸(それぞれ3つの別の溶液に添加される)と、72グラムの脱イオン水との混合物を混合した。0.1Mの硝酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.7グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
In the other three solutions, 1.4 g of APTEOS was added. APTEOS was added to each solution before, during, and after the reflux step, respectively.
9-Anthracene Synthesis of absorbent spin-on material containing ethanol and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS and 10 grams of 9 -Anthracene Ethanol was mixed. The solution was refluxed for 6 hours. A mixture of 0.6 grams of 0.1 M, 0.01 M, and 1.0 M nitric acid (each added to three separate solutions) and 72 grams of deionized water was mixed. In two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.7 grams 10% FC 430 (3M, Minneapolis, MN) It was.

他の3つの溶液中に、0.4 gのAPTEOSを加えた。それぞれの溶液には、APTEOSをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
9-アントラセン プロパノールとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、123グラムのTEOS、77グラムのMTEOSをよび10グラムの9-アントラセン プロパノールを混合した。この溶液を6時間還流した。0.6グラムの1.0 M、10 M、およびピュア(バルク)な酢酸(それぞれ3つの別の溶液に添加される)と72グラムの脱イオン水との混合物を混合した。10Mの酢酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラムのブタノール、88グラムの2-プロパノール、44グラムのアセトン、59グラムのエタノール、9.5グラムの脱イオン水、および3.7グラムの10% FC 430 (3M, Minneapolis,MN)を加えた。
In the other three solutions, 0.4 g of APTEOS was added. APTEOS was added to each solution before, during, and after the reflux step, respectively.
9-Anthracene Synthesis of absorbent spin-on material containing propanol and pH adjuster In a 1 liter flask, 297 grams of 2-propanol, 148 grams of acetone, 123 grams of TEOS, 77 grams of MTEOS and 10 grams of 9 -Anthracene Propanol was mixed. The solution was refluxed for 6 hours. A mixture of 0.6 grams of 1.0 M, 10 M, and pure (bulk) acetic acid (each added to three separate solutions) and 72 grams of deionized water was mixed. In two other solutions containing 10M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 57 grams butanol, 88 grams 2-propanol, 44 grams acetone, 59 grams ethanol, 9.5 grams deionized water, and 3.7 grams 10% FC 430 (3M, Minneapolis, MN) It was.

他の3つの溶液中に、1.25 gのTMAHを加えた。それぞれの溶液には、TMAHをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。   In the other three solutions, 1.25 g of TMAH was added. To each solution, TMAH was added before, during, and after the reflux step, respectively.

[実施例13]
9-アントラセンカルボキシ-メチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、90グラムのTMOS、59グラムのMTMOS、60グラムの9-アントラセンカルボキシ-メチルトリエトキシシラン、0.6グラムの1.0 M、10 M、およびピュア(バルク)な酢酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。10Mの酢酸を含有する他の2つの溶液中に、90gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10% FC 430 (3M, Minneapolis, MN)を添加した。
[Example 13]
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-methyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams of 9-anthracenecarboxy-methyltriethoxysilane, 0.6 grams of 1.0 M, 10 M, and pure (bulk) acetic acid (each added to three separate solutions), and 72 grams of deionized water Mixed. In two other solutions containing 10M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) did.

他の3つの溶液中に、1.25gのTMAHを加えた。それぞれの溶液には、TMAHをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
9-アントラセンカルボキシ-エチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、90グラムのTMOS、59グラムのMTMOS、60グラムの9-アントラセンカルボキシ-エチルトリエトキシシラン、0.6グラムの0.1 M、0.01 M、および1.0 Mの硝酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。0.1Mの硝酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10% FC430 (3M, Minneapolis,MN)を加えた。
In the other three solutions, 1.25 g of TMAH was added. To each solution, TMAH was added before, during, and after the reflux step, respectively.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-ethyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams of 9-anthracenecarboxy-ethyltriethoxysilane, 0.6 grams of 0.1 M, 0.01 M, and 1.0 M nitric acid (added to each of three separate solutions) and 72 grams of deionized water were mixed. . In two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC430 (3M, Minneapolis, MN). .

他の3つの溶液中に、0.25 gのTMAHを加えた。それぞれの溶液には、TMAHをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
9-アントラセンカルボキシ-メチルトリメトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、90グラムの TMOS、59グラムのMTMOS、60グラムの9-アントラセンカルボキシ-メチルトリメトキシシラン、0.6グラムの1.0 M、10 M、およびピュア(バルク)な乳酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。10M乳酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis,MN)を加えた。
In the other three solutions, 0.25 g of TMAH was added. To each solution, TMAH was added before, during, and after the reflux step, respectively.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-methyltrimethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams of 9-anthracenecarboxy-methyltrimethoxysilane, 0.6 grams of 1.0 M, 10 M, and pure (bulk) lactic acid (added to each of three separate solutions), and 72 grams of deionized water Mixed. In two other solutions containing 10M lactic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was.

他の3つの溶液中に、1.5 gの水酸化カリウムを加えた。それぞれの溶液には、水酸化カリウムをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
9-アントラセンカルボキシ-プロピルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、90グラムのTMOS、59グラムのMTMOS、60グラムの9-アントラセンカルボキシ-プロピルトリエトキシシラン、0.6グラムの0.1 M、0.01 M、および1.0 Mの硝酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。0.1Mの硝酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10%FC 430 (3M, Minneapolis, MN)を加えた。
In the other three solutions, 1.5 g of potassium hydroxide was added. To each solution, potassium hydroxide was added before, during, and after the reflux step, respectively.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-propyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams of 9-anthracenecarboxy-propyltriethoxysilane, 0.6 grams of 0.1 M, 0.01 M, and 1.0 M nitric acid (added to each of three separate solutions) and 72 grams of deionized water were mixed. . In two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was.

他の3つの溶液中に、0.5 gのTMAHを加えた。それぞれの溶液には、TMAHをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
9-アントラセンカルボキシ-メチルトリプロポキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、90グラムのTMOS、59グラムのMTMOS、60グラムの9-アントラセンカルボキシ-メチルトリプロポキシシラン、0.6グラムの1.0 M、10 M、およびピュア(バルク)な酢酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。10Mの酢酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
In the other three solutions, 0.5 g of TMAH was added. To each solution, TMAH was added before, during, and after the reflux step, respectively.
Synthesis of absorbent spin-on material containing 9-anthracenecarboxy-methyltripropoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams of 9-anthracenecarboxy-methyltripropoxysilane, 0.6 grams of 1.0 M, 10 M, and pure (bulk) acetic acid (each added to three separate solutions), and 72 grams of deionized water Mixed. In two other solutions containing 10M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was.

他の3つの溶液中に、0.75 gのTMAHを加えた。それぞれの溶液には、TMAHをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
9-アントラセンカルボキシ-エチルトリブトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラムの2-プロパノール、148グラムのアセトン、90グラムのTMOS、59グラムのMTMOS、60グラムの9-アントラセンカルボキシ-エチルトリブトキシシラン、0.6グラムの1.0 M、10 M、およびピュア(バルク)な酢酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。10Mの酢酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ加えた。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、115グラムのブタノール、488グラムの2-プロパノール、245グラムのアセトン、329グラムのエタノール、53グラムの脱イオン水、および3.8グラムの10% FC 430 (3M, Minneapolis, MN)を加えた。
In the other three solutions, 0.75 g of TMAH was added. To each solution, TMAH was added before, during, and after the reflux step, respectively.
Synthesis of absorbing spin-on material containing 9-anthracenecarboxy-ethyltributoxysilane and pH adjuster In a 1 liter flask, 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams of 9-anthracenecarboxy-ethyltributoxysilane, 0.6 grams of 1.0 M, 10 M, and pure (bulk) acetic acid (each added to three separate solutions), and 72 grams of deionized water Mixed. In two other solutions containing 10M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution, add 115 grams butanol, 488 grams 2-propanol, 245 grams acetone, 329 grams ethanol, 53 grams deionized water, and 3.8 grams 10% FC 430 (3M, Minneapolis, MN) It was.

他の3つの溶液中に、1.00 gのTMAHを加えた。それぞれの溶液には、TMAHをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
[実施例14]
フェニルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラム(4.798モル)の2-プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、104グラム(0.432モル)のフェニルトリエトキシシラン、0.6グラムの1.0 M、10 M、およびピュア(バルク)な酢酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。10Mの酢酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2-プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。厚さ=1727Å、n= 1.957、k=0.384だった。
In the other three solutions, 1.00 g of TMAH was added. To each solution, TMAH was added before, during, and after the reflux step, respectively.
[Example 14]
Synthesis of absorbing spin-on material containing phenyltriethoxysilane and pH adjuster In a 1 liter flask, 297 grams (4.798 mole) 2-propanol, 148 grams (2.558 mole) acetone, 123 grams (0.593 mole) TEOS, 104 grams (0.432 moles) of phenyltriethoxysilane, 0.6 grams of 1.0 M, 10 M, and pure (bulk) acetic acid (added to three separate solutions each), and 72 grams of deionized water Were mixed. In two other solutions containing 10M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams (0.769 mole) butanol, 88 grams (1.422 mole) 2-propanol, 44 grams (0.758 mole) acetone, 59 grams (1.227 mole) ethanol, 9.5 grams (0.528 mole). Ionized water was added. Thickness = 1727mm, n = 1.957, k = 0.384.

他の3つの溶液中に、1.00 gのTMAHを加えた。それぞれの溶液には、TMAHをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
フェニルトリメトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラム(4.798モル)の2-プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、104グラム(0.432モル)のフェニルトリエトキシシラン、0.6グラムの0.1 M、0.01 M、および1.0 Mの硝酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。0.1Mの硝酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2-プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
In the other three solutions, 1.00 g of TMAH was added. To each solution, TMAH was added before, during, and after the reflux step, respectively.
Synthesis of absorbing spin-on material containing phenyltrimethoxysilane and pH adjuster In a 1 liter flask, 297 grams (4.798 mole) 2-propanol, 148 grams (2.558 mole) acetone, 123 grams (0.593 mole) Mix TEOS, 104 grams (0.432 mole) phenyltriethoxysilane, 0.6 grams 0.1 M, 0.01 M, and 1.0 M nitric acid (each added to three separate solutions), and 72 grams deionized water did. In two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams (0.769 mole) butanol, 88 grams (1.422 mole) 2-propanol, 44 grams (0.758 mole) acetone, 59 grams (1.227 mole) ethanol, 9.5 grams (0.528 mole). Ionized water was added.

他の3つの溶液中に、1.00 gのAPTEOSを加えた。それぞれの溶液には、APTEOSをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
フェニルトリプロポキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラム(4.798モル)の2-プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、104グラム(0.432モル)のフェニルトリエトキシシラン、0.6グラムの1.0 M、10 M、およびピュア(バルク)な乳酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。10M乳酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2-プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
In the other three solutions, 1.00 g of APTEOS was added. APTEOS was added to each solution before, during, and after the reflux step, respectively.
Synthesis of absorbing spin-on material containing phenyltripropoxysilane and pH adjuster In a 1 liter flask, 297 grams (4.798 mole) 2-propanol, 148 grams (2.558 mole) acetone, 123 grams (0.593 mole) TEOS, 104 grams (0.432 moles) of phenyltriethoxysilane, 0.6 grams of 1.0 M, 10 M, and pure (bulk) lactic acid (added to three separate solutions each), and 72 grams of deionized water Were mixed. In two other solutions containing 10M lactic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams (0.769 mole) butanol, 88 grams (1.422 mole) 2-propanol, 44 grams (0.758 mole) acetone, 59 grams (1.227 mole) ethanol, 9.5 grams (0.528 mole). Ionized water was added.

他の3つの溶液中に、0.75 gのAPTEOSを加えた。それぞれの溶液には、APTEOSをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
フェニルトリブトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラム(4.798モル)の2-プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、104グラム(0.432モル)のフェニルトリエトキシシラン、0.6グラムの1.0 M、10 M、およびピュア(バルク)な酢酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。10Mの酢酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2-プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
In the other three solutions, 0.75 g of APTEOS was added. APTEOS was added to each solution before, during, and after the reflux step, respectively.
Synthesis of absorbing spin-on material containing phenyltributoxysilane and pH adjuster In a 1 liter flask, 297 grams (4.798 mole) 2-propanol, 148 grams (2.558 mole) acetone, 123 grams (0.593 mole) TEOS, 104 grams (0.432 moles) of phenyltriethoxysilane, 0.6 grams of 1.0 M, 10 M, and pure (bulk) acetic acid (added to three separate solutions each), and 72 grams of deionized water Were mixed. In two other solutions containing 10M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams (0.769 mole) butanol, 88 grams (1.422 mole) 2-propanol, 44 grams (0.758 mole) acetone, 59 grams (1.227 mole) ethanol, 9.5 grams (0.528 mole). Ionized water was added.

他の3つの溶液中に、0.50 gのAPTEOSを加えた。それぞれの溶液には、APTEOSをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
[実施例15]
4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシランとpH調整剤とを含む吸収性スピンオン材料の合成
1リットル フラスコにおいて、297グラム(4.798モル)の2-プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、44.5グラム(0.13モル)の4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン、0.6グラムの1.0 M、10 M、およびピュア(バルク)な酢酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。10Mの酢酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2-プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
In the other three solutions, 0.50 g of APTEOS was added. APTEOS was added to each solution before, during, and after the reflux step, respectively.
[Example 15]
Synthesis of Absorbing Spin-On Material Containing 4-Ethoxyphenylazobenzene-4-carboxy-methyltriethoxysilane and pH Adjuster In a 1 liter flask, 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) Acetone, 123 grams (0.593 mole) TEOS, 77 grams (0.432 mole) MTEOS, 44.5 grams (0.13 mole) 4-ethoxyphenylazobenzene-4-carboxy-methyltriethoxysilane, 0.6 grams 1.0 M, 10 M , And pure (bulk) acetic acid (each added to three separate solutions) and 72 grams of deionized water. In two other solutions containing 10M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams (0.769 mole) butanol, 88 grams (1.422 mole) 2-propanol, 44 grams (0.758 mole) acetone, 59 grams (1.227 mole) ethanol, 9.5 grams (0.528 mole). Ionized water was added.

他の3つの溶液中に、0.50 gのAPTEOSを加えた。それぞれの溶液には、APTEOSをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
4-エトキシフェニルアゾベンゼン-4-カルボキシ-エチルトリエトキシシランを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラム(4.798モル)の2-プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、44.5グラム(0.13モル)の4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン、0.6グラムの1.0 M、10 M、およびピュア(バルク)な乳酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。10M乳酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2-プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
In the other three solutions, 0.50 g of APTEOS was added. APTEOS was added to each solution before, during, and after the reflux step, respectively.
Synthesis of absorbing spin-on material containing 4-ethoxyphenylazobenzene-4-carboxy-ethyltriethoxysilane In a 1 liter flask, 297 grams (4.798 mole) 2-propanol, 148 grams (2.558 mole) acetone, 123 grams (0.593 mole) TEOS, 77 grams (0.432 mole) MTEOS, 44.5 grams (0.13 mole) 4-ethoxyphenylazobenzene-4-carboxy-methyltriethoxysilane, 0.6 grams 1.0 M, 10 M, and pure ( Bulk) lactic acid (each added to three separate solutions) and 72 grams of deionized water were mixed. In two other solutions containing 10M lactic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams (0.769 mole) butanol, 88 grams (1.422 mole) 2-propanol, 44 grams (0.758 mole) acetone, 59 grams (1.227 mole) ethanol, 9.5 grams (0.528 mole). Ionized water was added.

他の3つの溶液中に、0.25 gのAPTEOSを加えた。それぞれの溶液には、APTEOSをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
4-メトキシフェニルアゾベンゼン-4-カルボキシ-プロピルトリエトキシシランとを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラム(4.798モル)の2-プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、44.5グラム(0.13モル)の4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン、0.6グラムの0.1 M、0.01 M、および1.0 Mの硝酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。0.1Mの硝酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2-プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。
In the other three solutions, 0.25 g of APTEOS was added. APTEOS was added to each solution before, during, and after the reflux step, respectively.
Synthesis of an absorbing spin-on material comprising 4-methoxyphenylazobenzene-4-carboxy- propyltriethoxysilane In a 1 liter flask, 297 grams (4.798 mole) 2-propanol, 148 grams (2.558 mole) acetone, 123 Grams (0.593 mole) TEOS, 77 grams (0.432 mole) MTEOS, 44.5 grams (0.13 mole) 4-ethoxyphenylazobenzene-4-carboxy-methyltriethoxysilane, 0.6 grams 0.1 M, 0.01 M, and 1.0 M nitric acid (each added to three separate solutions) and 72 grams of deionized water were mixed. In two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams (0.769 mole) butanol, 88 grams (1.422 mole) 2-propanol, 44 grams (0.758 mole) acetone, 59 grams (1.227 mole) ethanol, 9.5 grams (0.528 mole). Ionized water was added.

他の3つの溶液中に、0.10 gのAPTEOSを加えた。それぞれの溶液には、APTEOSをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
4-メトキシフェニルアゾベンゼン-4-カルボキシ-プロピルトリメトキシシランとを含む吸収性スピンオン材料の合成
1リットルのフラスコにおいて、297グラム(4.798モル)の2-プロパノール、148グラム(2.558モル)のアセトン、123グラム(0.593モル)のTEOS、77グラム(0.432モル)のMTEOS、44.5グラム(0.13モル)の4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン、0.6グラムの0.1 M、0.01 M、および1.0 M塩酸(それぞれ3つの別の溶液に添加される)、および72グラムの脱イオン水を混合した。0.1 Mの塩酸を含有する他の2つの溶液中に、90 gと110 gの脱イオン水をそれぞれ添加した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、57グラム(0.769モル)のブタノール、88グラム(1.422モル)の2-プロパノール、44グラム(0.758モル)のアセトン、59グラム(1.227モル)のエタノール、9.5グラム(0.528モル)の脱イオン水を加えた。n= 1.499、k= 0.162(365 nmにおいて)だった。
In the other three solutions, 0.10 g of APTEOS was added. APTEOS was added to each solution before, during, and after the reflux step, respectively.
Synthesis of absorbing spin-on material comprising 4-methoxyphenylazobenzene-4-carboxy- propyltrimethoxysilane In a 1 liter flask, 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 Grams (0.593 mole) TEOS, 77 grams (0.432 mole) MTEOS, 44.5 grams (0.13 mole) 4-ethoxyphenylazobenzene-4-carboxy-methyltriethoxysilane, 0.6 grams 0.1 M, 0.01 M, and 1.0 M hydrochloric acid (each added to three separate solutions) and 72 grams of deionized water were mixed. In two other solutions containing 0.1 M hydrochloric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 57 grams (0.769 mole) butanol, 88 grams (1.422 mole) 2-propanol, 44 grams (0.758 mole) acetone, 59 grams (1.227 mole) ethanol, 9.5 grams (0.528 mole). Ionized water was added. n = 1.499, k = 0.162 (at 365 nm).

他の3つの溶液中に、0.50 gのTMAHを加えた。それぞれの溶液には、TMAHをそれぞれ、還流工程の前、還流工程の間、還流工程の後に添加した。
[実施例16]
PGMEAとpH調整剤とを含有する吸収性スピンオン材料の合成
1リットルのフラスコにおいて、504.829 gのPGMEA、123.6グラムのTEOS、76.9グラムのMTEOS、5.608グラムの0.1 Mの硝酸、および66.869グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、43.777グラムのブタノールを加えた。この溶液を、pH調整実験で使用するため、ろ過した。
In the other three solutions, 0.50 g of TMAH was added. To each solution, TMAH was added before, during, and after the reflux step, respectively.
[Example 16]
Synthesis of absorbing spin-on material containing PGMEA and pH adjuster In a 1 liter flask, 504.829 g PGMEA, 123.6 grams TEOS, 76.9 grams MTEOS, 5.608 grams 0.1 M nitric acid, and 66.869 grams deionized Water was mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 43.777 grams of butanol. This solution was filtered for use in pH adjustment experiments.

他の1リットルフラスコにおいて、297グラムの2-プロパノール、148.560グラムのアセトン、139.902グラムのTEOS、19.10グラムのMTEOS、51.7 gのPTEOS、5.624グラムの0.1 Mの硝酸、および66.827グラムの脱イオン水を混合した。このフラスコを、1〜12時間、還流および/または加熱した。この溶液に、43.93グラムのブタノールを加えた。この溶液を、pH調整実験で使用するため、ろ過した。   In another 1 liter flask, 297 grams of 2-propanol, 148.560 grams of acetone, 139.902 grams of TEOS, 19.10 grams of MTEOS, 51.7 grams of PTEOS, 5.624 grams of 0.1 M nitric acid, and 66.827 grams of deionized water. Mixed. The flask was refluxed and / or heated for 1-12 hours. To this solution was added 43.93 grams of butanol. This solution was filtered for use in pH adjustment experiments.

この2つの調製した溶液を混合し、pH調整剤、APTEOSを、約1.7の開始pHを有する混合されたスピンオン材料650 gの6つの別個の溶液に添加した。APTEOSを以下の量添加し、以下のpHとなった:a) 1.49 g (pH = 807);b) 0.26 g (pH = 7.12);c) 0.1 g (pH = 6.29);d) 0.06(pH = 5.50);e) 0.03 g (pH = 2.49);f) 0グラム(pH= 1.76)。次いで、この溶液を分注し、3000rpm膜厚のスピンを20秒間行い、80℃と180℃でそれぞれ1分間焼成した。光学的特性は、N & K Technology Model 1200分析機により測定した。上記のA-F溶液の光学的特性は以下のようだった:
a)厚さ= 1686オングストローム; k = 0.297 ; n = 1.802 ; エッチ比(er) = 9.33
b)厚さ= 1332オングストローム; k = 0.295 ; n = 1.802; エッチ比(er) = 8.5
c)厚さ= 1298オングストローム; k = 0.294 ; n = 1.802; エッチ比(er) = 8.316
d)厚さ= 1292オングストローム; k = 0.293 ; n = 1.802; エッチ比(er) = 8.17
e)厚さ= 1304.9オングストローム; k = 0.292 ; n = 1.802; エッチ比(er) = 8.01
f)厚さ= 1263.9オングストローム; k = 0.289 ; n = 1.802; エッチ比(er) = 7.83
このように、吸収性化合物を含有する、さらにpH調整剤を含有する、スピンオン材料、無機スピンオン材料、およびスピンオンガラス材料を製造するための組成物の具体的な態様、応用、および方法が開示された。しかし、既に説明した他にも、本明細書における本発明のコンセプトから逸脱することなく、多くのさらなる修飾が可能であることは、当業者にとって明白である。したがって、本発明の主題は、添付した特許請求の範囲のみに限定されない。さらに、明細書および特許請求の範囲を解釈するにあたり、すべての用語は、文脈に沿う最も広義に解釈されるべきである。特に、「含む」と「からなる」という用語は、要素、成分、または工程を非限定的(non-exclusive)に示すものと解釈されるべきであって、示された要素、成分、または工程は、他の要素、成分、または工程と共に存在し、使用し、組み合わせることができることを示している。
The two prepared solutions were mixed and the pH adjuster, APTEOS, was added to six separate solutions of 650 g of mixed spin-on material having an starting pH of about 1.7. APTEOS was added to the following pH: a) 1.49 g (pH = 807); b) 0.26 g (pH = 7.12); c) 0.1 g (pH = 6.29); d) 0.06 (pH = 5.50); e) 0.03 g (pH = 2.49); f) 0 grams (pH = 1.76). Next, this solution was dispensed, and spinning was performed at a film thickness of 3000 rpm for 20 seconds, followed by baking at 80 ° C. and 180 ° C. for 1 minute. Optical properties were measured with an N & K Technology Model 1200 analyzer. The optical properties of the above AF solution were as follows:
a) Thickness = 1686 Angstrom; k = 0.297; n = 1.802; Etch ratio (er) = 9.33
b) Thickness = 1332 Angstrom; k = 0.295; n = 1.802; etch ratio (er) = 8.5
c) Thickness = 1298 Angstroms; k = 0.294; n = 1.802; Etch ratio (er) = 8.316
d) Thickness = 1292 Å; k = 0.293; n = 1.802; etch ratio (er) = 8.17
e) Thickness = 1304.9 Angstrom; k = 0.292; n = 1.802; etch ratio (er) = 8.01
f) Thickness = 1263.9 Angstrom; k = 0.289; n = 1.802; etch ratio (er) = 7.83
Thus, specific embodiments, applications, and methods of compositions for producing spin-on materials, inorganic spin-on materials, and spin-on glass materials that contain an absorptive compound and further a pH adjuster are disclosed. It was. However, it will be apparent to those skilled in the art that many more modifications besides those already described are possible without departing from the inventive concepts herein. Accordingly, the subject matter of the present invention is not limited only to the appended claims. Further, in interpreting the specification and the claims, all terms should be interpreted in the broadest sense consistent with the context. In particular, the terms “comprising” and “consisting of” should be construed as indicating the element, component, or process non-exclusive, and the indicated element, component, or process. Indicates that it exists, can be used and combined with other elements, components or processes.

図1aは、スピンオンガラス組成物に導入することが可能な吸収性化合物の化学式を示す。FIG. 1a shows the chemical formula of an absorptive compound that can be introduced into a spin-on glass composition. 図1bは、スピンオンガラス組成物に導入することが可能な吸収性化合物の化学式を示す。FIG. 1b shows the chemical formula of the absorbing compound that can be introduced into the spin-on glass composition. 図1cは、スピンオンガラス組成物に導入することが可能な吸収性化合物の化学式を示す。FIG. 1c shows the chemical formula of the absorbing compound that can be introduced into the spin-on glass composition. 図1dは、スピンオンガラス組成物に導入することが可能な吸収性化合物の化学式を示す。FIG. 1d shows the chemical formula of the absorbing compound that can be introduced into the spin-on glass composition. 図1eは、スピンオンガラス組成物に導入することが可能な吸収性化合物の化学式を示す。FIG. 1e shows the chemical formula of the absorbing compound that can be introduced into the spin-on glass composition. 図1fは、スピンオンガラス組成物に導入することが可能な吸収性化合物の化学式を示す。FIG. 1f shows the chemical formula of the absorbing compound that can be introduced into the spin-on glass composition. 図2a〜hは、フォトリソグラフィープロセスにおける、反射防止膜層として、調整剤を含有する吸収性スピンオン組成物の使用を図解する。Figures 2a-h illustrate the use of an absorptive spin-on composition containing a modifier as an antireflective coating layer in a photolithography process.

Claims (42)

少なくとも1つの無機ベースの化合物と、少なくとも1つの導入することが可能な吸収性有機化合物と、少なくとも1つのpH調整剤とを含んでなる、吸収性スピンオンガラス組成物。   An absorptive spin-on glass composition comprising at least one inorganic-based compound, at least one absorbable organic compound that can be introduced, and at least one pH adjusting agent. 前記吸収性化合物が、375 nm未満の波長において少なくとも約5nmの幅の波長域にわたって光を強く吸収する、請求項1に記載の組成物。   The composition of claim 1, wherein the absorbing compound strongly absorbs light over a wavelength range of at least about 5 nm in width at wavelengths less than 375 nm. 前記吸収性化合物が、375 nm未満の波長において少なくとも約10nmの幅の波長域にわたって光を強く吸収する、請求項1に記載の組成物。   The composition of claim 1, wherein the absorbing compound strongly absorbs light over a wavelength range of at least about 10 nm in width at wavelengths less than 375 nm. 前記波長域が約260 nm未満の波長である、請求項2に記載の組成物。   The composition of claim 2, wherein the wavelength range is less than about 260 nm. 前記吸収性化合物が、少なくとも1つのベンゼン環と、水酸基、アミン基、カルボン酸基、および置換シリル基を含んでなる群より選択される反応性基とを含んでなる、請求項1に記載の組成物。   The absorptive compound comprises at least one benzene ring and a reactive group selected from the group comprising a hydroxyl group, an amine group, a carboxylic acid group, and a substituted silyl group. Composition. 前記吸収性化合物が2以上のベンゼン環を含んでなる、請求項5に記載の組成物。   The composition according to claim 5, wherein the absorbent compound comprises two or more benzene rings. 前記2以上のベンゼン環が縮合している、請求項6に記載の組成物。   The composition according to claim 6, wherein the two or more benzene rings are condensed. 前記吸収性有機化合物が、アントラフラビン酸、9-アントラセンカルボン酸、9-アントラセンメタノール、アリザリン、キニザリン、プリムリン(primuline)、2−ヒドロキシ-4-(3-トリエトキシシリルプロポキシ)-ジフェニルケトン、ロゾール酸、トリエトキシシリルプロピル−1,8−ナフタリイミド、9−アントラセンカルボキシ-アルキルトリエトキシシラン、フェニルトリエトキシシラン、10−フェナントレンカルボキシ−メチルトリエトキシシラン、4−フェニルアゾフェノール、4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン、4−メトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン、およびこれらの混合物を含む吸収性化合物を含んでなる、請求項5に記載の組成物。   The absorptive organic compound is anthraflavic acid, 9-anthracenecarboxylic acid, 9-anthracenemethanol, alizarin, quinizarin, primuline, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosole Acid, triethoxysilylpropyl-1,8-naphthalimide, 9-anthracenecarboxy-alkyltriethoxysilane, phenyltriethoxysilane, 10-phenanthrenecarboxy-methyltriethoxysilane, 4-phenylazophenol, 4-ethoxyphenylazobenzene- 6. The composition of claim 5, comprising an absorbent compound comprising 4-carboxy-methyltriethoxysilane, 4-methoxyphenylazobenzene-4-carboxy-methyltriethoxysilane, and mixtures thereof. 前記無機ベースの化合物がシリコンベースの化合物を含んでなる、請求項1に記載の組成物。   The composition of claim 1, wherein the inorganic-based compound comprises a silicon-based compound. 前記シリコンベースの化合物がポリマーを含んでなる、請求項9に記載の組成物。   The composition of claim 9, wherein the silicon-based compound comprises a polymer. 前記ポリマーが、メチルシロキサン、メチルシルセスキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサン、シリケートポリマー、シラザンポリマー、およびこれらの混合物を含んでなる、請求項10に記載の組成物。   The said polymer comprises methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silicate polymer, silazane polymer, and mixtures thereof. 11. The composition according to 10. 前記ポリマーが、ハイドロジェンシロキサン、ハイドロジェンシルセスキオキサン、有機ヒドリドシロキサン、および有機ヒドリドシルセスキオキサンポリマー、ハイドロジェンシルセスキオキサンとアルコキシヒドリドシロキサンあるいはヒドロキシヒドリドシロキサンとのコポリマー、およびこれらの混合物を含んでなる、請求項10に記載の組成物。   The polymer is hydrogen siloxane, hydrogen silsesquioxane, organic hydrido siloxane, and organic hydrido silsesquioxane polymer, copolymer of hydrogen silsesquioxane and alkoxy hydrido siloxane or hydroxy hydrido siloxane, and mixtures thereof The composition of claim 10 comprising: 前記ポリマーが、(H0〜1.0SiO1.5〜2.0(式中、xは約4より大きい)および(H0〜1.0SiO1.5〜2.0(R0〜1.0SiO1.5〜2.0(式中、mは0より大きく、nとmの合計が約4〜約5000であり、RがC〜C20のアルキル基またはC〜C12のアリール基である)を含んでなる一般式である、請求項10に記載の組成物。 The polymer is (H 0-1.0 SiO 1.5-2.0 ) x (where x is greater than about 4) and (H 0-1.0 SiO 1.5-2.0 ) n (R 0-1.0 SiO 1.5-2.0 ) m wherein m is greater than 0, the sum of n and m is from about 4 to about 5000, and R is a C 1 -C 20 alkyl. is a formula comprising a a) aryl groups or C 6 -C 12, the composition of claim 10. 前記pH調整剤が水を含んでなる、請求項1に記載の組成物。   The composition of claim 1, wherein the pH adjuster comprises water. 前記pH調整剤が塩基を含んでなる、請求項1に記載の組成物。   The composition of claim 1, wherein the pH adjuster comprises a base. 前記塩基がアミンを含んでなる、請求項15に記載の組成物。   The composition of claim 15, wherein the base comprises an amine. 前記アミンがアミンベースのオリゴマーを含んでなる、請求項16に記載の組成物。   The composition of claim 16, wherein the amine comprises an amine-based oligomer. 前記pH調整剤が酸を含んでなる、請求項1に記載の組成物。   The composition of claim 1, wherein the pH adjuster comprises an acid. 請求項1に記載のスピンオン組成物と、溶媒あるいは溶媒混合物とを含んでなる、コーティング用溶液。   A coating solution comprising the spin-on composition according to claim 1 and a solvent or a solvent mixture. 前記溶液が、約0.5重量%〜約20重量%のスピンオン組成物である、請求項19に記載のコーティング用溶液。   20. The coating solution of claim 19, wherein the solution is about 0.5 wt% to about 20 wt% spin-on composition. 少なくとも1つのシラン反応物、少なくとも1つの導入することが可能な吸収性有機化合物、少なくとも1つのpH調整剤、酸/水混合物、および1以上の溶媒を混合して反応混合物を形成させ;さらに、
この反応混合物を加熱してスピンオン組成物を生成させること;
を含む、スピンオン組成物の製造方法。
Mixing at least one silane reactant, at least one absorbable organic compound that can be introduced, at least one pH adjusting agent, an acid / water mixture, and one or more solvents to form a reaction mixture;
Heating the reaction mixture to produce a spin-on composition;
A process for producing a spin-on composition.
少なくとも1つのシラン反応物、少なくとも1つの導入することが可能な吸収性有機化合物、少なくとも1つのpH調整剤、および1以上の溶媒を混合して反応混合物を形成させ、ここで、該pH調整剤は少なくとも1つの酸と水とを含んでなり;さらに、
この反応混合物を加熱してスピンオン組成物を生成させること;
を含む、スピンオン組成物の製造方法。
Mixing at least one silane reactant, at least one absorbable organic compound that can be introduced, at least one pH adjuster, and one or more solvents to form a reaction mixture, wherein the pH adjuster Comprises at least one acid and water;
Heating the reaction mixture to produce a spin-on composition;
A process for producing a spin-on composition.
前記少なくとも1つの吸収性有機化合物が、少なくとも1つのベンゼン環と、水酸基、アミン基、カルボン酸基、および、アルコキシ基およびハロゲン原子を含んでなる少なくとも1つの置換基とケイ素で結合されている置換シリル基を含んでなる反応性基とを含んでなる、請求項21または22に記載の方法。   A substitution wherein the at least one absorptive organic compound is bonded with silicon to at least one benzene ring, at least one substituent comprising a hydroxyl group, an amine group, a carboxylic acid group, and an alkoxy group and a halogen atom. The method according to claim 21 or 22, comprising a reactive group comprising a silyl group. 前記少なくとも1つの吸収性有機化合物が、アントラフラビン酸、9-アントラセンカルボン酸、9-アントラセンメタノール、アリザリン、キニザリン、プリムリン、2−ヒドロキシ-4-(3-トリエトキシシリルプロポキシ)-ジフェニルケトン、ロゾール酸、トリエトキシシリルプロピル−1,8−ナフタリイミド、9−アントラセンカルボキシ-アルキルトリエトキシシラン、フェニルトリエトキシシラン、10−フェナントレンカルボキシ−メチルトリエトキシシラン、4−フェニルアゾフェノール、4−エトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン、4−メトキシフェニルアゾベンゼン−4−カルボキシ−メチルトリエトキシシラン、およびこれらの混合物からなる群より選択される吸収性化合物を含んでなる、請求項21または22に記載の方法。   The at least one absorbing organic compound is anthraflavic acid, 9-anthracenecarboxylic acid, 9-anthracenemethanol, alizarin, quinizarin, primulin, 2-hydroxy-4- (3-triethoxysilylpropoxy) -diphenylketone, rosole Acid, triethoxysilylpropyl-1,8-naphthalimide, 9-anthracenecarboxy-alkyltriethoxysilane, phenyltriethoxysilane, 10-phenanthrenecarboxy-methyltriethoxysilane, 4-phenylazophenol, 4-ethoxyphenylazobenzene- An absorptive compound selected from the group consisting of 4-carboxy-methyltriethoxysilane, 4-methoxyphenylazobenzene-4-carboxy-methyltriethoxysilane, and mixtures thereof. The method according to claim 21 or 22. 前記少なくとも1つのシラン反応物が、トリエトキシシラン、テトラエトキシシラン、メチルトリエトキシシラン、ジメチルジエトキシシラン、テトラメトキシシラン、メチルトリメトキシシラン、トリメトキシシラン、ジメチルジメトキシシラン、フェニルトリエトキシシラン、フェニルトリメトキシシラン、ジフェニルジエトキシシラン、およびジフェニルジメトキシシラン、トリクロロシラン、メチルトリクロロシラン、エチルトリクロロシラン、フェニルトリクロロシラン、テトラクロロシラン、クロロトリエトキシシラン、クロロトリメトキシシラン、クロロメチルトリエトキシシラン、クロロエチルトリエトキシシラン、クロロフェニルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロエチルトリメトキシシラン、およびクロロフェニルトリメトキシシランを含んでなる、請求項21または22に記載の方法。   The at least one silane reactant is triethoxysilane, tetraethoxysilane, methyltriethoxysilane, dimethyldiethoxysilane, tetramethoxysilane, methyltrimethoxysilane, trimethoxysilane, dimethyldimethoxysilane, phenyltriethoxysilane, phenyl Trimethoxysilane, diphenyldiethoxysilane, and diphenyldimethoxysilane, trichlorosilane, methyltrichlorosilane, ethyltrichlorosilane, phenyltrichlorosilane, tetrachlorosilane, chlorotriethoxysilane, chlorotrimethoxysilane, chloromethyltriethoxysilane, chloroethyl Triethoxysilane, chlorophenyltriethoxysilane, chloromethyltrimethoxysilane, chloroethyltrimethoxysilane And comprising a chlorophenyl trimethoxysilane method according to claim 21 or 22. 前記pH調整剤が水を含んでなる、請求項21に記載の方法。   The method of claim 21, wherein the pH adjuster comprises water. 前記pH調整剤が塩基を含んでなる、請求項21に記載の方法。   The method of claim 21, wherein the pH adjuster comprises a base. 前記pH調整剤がアミンを含んでなる、請求項27に記載の方法。   28. The method of claim 27, wherein the pH adjuster comprises an amine. 前記pH調整剤が酸を含んでなる、請求項21に記載の方法。   The method of claim 21, wherein the pH adjusting agent comprises an acid. 前記酸/水混合物が、硝酸、乳酸、または酢酸を含んでなる、請求項21に記載の方法。   The method of claim 21, wherein the acid / water mixture comprises nitric acid, lactic acid, or acetic acid. 少なくとも1つのアルコキシシランあるいはハロシラン;少なくとも1つの導入することが可能な吸収性有機化合物;少なくとも1つのpH調整剤;酸/水混合物;および1以上の溶媒を混合して、反応混合物を形成させ;さらに、
この反応混合物を加熱してスピンオンポリマーを生成させること;
を含む、スピンオン材料を含むコーティング用溶液の製造方法。
At least one alkoxysilane or halosilane; at least one absorbable organic compound; at least one pH adjuster; an acid / water mixture; and one or more solvents are mixed to form a reaction mixture; further,
Heating the reaction mixture to form a spin-on polymer;
A method for producing a coating solution containing a spin-on material.
少なくとも1つのアルコキシシランあるいはハロシラン;少なくとも1つの導入することが可能な吸収性有機化合物;少なくとも1つのpH調整剤;および1以上の溶媒を混合して反応混合物を形成させ、ここで、該pH調整剤は少なくとも1つの酸および水を含んでなり;さらに、
この反応混合物を加熱してスピンオンポリマーを生成させること;
を含む、スピンオン材料を含むコーティング用溶液の製造方法。
At least one alkoxysilane or halosilane; at least one absorbable organic compound; at least one pH adjuster; and one or more solvents are mixed to form a reaction mixture, wherein the pH adjustment The agent comprises at least one acid and water;
Heating the reaction mixture to form a spin-on polymer;
A method for producing a coating solution containing a spin-on material.
1以上の希釈溶媒を前記スピンオン組成物に加えてコーティング用溶液を調製すること、
をさらに含む請求項31または32に記載の方法。
Adding one or more diluent solvents to the spin-on composition to prepare a coating solution;
The method according to claim 31 or 32, further comprising:
レジスト材料と組み合わされた請求項1のスピンオン組成物を含んでなる、層状材料。   A layered material comprising the spin-on composition of claim 1 in combination with a resist material. レジスト材料と組み合わされた請求項19のコーティング用溶液を含んでなる、層状材料。   A layered material comprising the coating solution of claim 19 in combination with a resist material. 前記レジスト材料が、スピンオン組成物について少なくとも85°の角度にある現像ラインを含んでなる、請求項34に記載の層状材料。   35. The layered material of claim 34, wherein the resist material comprises a development line at an angle of at least 85 [deg.] With respect to the spin-on composition. 前記レジスト材料が、コーティング用溶液について少なくとも85°の角度にある現像ラインを含んでなる、請求項35に記載の層状材料。   36. The layered material of claim 35, wherein the resist material comprises a development line at an angle of at least 85 [deg.] With respect to the coating solution. 請求項1のスピンオン組成物を含んでなる半導体。   A semiconductor comprising the spin-on composition of claim 1. 請求項19のコーティング用溶液を含んでなる半導体。   20. A semiconductor comprising the coating solution of claim 19. 前記スピンオン組成物が少なくとも部分的に除去されるように設計されている、請求項1に記載の組成物。   The composition of claim 1, wherein the composition is designed to be at least partially removed. 前記pH調整剤が、レジスト材料とスピンオン組成物との適合性を改善するために選択された化合物を含んでなる、請求項1に記載の組成物。   The composition of claim 1, wherein the pH adjusting agent comprises a compound selected to improve the compatibility of the resist material with the spin-on composition. 前記レジスト材料が、157 nm、193nm、248 nm、および365nmを含む波長域にわたって光を吸収する、請求項41に記載の組成物。

42. The composition of claim 41, wherein the resist material absorbs light over a wavelength range comprising 157 nm, 193 nm, 248 nm, and 365 nm.

JP2003546172A 2001-11-15 2001-11-15 Spin-on antireflection coating for photolithography Expired - Lifetime JP4381143B2 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2001/045306 WO2003044600A1 (en) 2001-11-15 2001-11-15 Spin-on anti-reflective coatings for photolithography

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009072159A Division JP2009175747A (en) 2009-03-24 2009-03-24 Spin-on antireflective coating for photo-lithography

Publications (3)

Publication Number Publication Date
JP2005512309A JP2005512309A (en) 2005-04-28
JP2005512309A6 true JP2005512309A6 (en) 2005-08-04
JP4381143B2 JP4381143B2 (en) 2009-12-09

Family

ID=21743044

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003546172A Expired - Lifetime JP4381143B2 (en) 2001-11-15 2001-11-15 Spin-on antireflection coating for photolithography

Country Status (7)

Country Link
US (2) US8344088B2 (en)
EP (1) EP1472574A4 (en)
JP (1) JP4381143B2 (en)
KR (2) KR20040066124A (en)
CN (1) CN1606713B (en)
AU (1) AU2002227106A1 (en)
WO (1) WO2003044600A1 (en)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
EP1190277B1 (en) 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
JP4381143B2 (en) 2001-11-15 2009-12-09 ハネウェル・インターナショナル・インコーポレーテッド Spin-on antireflection coating for photolithography
NL1020396C2 (en) * 2002-04-16 2003-10-17 Amc Amsterdam Manipulator for an instrument for minimally invasive surgery, as well as such an instrument.
DE10227807A1 (en) * 2002-06-21 2004-01-22 Honeywell Specialty Chemicals Seelze Gmbh Silyl alkyl esters of anthracene and phenanthrene carboxylic acids
JP4796498B2 (en) * 2003-05-23 2011-10-19 ダウ コーニング コーポレーション Siloxane resin anti-reflective coating composition with high wet etch rate
US8053159B2 (en) * 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
ATE400672T1 (en) 2004-12-17 2008-07-15 Dow Corning METHOD FOR FORMING AN ANTIREFLECTION COATING
ATE486098T1 (en) 2004-12-17 2010-11-15 Dow Corning SILOXANE RESIN COATING
KR100618907B1 (en) * 2005-07-30 2006-09-01 삼성전자주식회사 Semiconductor structure comprising multiple barc and method of shaping pr pattern and method of shaping pattern of semiconductor device using the same structure
JP5167609B2 (en) * 2005-08-24 2013-03-21 東レ・ファインケミカル株式会社 Silicone copolymer having oxetanyl group
US9482786B2 (en) 2006-02-03 2016-11-01 Gelest Technologies, Inc. Bird deterrent glass coatings
US20070190343A1 (en) * 2006-02-03 2007-08-16 Gelest Technologies Inc. Bird-deterrent glass coatings
KR101324052B1 (en) 2006-02-13 2013-11-01 다우 코닝 코포레이션 Antireflective coating material
JP2007272168A (en) * 2006-03-10 2007-10-18 Tokyo Ohka Kogyo Co Ltd Composition for resist underlayer film and resist underlayer film using the same
US20070298349A1 (en) * 2006-06-22 2007-12-27 Ruzhi Zhang Antireflective Coating Compositions Comprising Siloxane Polymer
US7704670B2 (en) * 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US7416834B2 (en) * 2006-09-27 2008-08-26 Az Electronic Materials Usa Corp. Antireflective coating compositions
WO2008099904A1 (en) 2007-02-09 2008-08-21 Nippon Shokubai Co., Ltd. Silane compound, production method thereof, and resin composition containing silane compound
US8026040B2 (en) * 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
EP2132253A1 (en) * 2007-02-26 2009-12-16 AZ Electronic Materials USA Corp. Process for making siloxane polymers
US8642246B2 (en) * 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
JP2010519398A (en) 2007-02-27 2010-06-03 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション Composition for anti-reflective coating based on silicon
NL2000607C2 (en) * 2007-04-24 2008-10-28 Academisch Medisch Ct Van De U Manipulator for an instrument for minimally invasive surgery, as well as a positioning aid for placing such an instrument.
US8017296B2 (en) * 2007-05-22 2011-09-13 Az Electronic Materials Usa Corp. Antireflective coating composition comprising fused aromatic rings
KR20100126295A (en) 2008-01-08 2010-12-01 다우 코닝 도레이 캄파니 리미티드 Silsesquioxane resins
CN101910253B (en) 2008-01-15 2013-04-10 陶氏康宁公司 Silsesquioxane resins
WO2009111122A2 (en) 2008-03-04 2009-09-11 Dow Corning Corporation Silsesquioxane resins
US8241707B2 (en) 2008-03-05 2012-08-14 Dow Corning Corporation Silsesquioxane resins
US7989144B2 (en) * 2008-04-01 2011-08-02 Az Electronic Materials Usa Corp Antireflective coating composition
KR100894218B1 (en) * 2008-04-11 2009-04-22 금호석유화학 주식회사 Absorber and organic antireflective protecting composition layer containing thereof
JP5359014B2 (en) * 2008-04-28 2013-12-04 三菱瓦斯化学株式会社 Polycarbonate resin with ultraviolet absorbing ability
US7932018B2 (en) * 2008-05-06 2011-04-26 Az Electronic Materials Usa Corp. Antireflective coating composition
US20110117746A1 (en) * 2008-07-24 2011-05-19 Nissan Chemical Industries, Ltd. Coating composition and pattern forming method
JP5360062B2 (en) * 2008-08-20 2013-12-04 富士通株式会社 Electron beam resist sensitized film forming material and method for manufacturing semiconductor device
WO2010021030A1 (en) * 2008-08-20 2010-02-25 富士通株式会社 Material for resist sensitization film formation, process for producing semiconductor device, semiconductor device, and magnetic head
US8153523B2 (en) * 2008-09-12 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of etching a layer of a semiconductor device using an etchant layer
US7955782B2 (en) 2008-09-22 2011-06-07 Honeywell International Inc. Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
US20100119979A1 (en) * 2008-11-13 2010-05-13 Rahman M Dalil Antireflective Coating Composition Comprising Fused Aromatic Rings
US20100151392A1 (en) * 2008-12-11 2010-06-17 Rahman M Dalil Antireflective coating compositions
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US20100316949A1 (en) * 2009-06-10 2010-12-16 Rahman M Dalil Spin On Organic Antireflective Coating Composition Comprising Polymer with Fused Aromatic Rings
US8486609B2 (en) * 2009-12-23 2013-07-16 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
US8906590B2 (en) * 2011-03-30 2014-12-09 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US9366964B2 (en) * 2011-09-21 2016-06-14 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US8906592B2 (en) 2012-08-01 2014-12-09 Az Electronic Materials (Luxembourg) S.A.R.L. Antireflective coating composition and process thereof
US9502231B2 (en) 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
US9256128B2 (en) * 2013-03-12 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US9543147B2 (en) 2013-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of manufacture
US9245751B2 (en) 2013-03-12 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective layer and method
US9152051B2 (en) 2013-06-13 2015-10-06 Az Electronics Materials (Luxembourg) S.A.R.L. Antireflective coating composition and process thereof
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
JP6449326B2 (en) * 2014-05-22 2019-01-09 エルジー・ケム・リミテッド Polarizing plate including polyethylene terephthalate protective film, method for producing the same, image display device including the same, and liquid crystal display device
JP6196194B2 (en) 2014-08-19 2017-09-13 信越化学工業株式会社 Ultraviolet absorber, resist underlayer film forming composition, and pattern forming method
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
KR101956830B1 (en) 2015-08-18 2019-03-12 주식회사 엘지화학 Low refractive layer and anti-reflective film comprising the same
CN105671950B (en) * 2016-02-03 2018-09-18 陕西省石油化工研究设计院 A kind of reactive anti-ultraviolet finishing agent used for textiles
JP7075209B2 (en) * 2016-12-28 2022-05-25 東京応化工業株式会社 Pattern forming method and manufacturing method of polysilane resin precursor
CN107474614B (en) * 2017-08-04 2020-09-18 来奇偏光科技(中国)股份有限公司 Primer formula of anti-reflection film and preparation method
CN108345176A (en) * 2018-03-02 2018-07-31 睿力集成电路有限公司 Photoresist coating process, wetting solvents screening technique and wetting solvents
CN108857600B (en) * 2018-07-25 2023-07-14 浙江工业大学 Cobalt-based alloy processing method and processing platform based on photocatalysis
KR20200114438A (en) 2019-03-28 2020-10-07 삼성전자주식회사 Polymer and composition for forming anti-reflective film, and method of manufacturing integrated circuit device using anti-reflective film
WO2021212058A1 (en) * 2020-04-17 2021-10-21 Vision Ease, Lp Easy to clean and anti-fog coating with anti-reflective properties

Family Cites Families (447)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US35239A (en) 1862-05-13 Improved mode of securing chimneys to lamps
US35368A (en) 1862-05-27 Improvement in shutter-fastenings
US35447A (en) 1862-06-03 Coal oil lamp chimney
US677386A (en) 1900-08-10 1901-07-02 Chief Husker And Shredder Company Corn husker and shredder.
CA586038A (en) 1956-03-26 1959-10-27 General Electric Company Organopolysiloxane resins
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3547766A (en) 1966-11-25 1970-12-15 Du Pont Laminated article
CA993709A (en) 1971-01-21 1976-07-27 Leo Roos Composite, mask-forming photohardenable elements
US3784378A (en) 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
US3884702A (en) 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3929489A (en) 1973-09-14 1975-12-30 Eastman Kodak Co Lithographic plates having radiation sensitive elements developable with aqueous alcohol
US4043812A (en) 1973-11-19 1977-08-23 Xerox Corporation Electrostatographic imaging member and process using anthracene functional polymers
US4107133A (en) 1974-01-14 1978-08-15 Dainippon Ink & Chemicals, Inc. Colored polyethylene molding compositions
US3925077A (en) 1974-03-01 1975-12-09 Horizons Inc Photoresist for holography and laser recording with bleachout dyes
US4191571A (en) 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4018607A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Crystalline organic pigment sensitizers for photoconductive layers
US4018606A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Organic azo pigment sensitizers for photoconductive layers
US4052367A (en) 1975-10-14 1977-10-04 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4048146A (en) 1975-10-14 1977-09-13 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US4369284A (en) 1977-03-17 1983-01-18 Applied Elastomerics, Incorporated Thermoplastic elastomer gelatinous compositions
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US4618213A (en) 1977-03-17 1986-10-21 Applied Elastomerics, Incorporated Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5633286B1 (en) 1977-03-17 2000-10-10 Applied Elastomerics Inc Gelatinous elastomer articles
US5475890A (en) 1977-03-17 1995-12-19 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
DE2720559A1 (en) 1977-05-07 1978-11-09 Basf Ag IMPROVED PHOTOPOLYMERIZABLE COMPOSITIONS FOR THE MANUFACTURE OF PRINT PLATES AND RELIEF SHAPES
GB1604414A (en) 1977-07-27 1981-12-09 Raychem Ltd Silicone resin
JPS6058467B2 (en) 1977-10-22 1985-12-20 株式会社リコー Electrophotographic photoreceptor
US4302503A (en) 1978-05-17 1981-11-24 Libbey-Owens-Ford Company Architectural spandrel
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4413052A (en) 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4348471A (en) 1981-06-15 1982-09-07 Polychrome Corporation Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff
US4783347A (en) 1981-12-01 1988-11-08 General Electric Company Method for primerless coating of plastics
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
US5670295A (en) 1982-07-30 1997-09-23 Namba; Kenryo Optical recording medium
DE3231147A1 (en) 1982-08-21 1984-02-23 Basf Ag, 6700 Ludwigshafen POSITIVELY WORKING METHOD FOR PRODUCING RELIEF IMAGES OR RESIST PATTERNS
US6194121B1 (en) 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
JPH0612452B2 (en) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド Method of manufacturing integrated circuit device
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
JPS59109565A (en) 1982-12-16 1984-06-25 Fujitsu Ltd Coating resin solution and production thereof
US4590117A (en) 1983-03-10 1986-05-20 Toray Industries, Inc. Transparent material having antireflective coating
JPS59226346A (en) 1983-06-07 1984-12-19 Fuotopori Ouka Kk Formation of photoresist
US4430153A (en) 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
DE3324795A1 (en) 1983-07-09 1985-01-17 Merck Patent Gmbh, 6100 Darmstadt NEGATIVE WORKING PHOTO RESIST COMPOSITIONS WITH RADIATION-ABSORBING ADDITIVES
GB8333901D0 (en) 1983-12-20 1984-02-01 Minnesota Mining & Mfg Radiationsensitive compositions
DE3565013D1 (en) 1984-02-10 1988-10-20 Ciba Geigy Ag Process for the preparation of a protection layer or a relief pattern
EP0152377B1 (en) 1984-02-10 1987-12-09 Ciba-Geigy Ag Curable compositions and their use
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
US4752649A (en) 1984-02-29 1988-06-21 Bowling Green State University Perester photoinitiators
US4767571A (en) 1984-06-27 1988-08-30 Fuji Photo Film Co., Ltd. Infrared absorbent
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US4705739A (en) 1984-07-16 1987-11-10 Minnesota Mining And Manufacturing Company Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
US4594309A (en) 1984-10-31 1986-06-10 Allied Corporation α,β Diketone containing polymers as positive photoresist compositions
US4587138A (en) 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
US4705729A (en) 1984-11-19 1987-11-10 Hewlett-Packard Company Method for photochemically enhancing resolution in photolithography processes
IT1177373B (en) 1984-12-06 1987-08-26 Bioresearch Spa SALTS OF 5'-METHYLLIUM-5'-DEOXYDENOSINE WITH LONG ALCHYLIC CHAIN SULPHONIC ACIDS
US4708925A (en) 1984-12-11 1987-11-24 Minnesota Mining And Manufacturing Company Photosolubilizable compositions containing novolac phenolic resin
JPS6289907A (en) 1985-06-19 1987-04-24 Sumitomo Bakelite Co Ltd Transparent conductive film united with polarizing film
US4814578A (en) 1985-06-24 1989-03-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4681795A (en) 1985-06-24 1987-07-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4609614A (en) 1985-06-24 1986-09-02 Rca Corporation Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate
US4674176A (en) 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
DE3684745D1 (en) 1985-08-29 1992-05-14 Du Pont PHOTOPOLYMERIZABLE COMPOSITION WITH DIZYCLOPENTYL ACRYLATE OR METHACRYLATE CONTAINING ACRYLCOPOLYMERS.
US4786569A (en) 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
EP0225676B1 (en) 1985-12-09 1994-07-06 Nippon Paint Co., Ltd. Photosensitive resin base printing material
US4678835A (en) 1986-01-30 1987-07-07 Ppg Industries, Inc. Coating composition containing an ungelled reaction product as a curative
US4693959A (en) 1986-03-07 1987-09-15 E.I. Du Pont De Nemours And Company Adhesion promotion in photoresist lamination and processing
JPS63139303A (en) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd Infrared rays absorptive composition
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4732858A (en) 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4731264A (en) 1986-10-03 1988-03-15 Ppg Industries, Inc. Sol-gel compositions containing silane and alumina
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
US4782009A (en) 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
US4855199A (en) 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
DE3719844A1 (en) 1987-06-13 1988-12-29 Basf Ag CROSSLINKABLE MIXTURE BY PHOTOPOLYMERSISATION
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
US5024923A (en) 1987-09-09 1991-06-18 Fuji Photo Film Co., Ltd. Infrared absorbent compositions
DE3735852A1 (en) 1987-10-23 1989-05-03 Hoechst Ag POSITIVELY WORKING LIGHT-SENSITIVE MIXTURE, CONTAINING A COLOR, AND POSITIVELY WORKING LIGHT-SENSITIVE RECORDING MATERIAL THEREOF
US5049414A (en) 1987-10-24 1991-09-17 Ito Optical Industrial Co., Ltd. Antireflection solution for optical parts and method for antireflection treatment using said solution
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
US5391463A (en) 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
JP2603291B2 (en) 1988-04-19 1997-04-23 東芝シリコーン株式会社 Fine powder of silicone resin containing quaternary ammonium group
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
DE58906867D1 (en) 1988-05-31 1994-03-17 Ciba Geigy Aqueous dispersion of 2- (2'-hydroxyphenyl) benzotriazoles.
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US6180317B1 (en) 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
DE68908872T2 (en) 1989-02-03 1994-02-10 Mitsubishi Metal Corp Method of pulling single crystals.
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
US5278010A (en) 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
DE69027799T2 (en) 1989-03-14 1997-01-23 Ibm Chemically amplified photoresist
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US5938499A (en) 1993-11-15 1999-08-17 Applied Elastomerics, Inc. Elastic gel toy
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US5868597A (en) 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
DE69101338T2 (en) 1990-05-25 1994-09-01 Matsushita Electric Ind Co Ltd Photosensitive materials containing organic photoconductive substances in a polymeric binder comprising aromatic rings linked to OH groups and bromine atoms.
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
JP2517785B2 (en) 1990-08-02 1996-07-24 信越化学工業株式会社 Impregnable waterproofing agent composition
US5082758A (en) 1990-08-31 1992-01-21 Xerox Corporation Toner and developer compositions with charge enhancing additives
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5472488A (en) 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5152834A (en) * 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
CA2053518A1 (en) 1990-10-16 1992-04-17 Tetsuya Miyazaki Highly light-transmitting dust protective film, process for preparation thereof and dust protective member
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
EP0490819B1 (en) 1990-12-13 1995-09-13 Ciba-Geigy Ag Aqueous dispersion of slightly water soluble U.V. absorbers
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
JPH05202483A (en) 1991-04-25 1993-08-10 Shipley Co Inc Method and composition for electroless metallization
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
JPH0597478A (en) 1991-10-04 1993-04-20 Nippon Sheet Glass Co Ltd Water repellent glass article and its production
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
US5518818A (en) 1992-02-05 1996-05-21 Toray Industries, Inc. Primer and multilayer coated article
JP2694097B2 (en) 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション Antireflection coating composition
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (en) 1992-06-04 1998-05-20 富士写真フイルム株式会社 Positive photoresist composition
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JPH0656560A (en) 1992-08-10 1994-03-01 Sony Corp Sog composition and production of semiconductor device by using this composition
US5385804A (en) 1992-08-20 1995-01-31 International Business Machines Corporation Silicon containing negative resist for DUV, I-line or E-beam lithography comprising an aromatic azide side group in the polysilsesquioxane polymer
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
GB2277095B (en) 1992-09-24 1997-04-16 Kansai Paint Co Ltd Topcoating composition and film-forming process by use of the same
CA2107715A1 (en) 1992-10-06 1994-04-07 Hiroyuki Naito Solvent-free organosiloxane composition and its use
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH06140396A (en) 1992-10-23 1994-05-20 Yamaha Corp Semiconductor device and manufacture thereof
US5384357A (en) 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
JP2704175B2 (en) 1992-11-25 1998-01-26 株式会社アメックス協販 Tile panel
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
US5719249A (en) 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
DE4241727A1 (en) 1992-12-10 1994-06-16 Wacker Chemie Gmbh Self-dispersing organo-polysiloxane compsns., esp. for wood treatment - contain salt of an organo-polysiloxane contg. basic nitrogen, water-insoluble filler, etc., and opt. a basic nitrogen-contg. organo-silicon cpd.
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
US5498748A (en) 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
DE4331162A1 (en) 1993-09-14 1995-03-16 Bayer Ag Process for the preparation of cyanine dyes
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5460911A (en) 1994-03-14 1995-10-24 Xerox Corporation Electrophotographic imaging member free of reflection interference
EP0675410B1 (en) 1994-03-28 1999-08-04 Wako Pure Chemical Industries Ltd Resist composition for deep ultraviolet light
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
FR2721720B1 (en) 1994-06-27 1996-09-06 Essilor Int Ophthalmic lens made of organic glass with shock-absorbing interlayer and its manufacturing process.
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
US5910021A (en) 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (en) 1994-11-30 1998-04-03 김광호 Anit-reflective coating composition
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
JP3436435B2 (en) * 1995-02-22 2003-08-11 東レ・ダウコーニング・シリコーン株式会社 Method of curing ultraviolet-curable silicone composition
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (en) 1995-07-11 2004-03-31 富士写真フイルム株式会社 Ultraviolet absorber precursor compound, photosensitive resin composition containing the same and image forming method
US5583195A (en) 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
JPH09120157A (en) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd Damping waterless photosensitive planographic printing plate
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
US5837568A (en) 1995-12-12 1998-11-17 Sanyo Electric Co., Ltd. Manufacturing method of semiconductor devices
JP3930591B2 (en) 1995-12-22 2007-06-13 東陶機器株式会社 Photocatalytic hydrophilic coating composition, method for forming hydrophilic film and coated article
WO1997030362A1 (en) 1996-02-13 1997-08-21 Sola International, Inc. Color-neutral uv blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3436843B2 (en) 1996-04-25 2003-08-18 東京応化工業株式会社 Base material for lithography and resist material for lithography using the same
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (en) 1996-07-12 2001-10-29 信越化学工業株式会社 Coating composition and article treated with the coating composition
US6040053A (en) 1996-07-19 2000-03-21 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
AU4991097A (en) 1996-10-25 1998-05-22 Blue River International, L.L.C. Silicon coating compositions and uses thereof
JPH10161315A (en) 1996-12-05 1998-06-19 Nippon Steel Chem Co Ltd Alkali-soluble photosensitive resin composition
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
WO1998026019A1 (en) 1996-12-13 1998-06-18 Matsushita Electric Works, Ltd. Silicone emulsion coating composition and processes for the preparation thereof
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
DE19710461A1 (en) 1997-03-13 1998-09-17 Wacker Chemie Gmbh Organopolysiloxanes containing dye residues
DE69808462T2 (en) 1997-05-13 2003-06-26 Kirin Brewery COATING COMPOSITION FOR A GLASS-LIKE COATING, METHOD FOR PRODUCING A COATING AND DEVICE FOR APPLYING A COATING
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JP4012600B2 (en) 1997-06-23 2007-11-21 富士通株式会社 Acid-sensitive polymer, resist composition, resist pattern forming method, and semiconductor device manufacturing method
JP4053631B2 (en) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 Composition for antireflection film or light absorption film and polymer used therefor
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
ES2170493T5 (en) 1998-01-22 2007-11-01 KETTENBACH GMBH &amp; CO. KG FILLING FOR PROTESIS AND PROCEDURE FOR YOUR PRODUCTION.
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
CA2318881A1 (en) 1998-02-04 1999-08-12 Tina Garyantes Virtual wells for use in high throughput screening assays
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US6503586B1 (en) 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (en) 1998-04-17 1999-10-21 Clariant Gmbh Colorants reflecting infrared radiation
JP4285906B2 (en) 1998-04-29 2009-06-24 ブルーワー サイエンス アイ エヌ シー. Fast etching and thermosetting non-reflective coating derived from cellulose binder
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
EP1046958B1 (en) 1998-07-10 2006-04-12 AZ Electronic Materials USA Corp. Use of a composition for bottom reflection preventive film
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (en) 1998-08-01 2000-02-03 Agfa Gevaert Ag Radiation-sensitive mixture with IR-absorbing, anionic cyanine dyes and recording material produced therewith
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6410209B1 (en) 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
JP3852889B2 (en) 1998-09-24 2006-12-06 富士写真フイルム株式会社 Anti-reflective coating material composition for photoresist
US6190830B1 (en) 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
US6673982B1 (en) 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6503233B1 (en) 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
JP3702108B2 (en) 1998-10-07 2005-10-05 株式会社東芝 Resist pattern forming method
JP2000129073A (en) 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd Ordinary-temperature-curable resin composition and substrate coated therewith
DE19852852A1 (en) 1998-11-11 2000-05-18 Inst Halbleiterphysik Gmbh Lithographic process used in emitter structuring of bipolar transistors comprises forming photo-lacquer layer on antireflection layer on substrate and etching
US6251973B1 (en) 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
KR100363695B1 (en) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 Organic diffuse reflection prevention polymer and its manufacturing method
US6210856B1 (en) * 1999-01-27 2001-04-03 International Business Machines Corporation Resist composition and process of forming a patterned resist layer on a substrate
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
US6137634A (en) 1999-02-01 2000-10-24 Intel Corporation Microlens array
US6187505B1 (en) 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
KR100644847B1 (en) 1999-02-26 2006-11-13 쇼와 덴코 가부시키가이샤 photopolymerization initiator for color filter, photosensitive coloring composition and color filter
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
JP4270632B2 (en) 1999-03-12 2009-06-03 株式会社東芝 Manufacturing method of semiconductor device using dry etching
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
EP1190277B1 (en) * 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6268457B1 (en) * 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
US6387519B1 (en) 1999-07-30 2002-05-14 Ppg Industries Ohio, Inc. Cured coatings having improved scratch resistance, coated substrates and methods thereto
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6335235B1 (en) 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
AR027842A1 (en) 1999-08-23 2003-04-16 Kimberly Clark Co AN ABSORBENT ARTICLE WHICH MAINTAINS OR IMPROVES SKIN HEALTH
AR025300A1 (en) 1999-08-23 2002-11-20 Kimberly Clark Co A DISPOSABLE ABSORBENT ARTICLE WITH CAPACITY TO BREATHE IN INCREASED MOISTURE.
JP2001079491A (en) 1999-09-10 2001-03-27 Koito Mfg Co Ltd Method for forming coating film and lamp for vehicle formed by the method
WO2001020641A1 (en) 1999-09-13 2001-03-22 Koninklijke Philips Electronics N.V. Electric lamp
JP4248098B2 (en) * 1999-09-20 2009-04-02 東京応化工業株式会社 Antireflection film forming composition and resist pattern forming method
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
US6306736B1 (en) 2000-02-04 2001-10-23 The Regents Of The University Of California Process for forming shaped group III-V semiconductor nanocrystals, and product formed using process
MXPA01011348A (en) 2000-02-08 2003-07-14 Adsil Lc Improving heat efficiency using silane coatings.
EP1255806B1 (en) 2000-02-14 2006-09-27 The Procter & Gamble Company Stable, aqueous compositions for treating surfaces, especially fabrics
WO2001063358A1 (en) 2000-02-22 2001-08-30 Brewer Science, Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
EP1268696A4 (en) 2000-02-28 2005-01-26 Adsil Lc Silane-based, coating compositions, coated articles obtained therefrom and methods of using same
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
EP1278799A1 (en) 2000-03-30 2003-01-29 General Electric Company Transparent, flame retardant poly(arylene ether) blends
JP3795333B2 (en) * 2000-03-30 2006-07-12 東京応化工業株式会社 Anti-reflection film forming composition
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
ATE321105T1 (en) 2000-04-13 2006-04-15 Jsr Corp COATING AGENT, METHOD OF PRODUCTION, HARDENED PRODUCT AND COATING FILM
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
JP3846545B2 (en) 2000-06-08 2006-11-15 信越化学工業株式会社 Coating agent composition, coating method and coated article
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
US6852766B1 (en) 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
US6891237B1 (en) 2000-06-27 2005-05-10 Lucent Technologies Inc. Organic semiconductor device having an active dielectric layer comprising silsesquioxanes
JP2002023350A (en) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd Negative type original plate of planographic printing plate
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) * 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
ATE327997T1 (en) * 2000-08-03 2006-06-15 Ciba Sc Holding Ag PHOTOSTABLE, SILYLATED BENZOTRIAZOLE UV ABSORBERS AND COMPOSITIONS STABILIZED WITH THESE
WO2002016535A2 (en) 2000-08-18 2002-02-28 The Procter & Gamble Company Compositions and methods for odor and fungal control of protective garments
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
JP3993373B2 (en) 2000-09-14 2007-10-17 信越化学工業株式会社 Polyorganosiloxane compound and coating composition containing the same
JP3772077B2 (en) * 2000-09-27 2006-05-10 株式会社東芝 Pattern formation method
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
US6503526B1 (en) 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US20020128615A1 (en) 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
NZ526871A (en) 2001-01-25 2006-01-27 Bristol Myers Squibb Co Pharmaceutical dosage forms of epothilones for oral administration
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US6676398B2 (en) 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US6840752B2 (en) 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US7052262B2 (en) 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US7139636B2 (en) 2001-02-20 2006-11-21 Q2100, Inc. System for preparing eyeglass lenses with bar code reader
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US20030198578A1 (en) 2002-04-18 2003-10-23 Dielectric Systems, Inc. Multi-stage-heating thermal reactor for transport polymerization
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6846614B2 (en) 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
JP4146105B2 (en) 2001-05-30 2008-09-03 富士フイルム株式会社 Ultraviolet absorber and method for producing the same, composition containing ultraviolet absorber, and image forming method
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
US6756103B2 (en) 2001-06-05 2004-06-29 Flexplay Technologies, Inc. Limited play optical devices with interstitial reactive layer and methods of making same
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP2003005049A (en) 2001-06-21 2003-01-08 Minolta Co Ltd Objective lens for optical pickup
JP4181312B2 (en) 2001-06-25 2008-11-12 富士フイルム株式会社 Negative image recording material
JP2003025510A (en) 2001-07-16 2003-01-29 Shin Etsu Chem Co Ltd Multilayered laminate having reflection preventing properties and scratch resistance
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
KR100436220B1 (en) 2001-08-30 2004-06-12 주식회사 네패스 Organic polymers for bottom antireflective coating, processes for preparing the same, and compositions containing the same
US6514677B1 (en) 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
DE10151264A1 (en) 2001-10-17 2003-04-30 Degussa Aminoalkylalkoxysiloxane-containing mixtures, their preparation and their use
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
JP4381636B2 (en) 2001-11-05 2009-12-09 新日鐵化学株式会社 Silicone resin composition and silicone resin molded article
JP4381143B2 (en) 2001-11-15 2009-12-09 ハネウェル・インターナショナル・インコーポレーテッド Spin-on antireflection coating for photolithography
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
TW200401944A (en) 2002-02-01 2004-02-01 Seiko Epson Corp Circuit substrate, electro-optical device and electronic appliances
MXPA04007398A (en) 2002-02-05 2005-06-20 Gencell Corp Silane coated metallic fuel cell components and methods of manufacture.
DE10213294B4 (en) 2002-03-25 2015-05-13 Osram Gmbh Use of a UV-resistant polymer in optoelectronics and outdoor applications, UV-resistant polymer and optical component
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
CN1248556C (en) 2002-08-05 2006-03-29 佳能株式会社 Underlying pattern forming material for electrode and wiring material absorption and application thereof
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
JP4032234B2 (en) * 2002-09-30 2008-01-16 信越化学工業株式会社 Silicon-containing polymerizable compound, method for producing the same, polymer compound, resist material, and pattern forming method
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
JP2004177952A (en) 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc Multilayer photoresist system
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
KR100857967B1 (en) 2003-06-03 2008-09-10 신에쓰 가가꾸 고교 가부시끼가이샤 Antireflective Film Material, and Antireflective Film and Pattern Formation Method Using the Same
KR100882409B1 (en) 2003-06-03 2009-02-05 신에쓰 가가꾸 고교 가부시끼가이샤 Antireflective Silicone Resin, Antireflective Film Material, and Antireflective Film and Pattern Formation Method Using the Same
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
JP2005049542A (en) 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd Picture forming method and developer
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
TWI367686B (en) 2004-04-07 2012-07-01 Semiconductor Energy Lab Light emitting device, electronic device, and television device
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data

Similar Documents

Publication Publication Date Title
JP4381143B2 (en) Spin-on antireflection coating for photolithography
JP2005512309A6 (en) Spin-on antireflection coating for photolithography
JP4703745B2 (en) Spin-on-glass antireflection coating for photolithography
KR100804873B1 (en) Spin-on-glass anti-reflective coatings for photolithography
KR100705849B1 (en) Spin-on-glass anti-reflective coatings for photolithography
KR100818678B1 (en) Spin-On Glass Anti-Reflective Coatings For Photolithography
JP2011221549A (en) Spin-on anti-reflection film for photo-lithography
KR100917241B1 (en) Spin-on anti-reflective coatings for photolithography
JP2009175747A (en) Spin-on antireflective coating for photo-lithography
JP2009280822A (en) Spin-on glass antireflective coating for photolithography
TW200401794A (en) Spin-on-glass anti-reflective coatings for photolithography