JP2005258657A - Clock layout system and method - Google Patents

Clock layout system and method Download PDF

Info

Publication number
JP2005258657A
JP2005258657A JP2004067376A JP2004067376A JP2005258657A JP 2005258657 A JP2005258657 A JP 2005258657A JP 2004067376 A JP2004067376 A JP 2004067376A JP 2004067376 A JP2004067376 A JP 2004067376A JP 2005258657 A JP2005258657 A JP 2005258657A
Authority
JP
Japan
Prior art keywords
unit
cut line
clock
flip
line segment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004067376A
Other languages
Japanese (ja)
Inventor
Takashi Ishioka
尚 石岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2004067376A priority Critical patent/JP2005258657A/en
Publication of JP2005258657A publication Critical patent/JP2005258657A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a clock layout system and method capable of designing layout while evaluating the level of congestion due to buffer insertion, so that flip-flops are evenly placed. <P>SOLUTION: The clock layout system includes an F/F identifying part 12b that identifies flip-flops among cells placed in the design area of a logic circuit; a cut-line setting part 12c that divides the design area by means of a first segment; an F/F relocating part 12d that relocates the flip-flops so that the difference in number of flip flops between the divided areas is minimized; and a cell relocating part 12e that relocates the cells other than the flip-flops in the divided areas so that the number of wires crossing the first segment dividing the design area is minimized. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

本発明は、集積回路装置に係るセルを配置するクロックレイアウトシステム、及びクロックレイアウト方法に関する。   The present invention relates to a clock layout system and a clock layout method for arranging cells according to an integrated circuit device.

従来の回路設計では、先ず集積回路装置に係るセルを配置する配置処理が行われ、半導体基板上におけるセルの混雑度が平均化された回路が生成される必要がある。混雑度が平均化された回路を生成する方法として、ミニカット配置手法がある(例えば、特許文献1参照)。「ミニカット配置手法」とは、論理回路の設計領域を1本の線分(以下、「カットライン」という)により分割し、分割された2つの領域で「セル密度」が均等になり、且つカットラインと交差するネット数(以下、「カット数」という)が最小になるように、分割された領域にセルを再配置する手法をいう。そして、ミニカット配置処理により配置されたセルをクロック配線で配線するクロック設計を行う。クロック設計の際には、クロック配線に信号を増幅させるバッファが挿入される。尚、「セル密度」とは、設計領域に占めるセル面積の割合をいう。   In the conventional circuit design, first, a placement process for placing cells related to an integrated circuit device is performed, and a circuit in which the degree of congestion of cells on a semiconductor substrate is averaged needs to be generated. As a method for generating a circuit in which the degree of congestion is averaged, there is a mini-cut arrangement method (see, for example, Patent Document 1). “Mini cut placement method” means that the design area of a logic circuit is divided by one line segment (hereinafter referred to as “cut line”), and the “cell density” becomes equal in the two divided areas, and This is a technique of rearranging cells in divided areas so that the number of nets intersecting the cut line (hereinafter referred to as “the number of cuts”) is minimized. Then, a clock design is performed in which cells arranged by the mini-cut arrangement process are wired by clock wiring. When designing the clock, a buffer for amplifying the signal is inserted into the clock wiring. The “cell density” refers to the ratio of the cell area to the design area.

しかし、クロック設計によりバッファを挿入する際、既にセル配置処理によりセルの位置は確定しているため、セルが集中している場所においては、バッファを挿入することにより混雑度が増したり、バッファを挿入できなくなるという問題が生じる。また、ミニカット配置手法によりセルの混雑度が平均化された場合でも、クロック信号を駆動するフリップフロップ(F/F)の配置が偏っている場合もある。この場合、F/Fが集中している場所においては、バッファを挿入することにより混雑度が増したり、バッファを挿入できなくなるという問題が生じる。
特開2001−24153号公報
However, when inserting a buffer by clock design, the cell position has already been determined by the cell placement process, so in places where cells are concentrated, inserting the buffer increases the degree of congestion, The problem that it becomes impossible to insert occurs. Even when the cell congestion level is averaged by the mini-cut arrangement method, the arrangement of flip-flops (F / F) for driving the clock signal may be biased. In this case, in a place where the F / F is concentrated, there is a problem that the degree of congestion is increased by inserting a buffer or the buffer cannot be inserted.
JP 2001-24153 A

本発明に係るクロックレイアウトシステム及びクロックレイアウト方法は、クロック設計において、バッファの挿入も考慮した混雑度の緩和を図ることを目的とする。   An object of the clock layout system and the clock layout method according to the present invention is to reduce the degree of congestion in consideration of buffer insertion in clock design.

本発明の第1の特徴は、論理回路の設計領域に配置されたセルのうち、フリップフロップを判別するF/F判別部と、設計領域を第1線分により分割するカットライン設定部と、分割された領域にあるフリップフロップの数の差が最小になるように、フリップフロップを再配置するF/F再配置部と、設計領域を分割する第1線分と交差する配線の数が最小になるように、フリップフロップ以外のセルを分割された領域に再配置するセル再配置部とを備えるクロックレイアウトシステムであることを要旨とする。   The first feature of the present invention is that an F / F discriminating unit that discriminates a flip-flop among cells arranged in a design region of a logic circuit, a cut line setting unit that divides the design region by a first line segment, The F / F rearrangement section for rearranging the flip-flops and the number of wirings intersecting the first line segment for dividing the design area are minimized so that the difference in the number of flip-flops in the divided area is minimized. Thus, the gist of the present invention is to provide a clock layout system including a cell rearrangement unit that rearranges cells other than flip-flops in divided areas.

本発明の第2の特徴は、演算処理装置のF/F判別部が、論理回路の設計領域に配置されたセルのうち、フリップフロップを判別するステップと、演算処理装置のカットライン設定部が、設計領域を第1線分により分割するステップと、演算処理装置のF/F再配置部が、分割された領域にあるフリップフロップの数の差が最小になるように、フリップフロップを再配置するステップと、演算処理装置のセル再配置部が、設計領域を分割する第1線分と交差する配線の数が最小になるように、フリップフロップ以外のセルを分割された領域に再配置するステップとを備えるクロックレイアウト方法であることを要旨とする。   The second feature of the present invention is that the F / F discriminating unit of the arithmetic processing unit discriminates the flip-flop among the cells arranged in the design area of the logic circuit, and the cut line setting unit of the arithmetic processing unit includes The step of dividing the design area by the first line segment and the F / F rearrangement unit of the arithmetic processing unit rearrange the flip-flop so that the difference in the number of flip-flops in the divided area is minimized. And the cell rearrangement unit of the arithmetic processing unit rearranges cells other than the flip-flop in the divided area so that the number of wirings intersecting the first line segment dividing the design area is minimized. And a clock layout method comprising steps.

本発明に係るクロックレイアウトシステム及びクロックレイアウト方法によれば、クロック設計において、バッファの挿入も考慮した混雑度の緩和を図ることが可能となる。    According to the clock layout system and the clock layout method of the present invention, it is possible to alleviate the degree of congestion in consideration of buffer insertion in clock design.

(クロックレイアウトシステム)
本発明の実施の形態に係るクロックレイアウトシステムについて説明する。図1に示すように、本発明の実施の形態に係るクロックレイアウトシステムは、バス11と、バス11に接続された論理回路データ入力装置14、出力装置17、指定値入力装置15、タイミング制約入力装置16、カットライン情報記憶装置18、論理回路情報記憶装置19、及びCPU12を備える。CPU12は、初期配置部12a、F/F判別部12b、カットライン設定部12c、F/F再配置部12d、仮クロックレイアウト処理部13、バッファ数カウント部12f、バッファ数比較部12g、クロックレイアウト処理部12j、タイミング制約判定部12hを備える。仮クロックレイアウト処理部13は、更にクロック配線処理部13a、混雑度判定部13b、バッファ挿入部13cを備える。 論理回路データ入力装置14は、論理回路をデータとして論理回路情報記憶装置19に入力する。出力装置17は、論理回路情報記憶装置19に記憶されるデータ、CPU12で処理されるデータ等を出力する。論理回路情報記憶装置19は、論理回路入力装置により入力されるデータ、CPU12により処理されるデータ等を記憶する。指定値入力装置15は、カットラインにより分割された1つの領域に配置されるバッファの数を指定する指定値をCPU12へ入力する。タイミング制約入力装置16は、セルに入力される信号が満たすべきタイミングの条件を入力する。カットライン情報記憶装置18は、カットラインの位置とカットラインが引かれた順番を記憶する。
(Clock layout system)
A clock layout system according to an embodiment of the present invention will be described. As shown in FIG. 1, the clock layout system according to the embodiment of the present invention includes a bus 11, a logic circuit data input device 14 connected to the bus 11, an output device 17, a specified value input device 15, and a timing constraint input. A device 16, a cut line information storage device 18, a logic circuit information storage device 19, and a CPU 12 are provided. The CPU 12 includes an initial placement unit 12a, an F / F determination unit 12b, a cut line setting unit 12c, an F / F rearrangement unit 12d, a temporary clock layout processing unit 13, a buffer number counting unit 12f, a buffer number comparison unit 12g, and a clock layout. A processing unit 12j and a timing constraint determination unit 12h are provided. The temporary clock layout processing unit 13 further includes a clock wiring processing unit 13a, a congestion degree determination unit 13b, and a buffer insertion unit 13c. The logic circuit data input device 14 inputs the logic circuit to the logic circuit information storage device 19 as data. The output device 17 outputs data stored in the logic circuit information storage device 19, data processed by the CPU 12, and the like. The logic circuit information storage device 19 stores data input by the logic circuit input device, data processed by the CPU 12, and the like. The designated value input device 15 inputs a designated value for designating the number of buffers arranged in one area divided by the cut line to the CPU 12. The timing constraint input device 16 inputs a timing condition to be satisfied by a signal input to the cell. The cut line information storage device 18 stores the position of the cut line and the order in which the cut line is drawn.

初期配置部12aは、位置が固定されているセルの配置を行い、位置が固定されていないセルの配置を行う。位置が固定されていないセルは、タイミング制約入力装置16により入力されたタイミング制約を満たすように、配置される。例えば、図2に示すように、初期配置部12aは、設計領域4上で位置が固定されているルートドライバ10を、その固定された位置へ配置する。また、初期配置部12aは、位置が固定されていないセルであるF/F1a〜1p,論理素子2a〜2jを、タイミング制約を満たすように、設計領域4上に配置する。タイミング制約は、F/F及び論理素子を接続する配線の配線容量及び長さ等により決定される。例えば、論理素子2a,2dを接続する配線6ad、論理素子2a,2eを接続する配線6ae、論理素子2d,2eを接続する配線6de、論理素子2d,2fを接続する配線6df、論理素子2b,2fを接続する配線6bf、論理素子2b,2cを接続する配線6bc、論理素子2f,2iを接続する配線6fi、論理素子2e,2hを接続する配線6eh、論理素子2e,2gを接続する配線6eg、論理素子2g,2hを接続する配線6gh、論理素子2h,2iを接続する配線6hi、論理素子2i,2jを接続する配線6ij、及び論理素子2g,2jを接続する配線6gjの配線容量及び長さにより、タイミング制約が決定される。尚、図2において、F/F1a〜pと論理素子2a〜jとを接続する配線は省略されている。   The initial placement unit 12a places cells whose positions are fixed, and places cells whose positions are not fixed. The cells whose positions are not fixed are arranged so as to satisfy the timing constraint input by the timing constraint input device 16. For example, as illustrated in FIG. 2, the initial placement unit 12 a places the route driver 10 whose position is fixed on the design region 4 at the fixed position. The initial placement unit 12a places the F / Fs 1a to 1p and the logic elements 2a to 2j, which are cells whose positions are not fixed, on the design region 4 so as to satisfy the timing constraint. The timing constraint is determined by the wiring capacity and length of the wiring connecting the F / F and the logic element. For example, a wiring 6ad that connects the logic elements 2a and 2d, a wiring 6ae that connects the logic elements 2a and 2e, a wiring 6de that connects the logic elements 2d and 2e, a wiring 6df that connects the logic elements 2d and 2f, a logic element 2b, Wiring 6bf for connecting 2f, wiring 6bc for connecting logic elements 2b and 2c, wiring 6fi for connecting logic elements 2f and 2i, wiring 6eh for connecting logic elements 2e and 2h, wiring 6eg for connecting logic elements 2e and 2g Wiring capacity and length of the wiring 6gh connecting the logic elements 2g and 2h, the wiring 6hi connecting the logic elements 2h and 2i, the wiring 6ij connecting the logic elements 2i and 2j, and the wiring 6gj connecting the logic elements 2g and 2j Thus, timing constraints are determined. In FIG. 2, wirings connecting the F / Fs 1a to 1p and the logic elements 2a to 2j are omitted.

F/F判別部12bは、初期配置部12aにより配置されたセルのうち、F/Fを判別する。例えば、図2に示すF/F1a〜1pを判別する。カットライン設定部12cは、設計領域4に引かれるカットラインを設定する。カットライン設定部12cは、予め決定されている順番に従ってカットラインを設定する。例えば、図3に示すように、カットライン5aを設定し、次に図4に示すように、カットライン5bを設定し、更に図5に示すように、カットライン5cを設定する。ここで、最初に設定されるカットラインを、「第1カットライン」といい、第1カットラインにより区切られた領域に設定されるカットラインを、「第2カットライン」という。このように、第1カットライン、第2カットライン、第3カットライン・・・・・と、更にカットラインを設定することにより、カットラインで区切られた領域を細分化する。図3において、カットライン5aは、「第1カットライン」であり、カットライン5b及びカットライン5cは、「第2カットライン」である。   The F / F determination unit 12b determines the F / F among the cells arranged by the initial arrangement unit 12a. For example, F / F 1a to 1p shown in FIG. The cut line setting unit 12 c sets a cut line drawn in the design area 4. The cut line setting unit 12c sets a cut line according to a predetermined order. For example, the cut line 5a is set as shown in FIG. 3, the cut line 5b is set as shown in FIG. 4, and the cut line 5c is set as shown in FIG. Here, the cut line set first is referred to as a “first cut line”, and the cut line set in an area delimited by the first cut line is referred to as a “second cut line”. In this way, by setting the first cut line, the second cut line, the third cut line,..., And further the cut lines, the area delimited by the cut lines is subdivided. In FIG. 3, the cut line 5a is a “first cut line”, and the cut line 5b and the cut line 5c are “second cut lines”.

F/F再配置部12dは、F/F判別部12bにより判別されたF/Fを、カットラインで分割された領域にあるF/Fの数の差が最小になるように、F/Fを再配置する。例えば、図3に示すように、F/F1a〜1pを、カットライン5aで分割された領域41にF/F1a,F/F1b,F/F1d,F/F1f,F/F1g,F/F1i,F/F1jを再配置する。そして、領域42にF/F1e,F/F1h,F/F1k,F/F1l,F/F1m,F/F1n,F/F1o,F/F1pを再配置する。この場合、領域41と領域42にあるF/Fの数は等しく8であり、F/Fの数の差は0であり最小となる。また、図4に示すように、カットライン5bで分割された領域42aにF/F1e,F/F1h,F/F1k,F/F1lを再配置し、領域42bにF/F1m,F/F1n,F/F1o,F/F1pを再配置する。更に、図5に示すように、カットライン5cで分割された領域42aにF/F1a,F/F1b,F/F1dを再配置し、領域41bにF/F1f,F/F1g,F/F1i,F/F1jを再配置する。再配置されたF/F1a〜1pは、各領域に均等に再配置される。   The F / F rearrangement unit 12d determines the F / F determined by the F / F determination unit 12b so that the difference in the number of F / Fs in the area divided by the cut line is minimized. Rearrange. For example, as shown in FIG. 3, F / F1a to 1p are divided into the area 41 divided by the cut line 5a as F / F1a, F / F1b, F / F1d, F / F1f, F / F1g, F / F1i, Rearrange F / F1j. Then, F / F1e, F / F1h, F / F1k, F / F1l, F / F1m, F / F1n, F / F1o, and F / F1p are rearranged in the region 42. In this case, the number of F / Fs in the region 41 and the region 42 is equal to 8, and the difference in the number of F / Fs is 0, which is the minimum. 4, F / F1e, F / F1h, F / F1k, and F / F1l are rearranged in the area 42a divided by the cut line 5b, and F / F1m, F / F1n, Rearrange F / F1o and F / F1p. Further, as shown in FIG. 5, F / F1a, F / F1b, and F / F1d are rearranged in the area 42a divided by the cut line 5c, and F / F1f, F / F1g, F / F1i, Rearrange F / F1j. The rearranged F / Fs 1a to 1p are rearranged equally in each region.

セル再配置部12eは、セル密度が均等になり、且つカット数が最小になるように、F/F以外のセルをカットラインで分割された領域に再配置する。例えば、図3に示すように、カットライン5aが設定されると、セル再配置部12eは、論理素子2b,2c,2f,2i,2jを領域41に再配置し、論理素子2a,2d,2e,2h,2gを領域42に再配置する。この場合、領域41と領域42の面積はほぼ等しく、それぞれの領域に再配置された論理素子の数も等しいので、セル密度が均等になっている。また、カット数は3である。このカット数は、それぞれの領域に再配置された論理素子の数が等しい場合の最小値である。そして同様に、図4に示すように、カットライン5bが設定されると、セル再配置部12eは、論理素子2a,2d,2eを領域42aに再配置し、論理素子2h,2gを領域42bに再配置する。更に、図5に示すように、カットライン5cが設定されると、セル再配置部12eは、論理素子2b,2c,2fを領域41aに再配置し、論理素子2i,2jを領域41bに再配置する。   The cell rearrangement unit 12e rearranges cells other than the F / F in the area divided by the cut lines so that the cell density is uniform and the number of cuts is minimized. For example, as shown in FIG. 3, when the cut line 5a is set, the cell rearrangement unit 12e rearranges the logic elements 2b, 2c, 2f, 2i, and 2j in the region 41, and the logic elements 2a, 2d, and 2e, 2h, and 2g are rearranged in the region 42. In this case, the areas of the region 41 and the region 42 are substantially equal, and the number of logic elements rearranged in each region is also equal, so that the cell density is uniform. The number of cuts is 3. This number of cuts is the minimum value when the number of logic elements rearranged in each region is equal. Similarly, as shown in FIG. 4, when the cut line 5b is set, the cell rearrangement unit 12e rearranges the logic elements 2a, 2d, and 2e in the region 42a, and places the logic elements 2h and 2g in the region 42b. Rearrange to Further, as shown in FIG. 5, when the cut line 5c is set, the cell rearrangement unit 12e rearranges the logic elements 2b, 2c, and 2f in the region 41a and rearranges the logic elements 2i and 2j in the region 41b. Deploy.

仮クロックレイアウト処理部13は、F/F再配置部12dにより再配置されたF/Fを、仮にクロックレイアウト処理する。仮クロックレイアウト処理部13は、F/Fをクロック配線で接続すると共に、F/Fの駆動に必要なバッファを挿入する。クロック配線処理部13aは、F/Fをクロック配線で接続する。混雑度判定部13bは、クロック配線処理部13aにより配線されたクロック配線にバッファを挿入できるか判定する。セルの混雑度が高いため、F/Fを駆動させる位置に、バッファを挿入することができない場合、混雑度判定部13bは、バッファを挿入できないと判定する。バッファ挿入部13cは、バッファがF/Fを駆動させることができる位置に、バッファを挿入する。   The temporary clock layout processing unit 13 temporarily performs clock layout processing on the F / F rearranged by the F / F rearrangement unit 12d. The temporary clock layout processing unit 13 connects the F / F with a clock wiring and inserts a buffer necessary for driving the F / F. The clock wiring processing unit 13a connects the F / Fs with a clock wiring. The congestion degree determination unit 13b determines whether a buffer can be inserted into the clock wiring routed by the clock wiring processing unit 13a. If the buffer cannot be inserted at the position where the F / F is driven because the cell congestion level is high, the congestion level determination unit 13b determines that the buffer cannot be inserted. The buffer insertion unit 13c inserts the buffer at a position where the buffer can drive the F / F.

例えば、図6に示すように、領域41aにおいて、クロック配線処理部13aが、F/F1a,1cをクロック配線9eで接続し、バッファ挿入部13cが、バッファ8eを挿入する。また、クロック配線処理部13aは、F/F1b,1dをクロック配線9fで接続し、バッファ挿入部13cは、バッファ8fを挿入する。そして、クロック配線処理部13aは、バッファ8eとバッファ8fをクロック配線9kで接続する。同様に、領域41bにおいて、クロック配線処理部13aは、F/F1f,1g,1i,1jをクロック配線9g,9h,9lで接続し、バッファ挿入部13cは、バッファ8g,8hを挿入する。領域42aにおいて、クロック配線処理部13aは、F/F1e,1h,1k,1lをクロック配線9a,9b,9iで接続し、バッファ挿入部13cは、バッファ8a,8bを挿入する。そして、仮クロックレイアウト処理部13は、最後に設定されたカットライン(以下、「最終カットライン」という)で区切られた2つの領域にあるF/Fをクロック配線で接続する。例えば、図7に示すように、クロック配線処理部13aは、領域41aの最終カットラインであるカットライン5cで区切られた領域41a,41bにあるF/F1a,1b,1c,1dと、F/F1f,1g,1i,1jをクロック配線9nで接続し、バッファ挿入部13cは、バッファ8e,8lを挿入する。同様に、クロック配線処理部13aは、最終カットラインであるカットライン5bで区切られた領域42a,42bにあるF/F1e,1k,1l,1hと、F/F1m,1n,1o,1pをクロック配線9mで接続し、バッファ挿入部13cは、バッファ8i,8jを挿入する。   For example, as shown in FIG. 6, in the area 41a, the clock wiring processing unit 13a connects the F / Fs 1a and 1c with the clock wiring 9e, and the buffer insertion unit 13c inserts the buffer 8e. The clock wiring processing unit 13a connects the F / Fs 1b and 1d with the clock wiring 9f, and the buffer insertion unit 13c inserts the buffer 8f. The clock wiring processing unit 13a connects the buffer 8e and the buffer 8f with the clock wiring 9k. Similarly, in the region 41b, the clock wiring processing unit 13a connects the F / Fs 1f, 1g, 1i, and 1j with the clock wirings 9g, 9h, and 9l, and the buffer insertion unit 13c inserts the buffers 8g and 8h. In the area 42a, the clock wiring processing unit 13a connects the F / Fs 1e, 1h, 1k, and 11 with the clock wirings 9a, 9b, and 9i, and the buffer insertion unit 13c inserts the buffers 8a and 8b. Then, the provisional clock layout processing unit 13 connects the F / Fs in the two regions separated by the last set cut line (hereinafter referred to as “final cut line”) through the clock wiring. For example, as illustrated in FIG. 7, the clock wiring processing unit 13 a includes F / F 1 a, 1 b, 1 c, 1 d in the areas 41 a and 41 b separated by the cut line 5 c that is the final cut line of the area 41 a, and F / F1f, 1g, 1i, and 1j are connected by the clock wiring 9n, and the buffer insertion unit 13c inserts the buffers 8e and 8l. Similarly, the clock wiring processing unit 13a clocks the F / F 1e, 1k, 11 and 1h and the F / F 1m, 1n, 1o and 1p in the regions 42a and 42b delimited by the cut line 5b which is the final cut line. Connected by the wiring 9m, the buffer insertion unit 13c inserts the buffers 8i and 8j.

また、仮クロックレイアウト処理部13は、最終カットラインから降順でカットラインで区切られた2つの領域にあるF/Fをクロック配線で接続し、バッファを挿入する。例えば、図7に示すように、最終カットラインであるカットライン5b及びカットライン5cは、第2カットラインであるため、クロック配線処理部13aは、第1カットラインであるカットライン5aで区切られた領域41a,41b,42a,42bにあるF/F1a〜F/F1pをクロック配線9oで接続し、バッファ挿入部13cは、バッファ8m,8nを挿入する。最後に、クロック配線処理部13aは、F/F1a〜1pをクロック配線9pでルートドライバ10まで接続する。このように、仮クロックレイアウト処理部13は、ボトムアップに順次F/Fをルートドライバまでクロック配線で接続し、F/Fを駆動させるバッファを挿入する。   In addition, the temporary clock layout processing unit 13 connects F / Fs in two areas separated by the cut line in descending order from the final cut line, and inserts a buffer. For example, as shown in FIG. 7, the cut line 5b and the cut line 5c, which are the final cut lines, are the second cut lines, and therefore the clock wiring processing unit 13a is divided by the cut line 5a that is the first cut line. The F / F1a to F / F1p in the regions 41a, 41b, 42a, and 42b are connected by the clock wiring 9o, and the buffer insertion unit 13c inserts the buffers 8m and 8n. Finally, the clock wiring processing unit 13a connects the F / Fs 1a to 1p to the root driver 10 through the clock wiring 9p. As described above, the temporary clock layout processing unit 13 sequentially connects the F / Fs to the root driver in the bottom-up manner through the clock wiring, and inserts a buffer for driving the F / Fs.

バッファ数カウント部12fは、カットラインにより分割された1つの領域に配置されるバッファの数を数える。バッファ数比較部12gは、指定値入力装置15により指定されたバッファ数とバッファ数カウント部12fによりカウントされたバッファ数を比較する。指定値入力装置15により指定されたバッファ数が、バッファ数カウント部12fによりカウントされたバッファ数より多い場合、バッファ数比較部12gは、カットライン設定部12cにカットラインの設定を止めるよう命令する。タイミング制約判定部12hは、仮クロックレイアウト処理部13によりクロック配線で接続されたF/Fが、タイミング制約入力装置16により入力されたタイミング制約を満たすか否か判定する。タイミング制約判定部12hが、タイミングを満たさないと判定した場合、及び混雑度判定部13bが、セルの混雑度が高いため、F/Fを駆動させる位置に、バッファを挿入できないと判断した場合は、カットライン変更部12iは、最終カットラインを削除し、最終カットラインを再設定する。最終カットラインが削除され、最終カットラインが再設定されても、タイミング制約が満たされず、バッファを挿入できない場合は、カットライン変更部12iは、最終カットラインから降順にカットラインを削除した後、カットラインを再設定する。例えば、カットライン変更部12iは、図7に示すカットライン5bを削除し、領域42に最終カットラインを再設定する。それでも尚、タイミング制約が満たされず、バッファを挿入できない場合は、カットライン変更部12iは、第2カットラインであるカットライン5b及びカットライン5cから降順に、第1カットラインであるカットライン5aまでカットラインを削除し、図8に示すように、カットライン5dを再設定し、更にカットライン5e,5fを再設定する。クロックレイアウト処理部12jは、タイミング制約が満たされ、且つF/Fを駆動できる位置にバッファが挿入されるクロックレイアウトが決定された場合に、F/Fのクロック配線を配線すると共に、クロック配線の配線に必要なバッファを挿入する。   The buffer number counting unit 12f counts the number of buffers arranged in one area divided by the cut line. The buffer number comparison unit 12g compares the number of buffers designated by the designated value input device 15 with the number of buffers counted by the buffer number counting unit 12f. When the number of buffers designated by the designated value input device 15 is larger than the number of buffers counted by the buffer number counting unit 12f, the buffer number comparing unit 12g instructs the cut line setting unit 12c to stop setting the cut line. . The timing constraint determination unit 12 h determines whether or not the F / F connected by the clock wiring by the temporary clock layout processing unit 13 satisfies the timing constraint input by the timing constraint input device 16. When the timing constraint determination unit 12h determines that the timing is not satisfied, and when the congestion level determination unit 13b determines that the buffer cannot be inserted at the position where the F / F is driven because the cell congestion level is high. The cut line changing unit 12i deletes the final cut line and resets the final cut line. Even if the final cut line is deleted and the final cut line is reset, if the timing constraint is not satisfied and the buffer cannot be inserted, the cut line changing unit 12i deletes the cut lines in descending order from the final cut line, Reset the cut line. For example, the cut line changing unit 12 i deletes the cut line 5 b illustrated in FIG. 7 and resets the final cut line in the region 42. If the timing constraint is still not satisfied and the buffer cannot be inserted, the cut line changing unit 12i proceeds from the cut line 5b that is the second cut line and the cut line 5c to the cut line 5a that is the first cut line in descending order. The cut line is deleted, the cut line 5d is reset as shown in FIG. 8, and the cut lines 5e and 5f are reset. The clock layout processing unit 12j routes the F / F clock wiring when the timing constraint is satisfied and the clock layout in which the buffer is inserted at a position where the F / F can be driven is determined. Insert the necessary buffers for wiring.

本発明の実施の形態に係るクロックレイアウトシステムによれば、セルの配置処理とクロック設計を並行に行うことにより、クロックレイアウトに必要なバッファの挿入及び配置が完了しているため、バッファ挿入による混雑度を評価したレイアウトが設計できる。また、クロック配線処理が同時に完了しているため、容易且つ回路に対する影響が少ないクロック配線処理が可能となる。更に、F/Fが均等に配置される。   According to the clock layout system of the embodiment of the present invention, the insertion and placement of the buffers necessary for the clock layout are completed by performing the cell placement process and the clock design in parallel. A layout that evaluates the degree can be designed. Further, since the clock wiring process is completed at the same time, the clock wiring process can be easily performed and has little influence on the circuit. Further, the F / Fs are evenly arranged.

(クロックレイアウト方法)
本発明の実施の形態に係るクロックレイアウト方法について、図9及び図10をもとに説明する。
(Clock layout method)
A clock layout method according to an embodiment of the present invention will be described with reference to FIGS.

(a)ステップS100において、初期配置部12aが、位置が固定されているセルと位置が固定されていないセルの配置をそれぞれ行う。ステップS101において、F/F判別部12bが、初期配置部12aにより配置されたセルのうち、F/Fを判別する。ステップS102において、カットライン設定部12cは、設計領域に引かれるカットラインを設定する。カットライン設定部12cは、予め決定されている順番に従ってカットラインを設定する。ステップS103において、F/F再配置部12dは、F/F判別部12bにより判別されたF/Fを、カットラインで分割された領域にあるF/Fの数の差が最小になるように、F/Fを再配置する。ステップS104において、セル再配置部12eが、セル密度が均等になり、且つカット数が最小になるように、F/F以外のセルをカットラインで分割された領域に再配置する。   (A) In step S100, the initial placement unit 12a performs placement of cells whose positions are fixed and cells whose positions are not fixed. In step S101, the F / F determination unit 12b determines the F / F among the cells arranged by the initial arrangement unit 12a. In step S102, the cut line setting unit 12c sets a cut line drawn in the design area. The cut line setting unit 12c sets a cut line according to a predetermined order. In step S103, the F / F rearrangement unit 12d causes the F / F discriminated by the F / F discrimination unit 12b to minimize the difference in the number of F / Fs in the area divided by the cut line. , F / F is rearranged. In step S104, the cell rearrangement unit 12e rearranges cells other than the F / F in the region divided by the cut line so that the cell density is uniform and the number of cuts is minimized.

(b)ステップS105において、クロック配線処理部13aは、F/Fをクロック配線で接続する。ステップS106において、混雑度判定部13bが、クロック配線処理部13aにより配線されたクロック配線にバッファを挿入できるか判定する。ステップS107において、混雑度判定部13bが、バッファを挿入できないと判定した場合、ステップS109において、カットライン変更部12iは、カットラインを再設定し、ステップS103に戻り、F/F再配置部12dは、F/Fを再配置する。ステップS107において、混雑度判定部13bが、バッファを挿入できると判定した場合、ステップS108において、バッファ挿入部13cは、バッファがF/Fを駆動させることができる位置に、バッファを挿入する。   (B) In step S105, the clock wiring processing unit 13a connects the F / Fs with the clock wiring. In step S106, the congestion degree determination unit 13b determines whether a buffer can be inserted into the clock wiring routed by the clock wiring processing unit 13a. In step S107, when the congestion degree determination unit 13b determines that the buffer cannot be inserted, in step S109, the cut line changing unit 12i resets the cut line, returns to step S103, and the F / F rearrangement unit 12d. Rearranges the F / F. If the congestion determination unit 13b determines in step S107 that a buffer can be inserted, in step S108, the buffer insertion unit 13c inserts the buffer at a position where the buffer can drive the F / F.

(c)ステップS110において、バッファ数カウント部12fは、カットラインにより分割された1つの領域に配置されるバッファの数を数える。ステップS111において、バッファ数比較部12gは、指定値入力装置15により指定されたバッファ数とバッファ数カウント部12fによりカウントされたバッファ数を比較する。ステップS111において、指定値入力装置15により指定されたバッファ数が、バッファ数カウント部12fによりカウントされたバッファ数以下の場合、ステップS102に戻り、カットライン設定部12cは、更にカットラインを設定する。ステップS111において、指定値入力装置15により指定されたバッファ数が、バッファ数カウント部12fによりカウントされたバッファ数より多い場合、ステップS112において、タイミング制約判定部12hは、仮クロックレイアウト処理部13によりクロック配線で接続されたF/Fが、タイミング制約入力装置16により入力されたタイミング制約を満たすか否か判定する。ステップS112において、タイミング制約判定部12hが、タイミングを満たさないと判定した場合は、ステップS109において、カットライン変更部12iは、カットラインを再設定し、ステップS103に戻り、F/F再配置部12dは、F/Fを再配置する。ステップS112において、タイミング制約判定部12hが、タイミングを満たすと判定した場合は、ステップS113において、クロックレイアウト処理部12jは、F/Fのクロック配線を配線すると共に、クロック配線の配線に必要なバッファを挿入する。   (C) In step S110, the buffer number counting unit 12f counts the number of buffers arranged in one area divided by the cut line. In step S111, the buffer number comparing unit 12g compares the number of buffers designated by the designated value input device 15 with the number of buffers counted by the buffer number counting unit 12f. In step S111, when the number of buffers designated by the designated value input device 15 is equal to or less than the number of buffers counted by the buffer number counting unit 12f, the process returns to step S102, and the cut line setting unit 12c further sets cut lines. . In step S111, when the number of buffers specified by the specified value input device 15 is larger than the number of buffers counted by the buffer number counting unit 12f, the timing constraint determining unit 12h uses the temporary clock layout processing unit 13 in step S112. It is determined whether the F / F connected by the clock wiring satisfies the timing constraint input by the timing constraint input device 16. If the timing constraint determination unit 12h determines in step S112 that the timing is not satisfied, in step S109, the cut line changing unit 12i resets the cut line, returns to step S103, and the F / F rearrangement unit 12d rearranges the F / F. If the timing constraint determining unit 12h determines that the timing is satisfied in step S112, in step S113, the clock layout processing unit 12j routes the F / F clock wiring and a buffer necessary for clock wiring wiring. Insert.

尚、ステップS109におけるカットラインの再配置は、以下のように行われる。   Note that the rearrangement of the cut lines in step S109 is performed as follows.

(d)ステップS109aにおいて、カットライン変更部12iは、最終カットラインを削除する。ステップS109bにおいて、カットライン変更部12iが、まだ最終カットラインが再設定されていないと判断した場合、ステップS109cにおいて、カットライン変更部12iは、最終カットラインを再設定する。ステップS109bにおいて、カットライン変更部12iが、既にステップS109cで最終カットラインが再設定されていると判断した場合、ステップS109dにおいて、カットライン変更部12iは、最終カットラインから降順にカットラインの番号が1つ小さいカットラインを削除する。例えば、図7において、領域42aを区切る第3カットライン(図示していない)が最終カットラインである場合、カットライン変更部12iは、第3カットラインより番号が1つ小さい第2カットラインであるカットライン5bを削除する。ステップS109eにおいて、カットライン変更部12iが、まだ最終カットラインより番号が1つ小さいカットラインが再設定されていないと判断した場合、ステップS109fにおいて、カットライン変更部12iは、カットラインを再設定する。ステップS109eにおいて、カットライン変更部12iが、既にステップS109fで最終カットラインより番号が1つ小さいカットラインが再設定されていると判断した場合、カットライン変更部12iは、更に番号が1つ小さいカットラインを削除する。例えば、図7において、第2カットラインであるカットライン5bが、既に再設定されている場合、カットライン変更部12iは、第2カットラインより番号が1つ小さい第1カットラインであるカットライン5aまでカットラインを削除する。このように、カットラインが再設定されても、タイミング制約が満たされず、バッファが挿入されない場合、更に降順にカットラインが再設定される。   (D) In step S109a, the cut line changing unit 12i deletes the final cut line. When the cut line changing unit 12i determines in step S109b that the final cut line has not been reset yet, in step S109c, the cut line changing unit 12i resets the final cut line. In step S109b, when the cut line changing unit 12i determines that the final cut line has already been reset in step S109c, in step S109d, the cut line changing unit 12i sets the cut line numbers in descending order from the final cut line. Deletes the cut line that is one smaller. For example, in FIG. 7, when the third cut line (not shown) that divides the region 42 a is the final cut line, the cut line changing unit 12 i uses the second cut line that is one smaller in number than the third cut line. A certain cut line 5b is deleted. In step S109e, when the cut line changing unit 12i determines that the cut line whose number is one smaller than the final cut line has not been reset, the cut line changing unit 12i resets the cut line in step S109f. To do. In step S109e, when the cut line changing unit 12i determines that a cut line having a number one smaller than the final cut line has already been reset in step S109f, the cut line changing unit 12i further decreases the number by one. Delete the cut line. For example, in FIG. 7, when the cut line 5b that is the second cut line has already been reset, the cut line changing unit 12i uses the cut line that is the first cut line that is one smaller in number than the second cut line. Delete the cut line up to 5a. As described above, even if the cut line is reset, if the timing constraint is not satisfied and the buffer is not inserted, the cut line is further reset in descending order.

本発明の実施の形態に係るクロックレイアウト方法によれば、セルの配置処理とクロック設計を並行に行うことにより、クロックレイアウトに必要なバッファの挿入及び配置が完了しているため、バッファ挿入による混雑度を評価したレイアウトが設計できる。また、クロック配線処理が同時に完了しているため、容易且つ回路に対する影響が少ないクロック配線処理が可能となる。更に、F/Fが均等に配置される。   According to the clock layout method according to the embodiment of the present invention, the insertion and placement of the buffers necessary for the clock layout are completed by performing the cell placement process and the clock design in parallel. A layout that evaluates the degree can be designed. Further, since the clock wiring process is completed at the same time, the clock wiring process can be easily performed and has little influence on the circuit. Further, the F / Fs are evenly arranged.

カットライン設定部は、第1線分により分割された設計領域を、更に第2線分により分割することを特徴とするクロックレイアウトシステム。   The cut line setting unit further divides the design area divided by the first line segment by the second line segment.

カットライン変更部は、第3線分により分割された領域において、配線の混雑度から、バッファを挿入できない場合は、第1線分及び第3線分を削除し、第4線分により設計領域を分割することを特徴とするクロックレイアウトシステム。 カットライン設定部が、第1線分により分割された設計領域を、更に第2線分により分割するステップを備えることを特徴とするクロックレイアウト方法。   The cut line changing unit deletes the first line segment and the third line segment when the buffer cannot be inserted due to the congestion degree of the wiring in the area divided by the third line segment, and the design area by the fourth line segment. A clock layout system characterized by dividing the clock. A clock layout method, wherein the cut line setting unit further comprises a step of dividing the design region divided by the first line segment by the second line segment.

演算処理装置のクロック配線処理部が、フリップフロップをクロック配線で接続するステップと、演算処理装置の混雑度判定部が、配線の混雑度から、クロック配線にバッファを挿入できるか判定するステップと、バッファを挿入できない場合は、演算処理装置のカットライン変更部が、第2線分を削除し、第3線分により設計領域を分割するステップとを更に備えることを特徴とするクロックレイアウト方法。   The clock wiring processing unit of the arithmetic processing device connects the flip-flops with the clock wiring, and the congestion determination unit of the arithmetic processing device determines whether the buffer can be inserted into the clock wiring from the congestion level of the wiring. A clock layout method, further comprising: a step of deleting a second line segment and dividing a design area by a third line segment when a buffer cannot be inserted.

演算処理装置のバッファ数カウント部が、第2線分により分割された1つの領域に配置されるバッファの数を数えるステップと、演算処理装置のバッファ数比較部が、予め指定された指定値とバッファ数カウント部により数えられたバッファ数を比較し、指定値がバッファ数より多い場合に、設計領域を第2線分により分割することを止める停止命令をカットライン設定部に与えるステップとを更に備えることを特徴とするクロックレイアウト方法。   A step of counting the number of buffers arranged in one region divided by the second line segment, and a number of buffers comparing unit of the arithmetic processing unit is a predetermined value specified in advance. A step of comparing the number of buffers counted by the buffer number counting unit and, when the specified value is larger than the number of buffers, giving a stop instruction to stop the design area to be divided by the second line segment to the cut line setting unit; A clock layout method comprising: a clock layout method comprising:

演算処理装置のタイミング制約判定部が、クロック配線で接続されたフリップフロップが、設計領域に配置されるセルに入力される信号が満たすべきタイミング制約を満たすか否か判定するステップと、タイミング制約を満たさない場合は、カットライン変更部が、第2線分を削除し、第3線分により設計領域を分割するステップとを更に備えることを特徴とするクロックレイアウト方法。   The timing constraint determining unit of the arithmetic processing unit determines whether the flip-flop connected by the clock wiring satisfies a timing constraint to be satisfied by a signal input to a cell arranged in the design area, and the timing constraint If not, the cut line changing unit further comprises a step of deleting the second line segment and dividing the design area by the third line segment.

第3線分により分割された領域において、配線の混雑度から、バッファを挿入できない場合は、カットライン変更部が、第1線分及び第3線分を削除し、第4線分により設計領域を分割するステップを備えることを特徴とするクロックレイアウト方法。   In the area divided by the third line segment, when the buffer cannot be inserted due to the congestion degree of the wiring, the cut line changing unit deletes the first line segment and the third line segment, and the design area is formed by the fourth line segment. A clock layout method comprising the step of dividing

本発明の実施の形態に係るクロックレイアウトシステムの一例を示した図である。It is a figure showing an example of a clock layout system concerning an embodiment of the invention. ルートドライバ及びセルが初期配置された設計領域の一例を示した図である。It is the figure which showed an example of the design area | region where the root driver and the cell were initially arranged. 第1カットラインを設定した設計領域の一例を示した図である。It is the figure which showed an example of the design area | region which set the 1st cut line. 第2カットラインを設定した設計領域の一例を示した図である。It is the figure which showed an example of the design area | region which set the 2nd cut line. カットラインで分割された領域にセルを再配置した設計領域の一例を示した図である。It is the figure which showed an example of the design area | region which rearranged the cell to the area | region divided | segmented by the cut line. 再配置したセルを配線で接続し、バッファを挿入した設計領域の一例を示した図である。It is the figure which showed an example of the design area | region which connected the rearranged cell by wiring and inserted the buffer. ルートドライバまでセルを配線で接続し、バッファを挿入した設計領域の一例を示した図である。It is the figure which showed an example of the design area | region which connected the cell to the route driver with wiring, and inserted the buffer. カットラインを再設定した設計領域の一例を示した図である。It is the figure which showed an example of the design area | region which reset the cut line. 本発明の実施の形態に係るクロックレイアウト方法の一例を示したフロー図である。It is the flowchart which showed an example of the clock layout method which concerns on embodiment of this invention. 本発明の実施の形態に係るクロックレイアウト方法の一例を示したフロー図である。It is the flowchart which showed an example of the clock layout method which concerns on embodiment of this invention.

符号の説明Explanation of symbols

F/F1a〜F/F1p フリップフロップ
2a〜2j 論理素子
4 設計領域
5a〜5f カットライン
8a〜8n バッファ
9a〜9p クロック配線
10 ルートドライバ
11 バス
12 CPU
12a 初期配置部
12b F/F判別部
12c カットライン設定部
12d 再配置部
12e セル再配置部
12f バッファ数カウント部
12g バッファ数比較部
12h タイミング制約判定部
12i カットライン変更部
12j クロックレイアウト処理部
13 仮クロックレイアウト処理部
13a クロック配線処理部
13b 混雑度判定部
13c バッファ挿入部
14 論理回路データ入力装置
15 指定値入力装置
16 タイミング制約入力装置
17 出力装置
18 カットライン情報記憶装置
19 論理回路情報記憶装置
41,42,41a,41b,42a,42b 領域
F / F1a to F / F1p Flip-flop 2a to 2j Logic element 4 Design area 5a to 5f Cut line 8a to 8n Buffer 9a to 9p Clock wiring 10 Route driver 11 Bus 12 CPU
12a Initial arrangement unit 12b F / F determination unit 12c Cut line setting unit 12d Relocation unit 12e Cell relocation unit 12f Buffer number counting unit 12g Buffer number comparison unit 12h Timing constraint determination unit 12i Cut line changing unit 12j Clock layout processing unit 13 Temporary clock layout processing unit 13a Clock wiring processing unit 13b Congestion degree determination unit 13c Buffer insertion unit 14 Logic circuit data input device 15 Designated value input device 16 Timing constraint input device 17 Output device 18 Cutline information storage device 19 Logic circuit information storage device 41, 42, 41a, 41b, 42a, 42b

Claims (5)

論理回路の設計領域に配置されたセルのうち、フリップフロップを判別するF/F判別部と、
前記設計領域を第1線分により分割するカットライン設定部と、
前記分割された領域にあるフリップフロップの数の差が最小になるように、前記フリップフロップを再配置するF/F再配置部と、
前記設計領域を分割する前記第1線分と交差する配線の数が最小になるように、前記フリップフロップ以外のセルを前記分割された領域に再配置するセル再配置部
とを備えることを特徴とするクロックレイアウトシステム。
An F / F discriminating unit for discriminating a flip-flop among the cells arranged in the design area of the logic circuit;
A cut line setting unit that divides the design area by a first line segment;
An F / F rearrangement unit for rearranging the flip-flops so that a difference in the number of flip-flops in the divided area is minimized;
A cell rearrangement unit that rearranges cells other than the flip-flops in the divided area so that the number of wirings intersecting the first line segment dividing the design area is minimized. And clock layout system.
前記カットライン設定部は、前記第1線分により分割された前記設計領域を、更に第2線分により分割し、
前記フリップフロップをクロック配線で接続するクロック配線処理部と、
配線の混雑度から、前記クロック配線にバッファを挿入できるか判定する混雑度判定部と、
バッファを挿入できない場合は、前記第2線分を削除し、第3線分により前記設計領域を分割するカットライン変更部
とを更に備えることを特徴とする請求項1に記載のクロックレイアウトシステム。
The cut line setting unit further divides the design area divided by the first line segment by a second line segment,
A clock wiring processing unit for connecting the flip-flops by clock wiring;
A congestion degree determination unit that determines whether a buffer can be inserted into the clock line from the degree of congestion of the wiring;
The clock layout system according to claim 1, further comprising: a cut line changing unit that deletes the second line segment and divides the design area by the third line segment when the buffer cannot be inserted.
前記第2線分により分割された1つの領域に配置されるバッファの数を指定する指定値を入力する指定値入力装置と、
前記バッファの数を数えるバッファ数カウント部と、
前記指定値と前記バッファ数を比較し、前記指定値が前記バッファ数より多い場合に、前記設計領域を前記第2線分により分割することを止める停止命令を、前記カットライン設定部に与えるバッファ数比較部
とを更に備えることを特徴とする請求項1又は2に記載のクロックレイアウトシステム。
A designated value input device for inputting a designated value for designating the number of buffers arranged in one area divided by the second line segment;
A buffer number counting unit for counting the number of the buffers;
A buffer that compares the specified value with the number of buffers, and gives a stop command to the cutline setting unit to stop dividing the design area by the second line segment when the specified value is larger than the number of buffers. The clock layout system according to claim 1, further comprising: a number comparison unit.
前記設計領域に配置されるセルに入力される信号が満たすべきタイミング制約を入力するタイミング制約入力装置と、
前記クロック配線で接続された前記フリップフロップが、前記タイミング制約を満たすか否か判定するタイミング制約判定部と、
前記タイミング制約を満たさない場合は、前記第2線分を削除し、第3線分により前記設計領域を分割するカットライン変更部
とを更に備えることを特徴とする請求項2又は3に記載のクロックレイアウトシステム。
A timing constraint input device for inputting a timing constraint to be satisfied by a signal input to a cell arranged in the design region;
A timing constraint determining unit that determines whether or not the flip-flop connected by the clock wiring satisfies the timing constraint;
The said 2nd line segment is deleted when it does not satisfy | fill the said timing restrictions, The cutline change part which divides | segments the said design area | region with a 3rd line segment is further provided. Clock layout system.
演算処理装置のF/F判別部が、論理回路の設計領域に配置されたセルのうち、フリップフロップを判別するステップと、
演算処理装置のカットライン設定部が、前記設計領域を第1線分により分割するステップと、
演算処理装置のF/F再配置部が、前記分割された領域にある前記フリップフロップの数の差が最小になるように、前記フリップフロップを再配置するステップと、
演算処理装置のセル再配置部が、前記設計領域を分割する前記第1線分と交差する配線の数が最小になるように、前記フリップフロップ以外のセルを前記分割された領域に再配置するステップ
とを備えることを特徴とするクロックレイアウト方法。
A step in which the F / F determination unit of the arithmetic processing unit determines a flip-flop among the cells arranged in the design area of the logic circuit;
The cut line setting unit of the arithmetic processing unit divides the design region by a first line segment;
The F / F rearrangement unit of the arithmetic processing unit rearranges the flip-flop so that the difference in the number of flip-flops in the divided area is minimized;
The cell rearrangement unit of the arithmetic processing unit rearranges cells other than the flip-flops in the divided area so that the number of wirings intersecting the first line segment dividing the design area is minimized. A clock layout method comprising the steps of:
JP2004067376A 2004-03-10 2004-03-10 Clock layout system and method Pending JP2005258657A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004067376A JP2005258657A (en) 2004-03-10 2004-03-10 Clock layout system and method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004067376A JP2005258657A (en) 2004-03-10 2004-03-10 Clock layout system and method

Publications (1)

Publication Number Publication Date
JP2005258657A true JP2005258657A (en) 2005-09-22

Family

ID=35084330

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004067376A Pending JP2005258657A (en) 2004-03-10 2004-03-10 Clock layout system and method

Country Status (1)

Country Link
JP (1) JP2005258657A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007123336A (en) * 2005-10-25 2007-05-17 Renesas Technology Corp Clock structure method of semiconductor integrated circuit and its program
JP2007257373A (en) * 2006-03-23 2007-10-04 Fujitsu Ltd Automatic arrangement method, device and program
JP2008217642A (en) * 2007-03-07 2008-09-18 Fujitsu Ltd Layout design method for semiconductor integrated circuit and layout design program

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007123336A (en) * 2005-10-25 2007-05-17 Renesas Technology Corp Clock structure method of semiconductor integrated circuit and its program
JP2007257373A (en) * 2006-03-23 2007-10-04 Fujitsu Ltd Automatic arrangement method, device and program
JP2008217642A (en) * 2007-03-07 2008-09-18 Fujitsu Ltd Layout design method for semiconductor integrated circuit and layout design program

Similar Documents

Publication Publication Date Title
US7444609B2 (en) Method of optimizing customizable filler cells in an integrated circuit physical design process
US6857107B2 (en) LSI layout method and apparatus for cell arrangement in which timing is prioritized
US8701064B2 (en) Timing error removing method and design support apparatus
US8230381B2 (en) Method for designing cell layout of semiconductor integrated circuit
US6564353B2 (en) Method and apparatus for designing a clock distributing circuit, and computer readable storage medium storing a design program
US7062739B2 (en) Gate reuse methodology for diffused cell-based IP blocks in platform-based silicon products
JP2005123537A (en) Semiconductor device and its manufacturing method
Alpert et al. Steiner tree optimization for buffers, blockages, and bays
US9940422B2 (en) Methods for reducing congestion region in layout area of IC
JP2005258657A (en) Clock layout system and method
US9892226B2 (en) Methods for providing macro placement of IC
US6938232B2 (en) Floorplanning apparatus deciding floor plan using logic seeds associated with hierarchical blocks
JP2011134084A (en) Method and program for designing semiconductor integrated circuit
JP2005135229A (en) Method for automatically designing semiconductor integrated circuit
JP4400428B2 (en) Semiconductor integrated circuit design method, design apparatus and program
KR20080103364A (en) Routing method for forming interconnetion line and record medium recorded program for realizing the same
JP4806535B2 (en) Spare cell set placement method
US20110304055A1 (en) Semiconductor integrated circuit with multi-cut via and automated layout method for the same
JP5696407B2 (en) Semiconductor integrated circuit automatic placement and routing method, layout apparatus, automatic placement and routing program, and semiconductor integrated circuit
JP4248925B2 (en) Automatic floor plan determination method
US20080141207A1 (en) Wiring Design System of Semiconductor Integrated Circuit, Semiconductor Integrated Circuit, and Wiring Design Program
JP2011109025A (en) Method and apparatus for automatically disposing cells for semiconductor integrated circuit, and program therefor
JP2006107206A (en) Semiconductor integrated circuit design method
JP2000150659A (en) Method for designing layout of semiconductor integrated circuit device
US6957401B2 (en) Integrated circuit (IC) having IC floorplan silhouette-like power supply net, and sea of supply (SoS) electronic design automation (EDA) tool for designing same