JP2000150659A - Method for designing layout of semiconductor integrated circuit device - Google Patents

Method for designing layout of semiconductor integrated circuit device

Info

Publication number
JP2000150659A
JP2000150659A JP10327362A JP32736298A JP2000150659A JP 2000150659 A JP2000150659 A JP 2000150659A JP 10327362 A JP10327362 A JP 10327362A JP 32736298 A JP32736298 A JP 32736298A JP 2000150659 A JP2000150659 A JP 2000150659A
Authority
JP
Japan
Prior art keywords
net
change
semiconductor integrated
integrated circuit
repair
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10327362A
Other languages
Japanese (ja)
Inventor
Fumihiro Kimura
文浩 木村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP10327362A priority Critical patent/JP2000150659A/en
Publication of JP2000150659A publication Critical patent/JP2000150659A/en
Pending legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method for designing a layout of semiconductor integrated circuit device by which changing of layout is easy, a return of design is small, and a change of circuit is highly possible by a repair cell. SOLUTION: In this method for designing a layout, a timing analysis is conducted on the basis of the length of virtual wiring which is estimated according to the result of arrangement or schematic wiring, and the net list is changed among a passage not satisfying the timing limit, a passage of signal transmission time close to the timing limit, and a passage of signal transmission time close to the signal hold time for flipflop assuming that every passage violates. A difference between nets after and before changed is used as a repair cell, and it is arranged adjacent to a cell belonging to the net before changed.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体集積回路装
置のレイアウト設計方法に関し、特にレイアウト設計及
びその変更が容易な半導体集積回路装置のレイアウト設
計方法に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a layout design method for a semiconductor integrated circuit device, and more particularly to a layout design method for a semiconductor integrated circuit device in which layout design and its change are easy.

【0002】[0002]

【従来の技術】近年、半導体集積回路の微細化、高集積
化が進み、信号伝達時間はスタンダードセル固有の信号
伝達時間よりも配線に関する信号伝達時間が支配的にな
ってきている。
2. Description of the Related Art In recent years, miniaturization and high integration of semiconductor integrated circuits have progressed, and the signal transmission time of wiring has become dominant over the signal transmission time inherent in standard cells.

【0003】そのため、レイアウト設計における配線工
程において、ネットリストに従って敷設された配線がネ
ットリストを生成する際に予測した配線長よりも長くな
り、要求仕様のタイミング制約を違反することがある。
For this reason, in the wiring process in the layout design, the wiring laid according to the netlist becomes longer than the wiring length predicted when the netlist is generated, and may violate the timing constraint of the required specification.

【0004】このようなタイミング違反を解決するため
に、一般にECO(Engineering Change Order)として広
く知られている、半導体集積回路装置のレイアウト設計
方法が提案されている。
In order to solve such a timing violation, a layout design method for a semiconductor integrated circuit device, which is generally widely known as an ECO (Engineering Change Order), has been proposed.

【0005】以下、図面を参照しながら、ECOを用い
た従来の半導体集積回路装置のレイアウト設計方法の一
例について説明する。図2は、ECOを用いた従来の半
導体集積回路装置のレイアウト設計方法を示すフローチ
ャートである。ここでは、ネットリスト生成工程201
において、過去のレイアウトの配線長情報等に基づいて
予測した配線長を用いてネットリストを生成する。そし
て、生成したネットリストに従って配置工程202およ
び配線工程203を行うことで、半導体集積回路装置の
レイアウトを生成する。次に、タイミング解析工程20
4において、配線工程203の結果から各信号配線の負
荷容量を抽出してタイミング解析を行う。
Hereinafter, an example of a conventional layout design method for a semiconductor integrated circuit device using an ECO will be described with reference to the drawings. FIG. 2 is a flowchart showing a conventional layout design method of a semiconductor integrated circuit device using ECO. Here, the netlist generation step 201
, A netlist is generated using the wiring length predicted based on the wiring length information of the past layout. Then, a layout of the semiconductor integrated circuit device is generated by performing the placement step 202 and the wiring step 203 according to the generated netlist. Next, the timing analysis step 20
In 4, the timing analysis is performed by extracting the load capacitance of each signal wiring from the result of the wiring step 203.

【0006】タイミング制約違反がない場合は、半導体
集積回路装置のマスクを生成するマスク形成工程205
以降の処理へ進み、半導体集積回路装置を生成する。タ
イミング制約違反がある場合は、ECO工程206にお
いてECO処理を行う。詳細には、ネットリスト変更工
程207において、タイミング制約違反を起こしたパス
に属するスタンダードセルの駆動能力、ネット形状、ス
タンダードセルで駆動する負荷容量を評価し、その評価
結果に基づいて、タイミング制約を満たすことができる
ネットリストに変更する。そしてレイアウト修正工程2
08において、できる限りネットリスト変更前のレイア
ウト形状を保持したまま、変更前のネットと変更後のネ
ットとの差分だけについて、配置と配線との修正を行
う。そのうえで、タイミング解析工程204において再
度タイミング解析を行い、タイミング制約違反がある場
合はECO工程206を繰り返す。
When there is no violation of the timing constraint, a mask forming step 205 for generating a mask of the semiconductor integrated circuit device
Proceeding to the subsequent processing, a semiconductor integrated circuit device is generated. If there is a timing constraint violation, an ECO process is performed in the ECO step 206. More specifically, in the netlist change step 207, the drive capability, net shape, and load capacity driven by the standard cell belonging to the path in which the timing constraint violation has occurred are evaluated, and the timing constraint is determined based on the evaluation result. Change to a netlist that can be satisfied. And layout modification process 2
In step 08, while maintaining the layout shape before the change of the netlist as much as possible, only the difference between the net before the change and the net after the change is corrected in the arrangement and the wiring. Then, the timing analysis is performed again in the timing analysis step 204, and if there is a timing constraint violation, the ECO step 206 is repeated.

【0007】このようにして、小さな設計の手戻りで、
各セルの駆動能力と配線とのバランスのとれたレイアウ
トを得る。
In this way, with a small design rework,
A layout in which the driving capability of each cell and the wiring are balanced is obtained.

【0008】しかしながら、ECOでは、半導体集積回
路装置のマスク形成以降の回路変更に対する修正はでき
ない。
However, the ECO cannot correct a circuit change after the mask is formed in the semiconductor integrated circuit device.

【0009】この点に対処することを目的として、次の
ような半導体集積回路装置のレイアウト設計方法が提案
されている。すなわち、レイアウト設計開始後または半
導体集積回路装置の拡散層のマスク形成中に見つかった
タイミング制約違反や設計変更等を修正するために、回
路設計の段階で、後に生じる可能性のある回路変更を予
測して、回路修正に要する予備のスタンダードセルを配
置工程においてレイアウトに挿入する。回路修正の必要
が生じた際には、挿入した予備のスタンダードセルと配
線だけで回路変更を行う。
To cope with this point, the following layout design method for a semiconductor integrated circuit device has been proposed. That is, in order to correct timing constraint violations, design changes, etc. found after the start of layout design or during the formation of a diffusion layer mask of a semiconductor integrated circuit device, a circuit change that may occur later is predicted at the circuit design stage. Then, spare standard cells required for circuit correction are inserted into the layout in the placement step. When the circuit needs to be modified, the circuit is modified only by the inserted spare standard cells and wiring.

【0010】この予備のスタンダードセルは、一般にリ
ペアセルやボーナスセルと呼ばれる。以後、本明細書で
はこの予備のスタンダードセルをリペアセルと呼ぶ。
[0010] The spare standard cells are generally called repair cells or bonus cells. Hereinafter, in this specification, this spare standard cell is referred to as a repair cell.

【0011】以下、図面を参照しながら、リペアセルを
用いた従来の半導体集積回路装置のレイアウト方法の一
例について説明する。リペアセルを用いた従来の半導体
集積回路装置のレイアウト方法では、リペアセルはネッ
トリストに存在するどのネットとも接続情報を持たな
い。このため、自動配置アルゴリズムではリペアセルの
最適な配置位置を決定することが困難である。そこで、
図3(a)に示すようにリペアセルをレイアウトの左右
両端に並べたり、図3(b)に示すようにリペアセルを
レイアウト全体にランダムに分散して並べたりする等の
配置を行っている。
An example of a layout method of a conventional semiconductor integrated circuit device using a repair cell will be described below with reference to the drawings. In a conventional layout method of a semiconductor integrated circuit device using a repair cell, the repair cell does not have connection information with any net existing in the net list. For this reason, it is difficult for the automatic placement algorithm to determine the optimal placement position of the repair cell. Therefore,
As shown in FIG. 3A, the repair cells are arranged on both right and left ends of the layout, and as shown in FIG. 3B, the repair cells are arranged randomly and arranged in the entire layout.

【0012】リペアセルを挿入することによって、配線
だけで回路を変更できるので、設計の手戻りを小さくで
きる。さらに、半導体集積回路装置の配線層のマスク形
成を行う前の段階までは設計変更が可能であるため、設
計された回路の検証工程及び配線修正工程を、半導体集
積回路装置の拡散層のマスク形成工程と並行して行うこ
とが可能であり、設計時間を短縮できる。
[0012] By inserting the repair cell, the circuit can be changed only by the wiring, so that the rework of the design can be reduced. Further, since the design can be changed up to the stage before forming the mask of the wiring layer of the semiconductor integrated circuit device, the verification process and the wiring correction process of the designed circuit are performed by forming the mask of the diffusion layer of the semiconductor integrated circuit device. This can be performed in parallel with the process, and the design time can be reduced.

【0013】また、半導体集積回路装置の全てのマスク
形成後に回路変更が生じたとしても、配線層のマスクだ
けを再度形成するだけで修正できるので、マスク形成の
費用的な損失を抑えることができる。
Further, even if a circuit change occurs after all the masks of the semiconductor integrated circuit device are formed, the circuit can be corrected only by forming the mask of the wiring layer again, so that the cost loss of mask formation can be suppressed. .

【0014】[0014]

【発明が解決しようとする課題】しかしながら、ECO
では、図4に示すように、回路変更による新たなスタン
ダードセルの追加や、変更前のスタンダードセルよりも
面積の大きなスタンダードセルへの変更等によって、E
COで回路変更を行ったパスやネットの周辺のスタンダ
ードセルの配置位置が動かされる。このため、配置位置
が動かされたスタンダードセルと接続を持つネットの配
線やその周辺の配線の修正を要する。
However, the ECO
Then, as shown in FIG. 4, a new standard cell is added due to a circuit change, or a standard cell having a larger area than the standard cell before the change is used.
The arrangement position of the standard cell around the path or net whose circuit has been changed by the CO is moved. For this reason, it is necessary to correct the wiring of the net having a connection with the standard cell whose arrangement position has been moved and the wiring around the net.

【0015】近年の大規模かつ高集積な半導体集積回路
装置では、回路変更によって大量のスタンダードセルの
配置位置が動かされることによって、配線修正工数が増
大したり、全ての配線修正ができずに配線工程まで戻っ
てレイアウト修正を行うなどの、大幅な設計の手戻りを
要することがある。また、回路変更前はタイミング制約
違反を起こしていなかったパスが、ECOによるスタン
ダードセルの配置位置や配線修正後の配線経路の変更に
よってタイミング制約違反を起こして、ECOの繰り返
しが増大したり、ECOを繰り返すうちに収拾がつかな
くなって回路設計まで戻って変更を行うなどの大幅な設
計の手戻りを要することがある。
In recent large-scale and highly-integrated semiconductor integrated circuit devices, a large number of standard cells are moved due to a circuit change, thereby increasing the number of wiring correction man-hours or performing wiring without all wiring corrections. In some cases, significant design rework such as returning to the process and making layout corrections may be required. In addition, a path that did not cause a timing constraint violation before the circuit change causes a timing constraint violation due to a change in the arrangement position of the standard cell or the wiring path after the wiring is corrected by the ECO, and the repetition of the ECO increases, In some cases, it may become out of control as the process is repeated, and it may be necessary to go back to the circuit design and make a change.

【0016】従来のリペアセルを用いた半導体集積回路
装置のレイアウト設計方法では、パスやネットとリペア
セルの配置との間に依存性がないので、回路変更が生じ
た際に回路変更に必要なリペアセルが必要な場所に存在
せず、リペアセルを挿入しているにも関わらず回路変更
できないことがある。リペアセルを用いたレイアウト設
計方法では、大幅な設計の手戻りによるTATの増大だ
けでなく、設計の手戻りを半導体集積回路装置のマスク
形成と並行して行っている場合には費用的な損失も大き
くなる。この点に関し、様々な機能をもったリペアセル
を大量に挿入することによって、回路修正の可能性を向
上することができるが、その場合は半導体集積回路装置
の面積が増大する。
In a conventional layout design method for a semiconductor integrated circuit device using repair cells, there is no dependency between the path or net and the arrangement of the repair cells. There is a case where the circuit cannot be changed even though the repair cell is not located at a necessary place and a repair cell is inserted. The layout design method using repair cells not only increases the TAT due to significant design rework, but also causes a cost loss when the design rework is performed in parallel with the formation of the mask of the semiconductor integrated circuit device. growing. In this regard, by inserting a large number of repair cells having various functions, the possibility of circuit correction can be improved, but in that case, the area of the semiconductor integrated circuit device increases.

【0017】また、従来のリペアセルを用いた半導体集
積回路装置のレイアウト設計方法では、人手で変更前の
ネットと変更後のネットを比較して、回路変更に必要な
リペアセルをレイアウトから探して変更しなければなら
ない。
In a conventional layout design method of a semiconductor integrated circuit device using repair cells, a net before change and a net after change are manually compared to find a repair cell necessary for circuit change from the layout and change the repair cell. There must be.

【0018】本発明は、上記問題点に鑑み、レイアウト
変更が容易で、設計手戻りが小さく、かつリペアセルに
よる回路変更の可能性の高い、半導体集積回路装置のレ
イアウト設計方法を提供するものである。
The present invention has been made in view of the above problems, and provides a layout design method for a semiconductor integrated circuit device in which a layout change is easy, a design rework is small, and a circuit is likely to be changed by a repair cell. .

【0019】[0019]

【課題を解決するための手段】上記問題点を解決するた
めに、本発明の半導体集積回路装置のレイアウト設計方
法は、配置または概略配線結果から予測される仮想配線
長に基づいてタイミング解析を行い、このタイミング解
析の結果から、タイミング制約を満たさないパスと、タ
イミング制約に近い信号伝達時間のパスと、フリップフ
ロップの信号保持時間に近い信号伝達時間のパスとの少
なくともいずれかを抽出する工程と、抽出したパスにつ
いての違反の原因を解析し、抽出した各パスがそれぞれ
タイミング制約違反やフリップフロップの信号保持時間
違反を起こすと仮定してネットリストの変更を行い、変
更後のネットと変更前のネットとのスタンダードセルの
差分からリペアセルを生成する工程と、生成されたリペ
アセルを変更前のネットに属するセルの近傍に配置する
工程とを含むことを特徴とする。
In order to solve the above problems, a layout design method for a semiconductor integrated circuit device according to the present invention performs a timing analysis based on a virtual wiring length predicted from an arrangement or a schematic wiring result. Extracting, from the result of the timing analysis, at least one of a path that does not satisfy the timing constraint, a path having a signal transmission time close to the timing constraint, and a path having a signal transmission time close to the signal holding time of the flip-flop. Analyze the cause of the violation for the extracted path, and change the netlist assuming that each extracted path causes a timing constraint violation and a flip-flop signal retention time violation. The step of generating a repair cell from the difference between the standard cell and the net of the Characterized in that it comprises a step of disposing in the vicinity of the cell belonging to Tsu and.

【0020】このようにすると、回路修正に必要なセル
が必要な場所に配置されることになるため、レイアウト
変更が容易で、設計手戻りが小さく、かつリペアセルに
よる回路修正の可能性を向上できることになる。
In this way, cells required for circuit correction are arranged at required locations, so that layout change is easy, design rework is small, and the possibility of circuit correction by repair cells can be improved. become.

【0021】[0021]

【発明の実施の形態】請求項1に記載の本発明は、配置
または概略配線結果から予測される仮想配線長に基づい
てタイミング解析を行い、このタイミング解析の結果か
ら、タイミング制約を満たさないパスと、タイミング制
約に近い信号伝達時間のパスと、フリップフロップの信
号保持時間に近い信号伝達時間のパスとの少なくともい
ずれかを抽出する工程と、抽出したパスについての違反
の原因を解析し、抽出した各パスがそれぞれタイミング
制約違反やフリップフロップの信号保持時間違反を起こ
すと仮定してネットリストの変更を行い、変更後のネッ
トと変更前のネットとのスタンダードセルの差分からリ
ペアセルを生成する工程と、生成されたリペアセルを変
更前のネットに属するセルの近傍に配置する工程とを含
むものである。
According to the first aspect of the present invention, a timing analysis is performed based on a virtual wiring length predicted from a result of placement or schematic wiring, and a path not satisfying a timing constraint is determined from the result of the timing analysis. Extracting at least one of a signal transmission time path close to the timing constraint and a signal transmission time path close to the flip-flop signal holding time; analyzing a cause of a violation of the extracted path; Generating a repair cell from a difference between standard nets of a net after change and a net before change, assuming that each of the specified paths causes a timing constraint violation and a signal retention time violation of a flip-flop, respectively. And arranging the generated repair cells near cells belonging to the net before the change.

【0022】このようにすると、回路修正に必要なセル
が必要な場所に配置されることになるため、レイアウト
変更が容易で、設計手戻りが小さく、かつリペアセルに
よる回路修正の可能性を向上できることになる。
In this way, cells required for circuit correction are arranged at required locations, so that layout change is easy, design rework is small, and the possibility of circuit correction by repair cells can be improved. become.

【0023】請求項2に記載の本発明は、リペアセルを
生成する工程において、後に生じる可能性のある設計変
更等の、ネットリストから予測できない回路変更につい
ては、前記後に生じる可能性のある回路変更を予測し
て、回路変更に要するリペアセルの情報を生成するもの
である。
According to a second aspect of the present invention, in the step of generating a repair cell, for a circuit change that cannot be predicted from a netlist, such as a design change that may occur later, the circuit change that may occur later To generate repair cell information required for circuit change.

【0024】このようにすると、タイミング制約の点か
ら問題のあるパスのみならず、ネットリストから予測で
きない回路変更についても、リペアセルを用意すること
ができる。
In this way, repair cells can be prepared not only for paths having problems due to timing constraints, but also for circuit changes that cannot be predicted from the netlist.

【0025】請求項3に記載の本発明は、リペアセルを
生成する工程において、ネットリストから予測できない
回路変更が後に行われる可能性のあるネットの候補があ
る場合に、そのネットの変更を行い、変更後のネットと
変更前のネットとの差分にてリペアセルの情報を生成す
るものである。
According to a third aspect of the present invention, in the step of generating a repair cell, if there is a candidate for a net in which a circuit change that cannot be predicted from a net list may be performed later, the net is changed. The information of the repair cell is generated based on the difference between the net after the change and the net before the change.

【0026】このようにしても、タイミング制約の点か
ら問題のあるパスのみならず、ネットリストから予測で
きない回路変更について、リペアセルを用意することが
できる。
In this case, repair cells can be prepared not only for paths having problems due to timing constraints, but also for circuit changes that cannot be predicted from the netlist.

【0027】請求項4に記載の本発明は、ネットリスト
から回路変更が予測できないネットについては、リペア
セルを、回路レイアウトの端部に配置するか、または回
路全体に均一に分散するように配置するものである。
According to a fourth aspect of the present invention, for a net whose circuit change cannot be predicted from the net list, the repair cells are arranged at the end of the circuit layout or arranged so as to be uniformly distributed over the entire circuit. Things.

【0028】こうすることで、ネットリストから予測で
きない回路変更に対応するものであることから最適な配
置位置を決定しにくいリペアセルをも、好適に配置させ
ることが可能となる。
By doing so, it is possible to appropriately arrange repair cells that are difficult to determine the optimal arrangement position because they correspond to circuit changes that cannot be predicted from the netlist.

【0029】本発明の実施の形態について、図面を参照
しながら説明する。図1は、本発明の実施の形態の半導
体集積回路装置のレイアウト設計方法のフロー図であ
る。
An embodiment of the present invention will be described with reference to the drawings. FIG. 1 is a flowchart of a layout design method for a semiconductor integrated circuit device according to an embodiment of the present invention.

【0030】ネットリスト生成工程101においては、
従来のネットリスト生成工程と同様に、過去のレイアウ
トの配線長情報等に基づいて予測した配線長を用いてネ
ットリストを生成する。次の配置工程102において
は、従来の配置工程と同様に、ネットリスト生成工程1
01で生成したネットリストに従って配置を行う。
In the net list generation step 101,
Similar to the conventional netlist generation step, a netlist is generated using the wiring length predicted based on the wiring length information of the past layout. In the next placement step 102, as in the conventional placement step, the netlist generation step 1
The arrangement is performed according to the netlist generated in step S01.

【0031】次に続く、仮想配線によるタイミング解析
工程103においては、配置工程102の配置結果とネ
ットリストとに基づいて、一般に広く知られる「スタイ
ナツリーモデル」等の配置結果から精度良く配線長を推
定するアルゴリズムから求めた仮想配線長に、リペアセ
ルの挿入によるスタンダードセルの移動等、配線の伸び
を考慮したマージンを含ませた、各ネットの仮想配線長
を求める。そして、求めた仮想配線長を用いてタイミン
グ解析を行い、タイミング制約を満たさないパス、タイ
ミング制約に近い信号伝達時間をもつパス、フリップフ
ロップの信号保持時間に近い信号伝達時間のパスを抽出
する。
In the subsequent timing analysis step 103 using virtual wiring, based on the placement result of the placement step 102 and the netlist, the wiring length can be accurately determined from the placement result of a generally known “Stainer tree model” or the like. The virtual wiring length of each net is obtained by including a margin in consideration of wiring expansion such as the movement of a standard cell due to the insertion of a repair cell, to the virtual wiring length obtained from the algorithm for estimating. Then, timing analysis is performed using the obtained virtual wiring length, and a path that does not satisfy the timing constraint, a path that has a signal transmission time that is close to the timing constraint, and a path that has a signal transmission time that is close to the signal holding time of the flip-flop are extracted.

【0032】次に続くリペアセル生成工程104におい
ては、仮想配線によるタイミング解析工程103で抽出
した各パスがそれぞれタイミング制約を満たさない、ま
たはフリップフロップの信号保持時間を満たさないとし
て、違反が起る原因を解析する。そして、抽出した各パ
スがそれぞれタイミング制約違反やフリップフロップの
信号保持時間違反を改善できるようにネットの変更を行
い、変更後のネットと変更前のネットとのスタンダード
セルの差分を各ネットのリペアセルとして、リペアセル
の情報を生成する。
In the subsequent repair cell generation step 104, the cause of the violation may be determined because each path extracted in the timing analysis step 103 using virtual wiring does not satisfy the timing constraint or does not satisfy the signal holding time of the flip-flop. Is analyzed. Then, the nets are changed so that each extracted path can improve the timing constraint violation and the flip-flop signal holding time violation, and the standard cell difference between the net after the change and the net before the change is repair cell of each net. To generate repair cell information.

【0033】また、リペアセル生成工程104の段階
で、設計変更等の、ネットリストから予測できない後の
回路変更については、従来のリペアセルを用いた半導体
集積回路装置のレイアウト設計方法と同様に、後に生じ
る可能性のある回路変更を予測して、回路変更に要する
リペアセルの情報を生成する。
At the stage of the repair cell generation step 104, a circuit change such as a design change that cannot be predicted from the netlist occurs later, similarly to the conventional layout design method of a semiconductor integrated circuit device using repair cells. By predicting a possible circuit change, information on a repair cell required for the circuit change is generated.

【0034】なお、リペアセル生成工程104の段階
で、ネットリストから予測できない回路変更が後に行わ
れる可能性のあるネットの候補があれば、ネットの変更
を行い、変更後のネットと変更前のネットとの差分を各
ネットのリペアセルとして、リペアセルの情報を生成し
てもよい。
At the stage of the repair cell generation step 104, if there is a candidate for a net in which a circuit change that cannot be predicted from the net list may be made later, the net is changed, and the net after the change and the net before the change are changed. May be used as a repair cell for each net to generate repair cell information.

【0035】次のリペアセル配置工程105において、
リペアセル生成工程104の段階でネットリストから回
路変更が予測できるネットについては、リペアセル生成
工程104で生成したリペアセルを、変更前のネットに
属するスタンダードセルの最大、最小座標をもつ領域内
またはその近傍に配置する。
In the next repair cell arrangement step 105,
For a net whose circuit change can be predicted from the net list at the stage of the repair cell generation step 104, the repair cell generated in the repair cell generation step 104 is placed in or near a region having the maximum and minimum coordinates of the standard cell belonging to the net before the change. Deploy.

【0036】リペアセル生成工程104の段階で、ネッ
トリストから回路変更が予測できないネットについて
は、従来のリペアセルを用いた半導体集積回路装置のレ
イアウト設計方法と同様に、回路レイアウトの端部に配
置したり、回路全体に均一に分散するように配置したり
する。
At the stage of the repair cell generation step 104, a net whose circuit change cannot be predicted from the net list is placed at the end of the circuit layout in the same manner as in the conventional layout design method of a semiconductor integrated circuit device using repair cells. Or evenly distributed throughout the circuit.

【0037】なお、リペアセル生成工程104におい
て、ファンアウト数が多いネットのファンアウト数を分
割するようなリペアセルが生成された場合は、分割され
るスタンダードセルの近傍にリペアセルを配置し、駆動
能力の高いスタンダードセルと置き換えるようなリペア
セルが生成された場合は、置き換えの対象となるスタン
ダードセルの近傍にリペアセルを配置し、ファンアウト
数は小さいがネットに属するスタンダードセルの配置が
分散しているために配置結果から予測されるネットの配
線が長過ぎるので配線を分割するようなリペアセルが生
成された場合は、変更前のネットに属するスタンダード
セルの最大、最小座標をもつ領域の中心部の近傍にリペ
アセルを配置する等、リペアセルの配置位置を更に限定
すれば、後述の配線修正工程109での配線修正を容易
に行うことができる。
If a repair cell is generated in the repair cell generation step 104 to divide the fan-out number of a net having a large fan-out number, the repair cell is arranged near the standard cell to be divided, and the driving capacity is reduced. When a repair cell that replaces a higher standard cell is generated, the repair cell is placed near the standard cell to be replaced, and the number of fanouts is small but the placement of standard cells belonging to the net is dispersed. If a repair cell that divides the wiring is generated because the wiring of the net predicted from the placement result is too long, the repair cell is placed near the center of the area having the maximum and minimum coordinates of the standard cell belonging to the net before change If the placement position of the repair cell is further limited, for example, by placing The wire fixes in positive step 109 can be performed easily.

【0038】次に続く配線工程106では、従来の配線
工程と同様に、ネットリスト生成工程101で生成した
ネットリストに従って配線を行う。
In the subsequent wiring step 106, wiring is performed according to the net list generated in the net list generating step 101, as in the conventional wiring step.

【0039】配線工程106の終了後、半導体集積回路
装置のマスク形成工程107と、配線工程106の結果
に基づいたタイミング解析工程108とを並行して行
う。タイミング解析工程108において、タイミング違
反を起こすパスがなければ、マスク形成工程107へ移
る。タイミング違反を起こすパスがあれば、タイミング
違反を起こしたネットに対して、リペアセル生成工程1
04で挿入したリペアセルの情報と、リペアセル配置工
程105で配置したリペアセルの配置情報とを抽出し
て、配線修正工程109に移る。
After completion of the wiring step 106, a mask forming step 107 of the semiconductor integrated circuit device and a timing analysis step 108 based on the result of the wiring step 106 are performed in parallel. If there is no path that causes a timing violation in the timing analysis step 108, the process proceeds to the mask formation step 107. If there is a path that causes a timing violation, a repair cell generation step 1
The information of the repair cell inserted in 04 and the arrangement information of the repair cell arranged in the repair cell arranging step 105 are extracted, and the process proceeds to the wiring correcting step 109.

【0040】この配線修正工程109では、抽出した回
路変更に必要なリペアセルの情報と、リペアセルの配置
情報とを用いて、配線だけでレイアウトを修正する。そ
してタイミング解析工程108に戻る。
In the wiring correction step 109, the layout is corrected only by the wiring using the extracted repair cell information necessary for the circuit change and the repair cell arrangement information. Then, the process returns to the timing analysis step 108.

【0041】設計変更等の、ネットリストから予測でき
ない回路変更については、従来のリペアセルを用いた半
導体集積回路装置のレイアウト設計方法と同様に、回路
変更に必要なリペアセルを人手で探して変更する。ネッ
トリストから予測できる回路変更に対応して挿入したリ
ペアセルであって、回路変更に使用されなかったもの
は、ネットリストから予測できない回路変更に使用して
もよい。
As for a circuit change that cannot be predicted from the netlist, such as a design change, a repair cell required for the circuit change is manually searched for and changed in the same manner as in a conventional layout design method of a semiconductor integrated circuit device using a repair cell. A repair cell inserted corresponding to a circuit change that can be predicted from the netlist and not used for the circuit change may be used for a circuit change that cannot be predicted from the netlist.

【0042】なお、本実施の形態では、仮想配線による
タイミング解析工程103を配置工程102の後に行っ
たが、概略配線を実施した後にこれを行ってもよい。そ
うすると、概略配線まで行うことで、配置結果から推定
する配線長よりも実際の配線工程106の配線長に近い
仮想配線長を用いたタイミング解析が行えるので、より
最適な機能や駆動能力をもったリペアセルを挿入でき
る。
In the present embodiment, the timing analysis step 103 using virtual wiring is performed after the placement step 102. However, this may be performed after general wiring is performed. Then, by performing the rough wiring, the timing analysis can be performed using the virtual wiring length closer to the wiring length of the actual wiring process 106 than the wiring length estimated from the placement result, so that more optimal functions and driving capabilities are provided. Repair cells can be inserted.

【0043】[0043]

【発明の効果】以上説明したように本発明によれば、配
置または概略配線結果から予測される仮想配線長に基づ
いてタイミング解析を行い、タイミング制約を満たさな
いパスと、タイミング制約に近い信号伝達時間のパス
と、フリップフロップの信号保持時間に近い信号伝達時
間のパスとに対して、各パスが違反を起こすと仮定して
ネットリストの変更を行い、変更後のネットと変更前の
ネットとの差分をリペアセルとして、変更前のネットに
属するセルの近傍に配置することで、回路修正に必要な
セルを必要な場所に配置させることができ、したがって
レイアウト変更を容易に行うことができ、設計手戻りを
小さくすることができ、かつリペアセルによる回路修正
の可能性を向上できる。また、タイミング修正に必要と
なるセルを必要な場所に必要な数だけ配置できるため、
回路に挿入するリペアセルの数を低減できる。
As described above, according to the present invention, a timing analysis is performed based on a virtual wiring length predicted from a result of placement or schematic wiring, and a path that does not satisfy the timing constraint and a signal transmission close to the timing constraint are performed. For the time path and the signal transmission time path close to the signal holding time of the flip-flop, the netlist is changed assuming that each path causes a violation, and the net after the change and the net before the change are changed. By placing the difference as a repair cell in the vicinity of the cell belonging to the net before the change, the cell necessary for circuit correction can be placed at a necessary place, and therefore, the layout can be easily changed and the design can be easily changed. Rework can be reduced, and the possibility of circuit repair by a repair cell can be improved. Also, you can place as many cells as you need for timing correction where you need them,
The number of repair cells inserted into the circuit can be reduced.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施の形態の半導体集積回路装置のレ
イアウト設計方法のフロー図である。
FIG. 1 is a flowchart of a layout design method for a semiconductor integrated circuit device according to an embodiment of the present invention.

【図2】ECOを用いた従来の半導体集積回路装置のレ
イアウト設計方法のフロー図である。
FIG. 2 is a flowchart of a conventional layout design method for a semiconductor integrated circuit device using an ECO.

【図3】従来のリペアセルの配置方法を説明するための
図である。
FIG. 3 is a diagram for explaining a conventional repair cell arrangement method.

【図4】ECOを用いた従来の半導体集積回路装置のレ
イアウト設計の課題を説明するための図である。
FIG. 4 is a diagram for explaining a problem of a layout design of a conventional semiconductor integrated circuit device using an ECO.

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 半導体集積回路装置のレイアウト設計方
法であって、 配置または概略配線結果から予測される仮想配線長に基
づいてタイミング解析を行い、このタイミング解析の結
果から、タイミング制約を満たさないパスと、タイミン
グ制約に近い信号伝達時間のパスと、フリップフロップ
の信号保持時間に近い信号伝達時間のパスとの少なくと
もいずれかを抽出する工程と、 抽出したパスについての違反の原因を解析し、抽出した
各パスがそれぞれタイミング制約違反やフリップフロッ
プの信号保持時間違反を起こすと仮定してネットリスト
の変更を行い、変更後のネットと変更前のネットとのス
タンダードセルの差分からリペアセルを生成する工程
と、 生成されたリペアセルを変更前のネットに属するセルの
近傍に配置する工程とを含むことを特徴とする半導体集
積回路装置のレイアウト設計方法。
1. A layout design method for a semiconductor integrated circuit device, comprising: performing a timing analysis based on a virtual wiring length predicted from an arrangement or a schematic wiring result; and determining a path not satisfying a timing constraint from the result of the timing analysis. And extracting at least one of a signal transmission time path close to the timing constraint and a signal transmission time path close to the flip-flop signal holding time. Analyzing the cause of the violation of the extracted path and extracting Generating a repair cell from a difference between standard nets of a net after change and a net before change, assuming that each of the specified paths causes a timing constraint violation and a signal retention time violation of a flip-flop, respectively. And arranging the generated repair cells near cells belonging to the net before the change. A layout design method for a semiconductor integrated circuit device.
【請求項2】 リペアセルを生成する工程において、後
に生じる可能性のある設計変更等の、ネットリストから
予測できない回路変更については、前記後に生じる可能
性のある回路変更を予測して、回路変更に要するリペア
セルの情報を生成することを特徴とする請求項1記載の
半導体集積回路装置のレイアウト設計方法。
2. A circuit change that cannot be predicted from a netlist, such as a design change that may occur later, in the step of generating a repair cell. 2. The layout design method for a semiconductor integrated circuit device according to claim 1, wherein information on a required repair cell is generated.
【請求項3】 リペアセルを生成する工程において、ネ
ットリストから予測できない回路変更が後に行われる可
能性のあるネットの候補がある場合に、そのネットの変
更を行い、変更後のネットと変更前のネットとの差分に
てリペアセルの情報を生成することを特徴とする請求項
1記載の半導体集積回路装置のレイアウト設計方法。
3. In the step of generating a repair cell, if there is a candidate for a net in which a circuit change that cannot be predicted from a net list may be made later, the net is changed, and the net after the change and the net before the change are changed. 2. The layout design method for a semiconductor integrated circuit device according to claim 1, wherein the repair cell information is generated based on a difference from the net.
【請求項4】 ネットリストから回路変更が予測できな
いネットについては、リペアセルを、回路レイアウトの
端部に配置するか、または回路全体に均一に分散するよ
うに配置することを特徴とする請求項2または3記載の
半導体集積回路装置のレイアウト設計方法。
4. The repair cell for a net whose circuit change cannot be predicted from the net list is arranged at an end of a circuit layout or arranged so as to be uniformly distributed throughout the circuit. Or a layout design method for a semiconductor integrated circuit device according to item 3.
JP10327362A 1998-11-18 1998-11-18 Method for designing layout of semiconductor integrated circuit device Pending JP2000150659A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10327362A JP2000150659A (en) 1998-11-18 1998-11-18 Method for designing layout of semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10327362A JP2000150659A (en) 1998-11-18 1998-11-18 Method for designing layout of semiconductor integrated circuit device

Publications (1)

Publication Number Publication Date
JP2000150659A true JP2000150659A (en) 2000-05-30

Family

ID=18198307

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10327362A Pending JP2000150659A (en) 1998-11-18 1998-11-18 Method for designing layout of semiconductor integrated circuit device

Country Status (1)

Country Link
JP (1) JP2000150659A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008108263A (en) * 2007-11-14 2008-05-08 Brother Ind Ltd Electronic equipment
US7383523B2 (en) 2004-09-30 2008-06-03 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit
US9823298B2 (en) * 2015-08-12 2017-11-21 Arm Limited Critical path architect
CN115983170A (en) * 2023-03-17 2023-04-18 中国人民解放军国防科技大学 Advanced and backward simulation method, device and equipment for very large scale integrated circuit

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7383523B2 (en) 2004-09-30 2008-06-03 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit
JP2008108263A (en) * 2007-11-14 2008-05-08 Brother Ind Ltd Electronic equipment
US9823298B2 (en) * 2015-08-12 2017-11-21 Arm Limited Critical path architect
US20180074116A1 (en) * 2015-08-12 2018-03-15 Arm Limited Critical Path Architect
US10641822B2 (en) * 2015-08-12 2020-05-05 Arm Limited Critical path architect
CN115983170A (en) * 2023-03-17 2023-04-18 中国人民解放军国防科技大学 Advanced and backward simulation method, device and equipment for very large scale integrated circuit
CN115983170B (en) * 2023-03-17 2023-06-16 中国人民解放军国防科技大学 Advanced simulation method, device and equipment for very large scale integrated circuit

Similar Documents

Publication Publication Date Title
US8015522B2 (en) System for implementing post-silicon IC design changes
Van Eijk Sequential equivalence checking based on structural similarities
US8037428B2 (en) Method and system for post-routing lithography-hotspot correction of a layout
US6026228A (en) Integrated circuit design method, database apparatus for designing integrated circuit and integrated circuit design support apparatus
US11392741B2 (en) Computer implemented system and method for generating a layout of a cell defining a circuit component
US5751596A (en) Automated system and method for identifying critical timing paths in integrated circuit layouts for use with automated circuit layout system
CN109376467B (en) Clock tree layout flow method and clock tree deviation compensation device in integrated circuit
US8719746B1 (en) Reduction of metal fill insertion time in integrated circuit design process
JP2006301961A (en) Automatic floor planning technique for semiconductor integrated circuit
US20110023000A1 (en) Generating integrated circuit floorplan layouts
US8166432B2 (en) Timing verification method and timing verification apparatus
JP2001357090A (en) Method and device for synthesizing logic
US10891411B2 (en) Hierarchy-driven logical and physical synthesis co-optimization
US20080307374A1 (en) Method, system, and computer program product for mapping a logical design onto an integrated circuit with slack apportionment
JP2010257164A (en) Design method of semiconductor integrated circuit device, and program
US11983476B2 (en) Technology-independent line end routing
US7168057B2 (en) Targeted optimization of buffer-tree logic
JP2000150659A (en) Method for designing layout of semiconductor integrated circuit device
US8132141B2 (en) Method and apparatus for generating a centerline connectivity representation
US8181143B2 (en) Method and apparatus for generating a memory-efficient representation of routing data
Kim et al. Concurrent transistor sizing and buffer insertion by considering cost-delay tradeoffs
JP4587754B2 (en) Clock synthesis method, semiconductor device, and program
JPH09218888A (en) Hold time error eliminating system
JPH06310601A (en) Layout design method
JP3652220B2 (en) Logic circuit verification device, logic circuit verification method, and recording medium storing logic circuit verification program