JP2000349156A - Layout designing method and device of semiconductor integrated circuit - Google Patents

Layout designing method and device of semiconductor integrated circuit

Info

Publication number
JP2000349156A
JP2000349156A JP11154572A JP15457299A JP2000349156A JP 2000349156 A JP2000349156 A JP 2000349156A JP 11154572 A JP11154572 A JP 11154572A JP 15457299 A JP15457299 A JP 15457299A JP 2000349156 A JP2000349156 A JP 2000349156A
Authority
JP
Japan
Prior art keywords
wiring
area
block
region
functional blocks
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11154572A
Other languages
Japanese (ja)
Inventor
Yoichi Matsumura
陽一 松村
Takuya Yasui
卓也 安井
Masahiko Toyonaga
昌彦 豊永
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP11154572A priority Critical patent/JP2000349156A/en
Publication of JP2000349156A publication Critical patent/JP2000349156A/en
Pending legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To enable the width of a wiring region between blocks to be accurately estimated in a short time in a layout design method where a block build-up system is used. SOLUTION: In this layout designing method, functional blocks 21A to 21E and input/output blocks 26A and 26D are arranged separate from each other by a certain space in an arrangement region 10, and a wiring grid region 31 composed of regions sandwiched in between a pair of adjacent tracks or columns is formed in wiring regions which are each located between the blocks. By the use of a labyrinth method where the wiring grid region 31 is considered as a wiring region, a wiring route in a wiring region between the blocks is searched. At this point, resting on circuit connection data, tracks occupied by wirings or vias passing through the unit wiring regions 31a of the wiring grid regions 31 are counted and held for each of the unit wiring counting regions 32a of wiring counting regions 32 corresponding to the wiring grid regions 31. By the use of the above discrete values, the width of a wiring region required for a wiring region between blocks can be estimated.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、ブロック組み上げ
(ビルディングブロック)方式を用いる半導体集積回路
のレイアウト設計方法及びそのレイアウト設計装置に関
する。
[0001] 1. Field of the Invention [0002] The present invention relates to a layout design method and a layout design apparatus for a semiconductor integrated circuit using a block building (building block) system.

【0002】[0002]

【従来技術】半導体集積回路装置における一層の高集積
化により、大規模で且つ高速動作が可能な半導体集積回
路装置が開発されてきている。従って、高度に集積化さ
れた半導体集積回路装置を設計するには、部分回路であ
る複数の機能ブロックを階層構造として作成しておき、
これらの機能ブロックを所定のブロック配置領域に配置
し、ブロック同士を配線により互いに接続するというブ
ロックレベルレイアウト設計方法が必須となってきてい
る。従来、ブロックの配置位置又は電源配線経路を決定
するには、以下に示すような種々の方法が採用されてい
る。
2. Description of the Related Art As the degree of integration of a semiconductor integrated circuit device is further increased, a large-scale and high-speed semiconductor integrated circuit device has been developed. Therefore, in order to design a highly integrated semiconductor integrated circuit device, a plurality of functional blocks as partial circuits are created in a hierarchical structure,
A block-level layout design method in which these functional blocks are arranged in a predetermined block arrangement area and the blocks are connected to each other by wiring has become essential. Conventionally, in order to determine the arrangement position of a block or a power supply wiring path, various methods described below are employed.

【0003】第1の従来方法は、チャネル配線方式に基
づいたブロックレベルレイアウト設計方法であって、各
ブロック間のチャネル配線を実施し、このチャネル配線
の結果に基づいて各ブロックの位置を決定し、これらの
処理を繰り返すことにより、ブロックの配置位置と配線
結果とを得る方法である。
A first conventional method is a block-level layout design method based on a channel wiring system, in which channel wiring is performed between blocks, and the position of each block is determined based on the result of the channel wiring. By repeating these processes, a block arrangement position and a wiring result are obtained.

【0004】第2の従来方法は、チップ上の素子形成領
域を複数の部分領域に区画して、区画された部分領域ご
とに迷路法を用いて配線を行なう方法である。
A second conventional method is a method in which an element formation region on a chip is divided into a plurality of partial regions, and wiring is performed for each of the divided partial regions by using a maze method.

【0005】第1の従来方法によると、チャネル領域
は、各ブロックが移動されても配線結果によって必然的
に確保される。しかしながら、チップの規模が増大する
のに伴って、ブロック数、端子数及び配線数がそれぞれ
増大するため、レイアウト設計装置が必要とするハード
ウェア資源、とりわけメモリ容量、及び処理時間が膨大
となり、設計期間の長期化の原因になってきている。こ
れを解決する方法として、第2の従来方法が挙げられ
る。素子形成領域を区画することにより、メモリ領域及
び処理時間を削減できるからである。実際に、現状のレ
イアウトツールの多くは、第2の従来方法の構成を採っ
ている。ここで、第2の従来方法は、規制された部分領
域内で配線経路を見出すという手法であるため、十分な
配線領域が確保されていない場合には、すべての配線の
接続が完全に実施されるかが保証されない。逆に、配線
領域を余分に取ると、配線は保証される代わりにチップ
面積が増大するため、製造コストの増大を招く。これを
回避するためには、配線領域を必要で且つ最小限の大き
さに見積もることができる配線領域の見積もり技術が不
可欠である。
According to the first conventional method, the channel region is necessarily secured by the wiring result even if each block is moved. However, as the size of the chip increases, the number of blocks, the number of terminals, and the number of wirings also increase, respectively, so that the hardware resources required by the layout design device, especially the memory capacity, and the processing time become enormous, and It is causing the prolongation of the period. As a method for solving this, there is a second conventional method. This is because the memory region and the processing time can be reduced by partitioning the element formation region. In fact, most of the current layout tools adopt the configuration of the second conventional method. Here, the second conventional method is a method of finding a wiring route in a restricted partial area. Therefore, if a sufficient wiring area is not secured, connection of all wirings is completely performed. Is not guaranteed. Conversely, if an extra wiring area is taken, the wiring is not guaranteed, but the chip area increases, which leads to an increase in manufacturing cost. In order to avoid this, a technique for estimating the wiring area that can estimate the wiring area to a necessary and minimum size is indispensable.

【0006】第3の従来方法として、ブロック及び配線
領域をそれぞれグラフの点で表示し、接続配線がグラフ
の点を通過する通過数を計数することにより、配線領域
幅を見積もるという見積もり方法がある。しかしなが
ら、前記第3の従来方法は、異なる配線層間の配線を接
続するヴィア若しくは信号ごとの配線幅の変化等の信号
線特性、又はブロックの端子位置等を反映した高精度な
配線領域幅を見積もることはできない。
As a third conventional method, there is an estimation method of estimating a wiring region width by displaying blocks and wiring regions by points on a graph, and counting the number of passing connection wires passing through the points on the graph. . However, the third conventional method estimates a signal line characteristic such as a change in wiring width for each via or signal connecting wiring between different wiring layers, or a highly accurate wiring region width reflecting a terminal position of a block or the like. It is not possible.

【0007】[0007]

【発明が解決しようとする課題】さらに、前記従来の各
レイアウト設計方法は、配線結果や配線領域幅に基づい
てブロックの配置位置を変更する際に、設計者が各ブロ
ックの配置位置の変更を検討する必要があり、その上、
設計者に提供されるデータは、配線領域の混雑度程度で
あるため、レイアウト設計における熟練技術者による検
討が不可欠である。従って、電源配線のレイアウト設計
においては、信号線も含めて試行錯誤的に決定する以外
に方法がなく、レイアウト設計期間の工数が増大してし
まうという問題がある。
Further, in each of the above conventional layout design methods, when changing the arrangement position of a block based on a wiring result or a wiring area width, a designer must change the arrangement position of each block. Need to be considered,
Since the data provided to the designer is about the degree of congestion of the wiring area, examination by a skilled engineer in layout design is essential. Therefore, in the layout design of the power supply wiring, there is no other method than the determination by trial and error including the signal lines, and there is a problem that the number of steps in the layout design period increases.

【0008】本発明は、前記従来の問題に鑑み、ブロッ
ク組み上げ方式を用いるレイアウト設計方法において、
ブロック間の配線領域幅の見積もりを短期間で且つ高精
度に行なえるようにすることを目的とする。
The present invention has been made in view of the above-mentioned conventional problems, and provides a layout design method using a block assembling method.
It is an object of the present invention to be able to estimate a wiring region width between blocks in a short period of time and with high accuracy.

【0009】[0009]

【課題を解決するための手段】前記の目的を達成するた
め、本発明は、半導体集積回路のレイアウト設計方法
を、 (1)配置領域を互いに交差する第1基準(格子)線及
び第2基準(格子)線により格子状に区画しておき、区
画された配置領域上に複数の機能ブロックを仮配置す
る。 (2)仮配置された機能ブロック同士の間の配線領域で
あって、第1基準線が延びる方向の領域からは、互いに
隣接する1対の第1基準線に挟まれ且つ1対の第2基準
線ごとに区画された第1の配線グリッド領域を抽出し、
第2基準線が延びる方向の領域からは、互いに隣接する
1対の第2基準線に挟まれ且つ1対の第1基準線ごとに
区画された第2の配線グリッド領域を抽出する。 (3)配線を第1及び第2の配線グリッド領域ごとに探
索し、探索された配線が配線グリッド領域内の第1基準
線又は第2基準線を占有する配線占有数を取得する。と
いう構成とする。
In order to achieve the above object, the present invention provides a layout design method for a semiconductor integrated circuit, comprising: (1) a first reference (grid) line and a second reference A (lattice) line is divided into a lattice shape, and a plurality of functional blocks are temporarily arranged on the divided arrangement area. (2) A wiring area between the tentatively arranged functional blocks, in a direction in which the first reference line extends, is sandwiched between a pair of adjacent first reference lines and a pair of second reference lines. Extracting a first wiring grid area defined for each reference line,
From the region in the direction in which the second reference line extends, a second wiring grid region sandwiched between a pair of second reference lines adjacent to each other and partitioned for each pair of first reference lines is extracted. (3) Wiring is searched for each of the first and second wiring grid areas, and the number of occupied wirings in which the searched wiring occupies the first reference line or the second reference line in the wiring grid area is obtained. The configuration is as follows.

【0010】具体的に、本発明に係る半導体集積回路の
レイアウト設計方法は、それぞれが所定間隔をおいて平
行に延び且つ互いに交差する複数の第1基準線及び複数
の第2基準線により格子状に区画された配置領域上に、
半導体集積回路を構成する複数の機能ブロックをそれぞ
れ配置し、配置された機能ブロック同士の間に形成され
る配線領域に敷設される配線に必要なブロック間配線領
域幅を推定するレイアウト設計方法を対象とし、配置領
域に、複数の機能ブロックを互いに間隔をおき且つ第1
基準線又は第2基準線に沿って仮に配置する機能ブロッ
ク仮配置工程と、配線領域における第1基準線が延びる
方向の領域から、互いに隣接する1対の第1基準線に挟
まれ且つ1対の第2基準線ごとに区画された複数の第1
の単位配線領域からなる第1の配線グリッド領域を抽出
する第1の配線グリッド領域抽出工程と、配線領域にお
ける第2基準線が延びる方向の領域から、互いに隣接す
る1対の第2基準線に挟まれ且つ1対の第1基準線ごと
に区画された複数の第2の単位配線領域からなる第2の
配線グリッド領域を抽出する第2の配線グリッド領域抽
出工程と、第1の配線グリッド領域に対して、回路接続
情報に基づいて配線を探索し、探索された配線が第1の
単位配線領域と対応する機能ブロック同士の間で第1基
準線を占有する占有数である第1の配線占有数を取得す
る第1の配線占有数取得工程と、第2の配線グリッド領
域に対して、回路接続情報に基づいて配線を探索し、探
索された配線が第2の単位配線領域と対応する機能ブロ
ック同士の間で第2基準線を占有する占有数である第2
の配線占有数を取得する第2の配線占有数取得工程と、
第1の配線占有数及び第2の配線占有数に基づいて、各
機能ブロック間のブロック間配線領域幅を推定するブロ
ック間配線領域幅推定工程とを備えている。
More specifically, a layout design method for a semiconductor integrated circuit according to the present invention comprises a plurality of first reference lines and a plurality of second reference lines which extend in parallel at predetermined intervals and intersect with each other in a grid pattern. On the placement area partitioned into
A layout design method for arranging a plurality of functional blocks constituting a semiconductor integrated circuit and estimating an inter-block wiring area width required for wiring laid in a wiring area formed between the arranged functional blocks. In the arrangement area, a plurality of functional blocks are spaced from each other and
A step of temporarily arranging the functional blocks along the reference line or the second reference line, and a step of temporarily interposing a pair of the first reference lines adjacent to each other from a region in the wiring region in the direction in which the first reference line extends. A plurality of the first divided by the second reference line
A first wiring grid region extracting step of extracting a first wiring grid region composed of unit wiring regions, and a step of extending a second reference line in the wiring region to a pair of second reference lines adjacent to each other. A second wiring grid area extracting step of extracting a second wiring grid area composed of a plurality of second unit wiring areas sandwiched and partitioned for each pair of first reference lines; and a first wiring grid area. For the first wiring, which is the number of occupied lines occupying the first reference line between the function blocks corresponding to the first unit wiring area and the searched wiring, based on the circuit connection information. A first wiring occupancy number obtaining step of obtaining an occupation number; and searching for wiring in the second wiring grid area based on the circuit connection information, and the searched wiring corresponds to the second unit wiring area. No. between functional blocks The second is a occupation numbers occupying the reference line
A second wiring occupation number acquiring step of acquiring the wiring occupying number of
An inter-block wiring area width estimating step of estimating an inter-block wiring area width between each functional block based on the first occupied number of wirings and the second occupied number of wirings.

【0011】本発明の半導体集積回路のレイアウト設計
方法によると、機能ブロックが仮配置された配線領域に
互いに隣接する1対の第1基準線又は第2基準線に挟ま
れてなる配線グリッド領域を抽出しておき、配線グリッ
ド領域ごとに配線を回路接続情報に基づいて探索し、探
索された配線が配線グリッド領域内の第1基準線又は第
2基準線を占有する数である配線占有数を取得する。こ
れにより、配線を探索する領域が、ブロック間の配線領
域のうちの互いに隣接する第1基準線又は第2基準線に
挟まれてなる配線グリッド領域のみであるため、例え
ば、迷路法を用いたとしても短時間で配線の探索を行な
える。さらに、探索された配線が配線グリッド領域内の
第1基準線又は第2基準線を占有する配線占有数を取得
してこれを用いるため、ブロック間同士の間に必要な配
線領域幅を確実に推定(見積もり)できる。
According to the semiconductor integrated circuit layout designing method of the present invention, a wiring grid region sandwiched between a pair of first reference lines or second reference lines adjacent to each other in a wiring region where functional blocks are temporarily arranged is provided. A wiring is searched for each wiring grid area based on the circuit connection information, and the number of occupied wirings, which is the number of occupied first or second reference lines in the wiring grid area, is extracted. get. As a result, the wiring search area is only the wiring grid area sandwiched between the first and second reference lines adjacent to each other among the wiring areas between the blocks. For example, the maze method is used. It is possible to search for wiring in a short time. Further, since the number of occupied wirings in which the searched wiring occupies the first reference line or the second reference line in the wiring grid area is obtained and used, the necessary wiring area width between the blocks is reliably determined. Can be estimated (estimated).

【0012】本発明の半導体集積回路のレイアウト設計
方法において、半導体集積回路が互いに重なる複数の配
線層を有しており、第1の配線占有数取得工程とブロッ
ク間配線領域幅推定工程との間に、第1の配線グリッド
領域に対して、回路接続情報に基づいて複数の配線層に
おける一の配線層と他の配線層とを接続するヴィアを探
索し、探索されたヴィアが第1の単位配線領域と対応す
る機能ブロック同士の間で第1基準線を占有する占有数
である第1のヴィア占有数を取得する第1のヴィア占有
数取得工程と、第2の配線グリッド領域に対して、回路
接続情報に基づいて複数の配線層における一の配線層と
他の配線層とを接続するヴィアを探索し、探索されたヴ
ィアが第2の単位配線領域と対応する機能ブロック同士
の間で第2基準線を占有する占有数である第2のヴィア
占有数を取得する第2のヴィア占有数取得工程とをさら
に備え、ブロック間配線領域幅推定工程が、第1の配線
占有数、第2の配線占有数、第1のヴィア占有数及び第
2のヴィア占有数に基づいて、ブロック間配線領域幅を
推定する工程を含むことが好ましい。
In the layout design method for a semiconductor integrated circuit according to the present invention, the semiconductor integrated circuit has a plurality of wiring layers overlapping each other, and is provided between the first wiring occupation number obtaining step and the inter-block wiring area width estimating step. In the first wiring grid area, a via that connects one wiring layer to another wiring layer in a plurality of wiring layers is searched for based on the circuit connection information, and the searched via is a first unit. A first via occupation number acquiring step of acquiring a first via occupancy number which is an occupation number occupying the first reference line between the wiring area and the corresponding functional block; Searching for a via connecting one wiring layer and another wiring layer in a plurality of wiring layers based on the circuit connection information, and searching for the via between the functional blocks corresponding to the second unit wiring area. 2nd reference line A second via occupancy number acquiring step of acquiring a second via occupancy number that is an occupied occupancy number, wherein the inter-block wiring area width estimating step includes a first wiring occupancy number and a second wiring occupancy number. It is preferable to include a step of estimating an inter-block wiring area width based on the first via occupation number and the second via occupation number.

【0013】本発明の半導体集積回路のレイアウト設計
方法が、ブロック間配線領域幅推定工程よりも後に、各
機能ブロックに対して、推定されたブロック間配線領域
幅を満たす移動方向を推定する移動方向推定工程をさら
に備えていることが好ましい。このようにすると、配置
領域に仮に配置された複数の機能ブロックが、各機能ブ
ロック同士の間の配線領域に必要な間隔を持つように再
配置することが容易になる。なお、この再配置処理は人
手でもよく機械化してもよい。
In the semiconductor integrated circuit layout designing method according to the present invention, a moving direction for estimating a moving direction that satisfies the estimated inter-block wiring region width is provided for each functional block after the inter-block wiring region width estimating step. Preferably, the method further includes an estimation step. This makes it easy to rearrange the plurality of functional blocks temporarily arranged in the arrangement area so as to have a necessary interval in the wiring area between the functional blocks. Note that this rearrangement process may be performed manually or may be mechanized.

【0014】この場合に、移動方向推定工程が、複数の
機能ブロックのうちのいずれかを、第1基準線又は第2
基準線が延びる方向に該基準線の間隔だけ移動させるこ
とにより、複数の機能ブロックに対して、推定されたブ
ロック間配線領域幅を満たす移動方向のうち配線領域の
面積が小さくなる移動方向を推定する工程を含むことが
好ましい。このようにすると、配置領域に仮に配置され
た複数の機能ブロックが、各機能ブロック同士の間の配
線領域に必要で且つ最小限の間隔を持つように再配置す
ることが容易となる。ここでも、再配置処理は人手で行
なうか機械で行なうかは問わない。
[0014] In this case, the moving direction estimating step may include any one of the plurality of functional blocks as a first reference line or a second reference line.
By moving the reference line in the direction in which the reference line extends, by estimating the movement direction in which the area of the wiring region becomes smaller among the movement directions satisfying the estimated inter-block wiring region width for a plurality of functional blocks. It is preferable to include the step of performing. In this way, it becomes easy to relocate the plurality of functional blocks temporarily arranged in the arrangement area so as to have a necessary and minimum interval in the wiring area between the functional blocks. Again, it does not matter whether the relocation processing is performed manually or by a machine.

【0015】本発明に係る半導体集積回路のレイアウト
設計装置は、それぞれが所定間隔をおいて平行に延び且
つ互いに交差する複数の第1基準線及び複数の第2基準
線により格子状に区画された配置領域上に、複数の機能
ブロックからなる半導体集積回路における複数の機能ブ
ロックをそれぞれ配置し、配置された機能ブロック同士
の間に形成される配線領域の領域面積を最適化する半導
体集積回路のレイアウト設計装置を対象とし、配置領域
上における複数の機能ブロックが互いに間隔をおいて仮
に配置されて形成される配線領域における第1基準線が
延びる方向の領域から、互いに隣接する1対の第1基準
線に挟まれ且つ1対の第2基準線ごとに区画された複数
の第1の単位配線領域からなる第1の配線グリッド領域
を抽出すると共に、配線領域における第2基準線が延び
る方向の領域から、互いに隣接する1対の第2基準線に
挟まれ且つ1対の第1基準線ごとに区画された複数の第
2の単位配線領域からなる第2の配線グリッド領域を抽
出する配線グリッド領域抽出手段と、第1の配線グリッ
ド領域に対して、回路接続情報に基づいて配線を探索
し、探索された配線が第1の単位配線領域と対応する機
能ブロック同士の間で第1基準線を占有する占有数であ
る第1の配線占有数を取得すると共に、第2の配線グリ
ッド領域に対して、回路接続情報に基づいて配線を探索
し、探索された配線が第2の単位配線領域と対応する機
能ブロック同士の間で第2基準線を占有する占有数であ
る第2の配線占有数を取得し、取得した第1の配線占有
数及び第2の配線占有数を保持する配線占有数保持手段
と、第1の配線グリッド領域に対して、回路接続情報に
基づいて複数の配線層における一の配線層と他の配線層
とを接続するヴィアを探索し、探索されたヴィアが第1
の単位配線領域と対応する機能ブロック同士の間で第1
基準線を占有する占有数である第1のヴィア占有数を取
得すると共に、第2の配線グリッド領域に対して、回路
接続情報に基づいて複数の配線層における一の配線層と
他の配線層とを接続するヴィアを探索し、探索されたヴ
ィアが第2の単位配線領域と対応する機能ブロック同士
の間で第2基準線を占有する占有数である第2のヴィア
占有数を取得し、取得した第1のヴィア占有数及び第2
のヴィア占有数を保持するヴィア占有数保持手段と、第
1の配線占有数、第2の配線占有、第1のヴィア占有数
及び第2のヴィア占有数に基づいて、各機能ブロック間
のブロック間配線領域幅を推定するブロック間配線領域
幅推定手段と、配置領域に仮に配置された複数の機能ブ
ロックを、推定されたブロック間配線領域幅を満たし且
つ配線領域の面積が小さくなるように再配置することに
より、配線領域の領域面積を最適化する配線領域最適化
手段とを備えている。
In the layout design apparatus for a semiconductor integrated circuit according to the present invention, each of the plurality of first reference lines and the plurality of second reference lines extending in parallel at predetermined intervals and intersecting with each other is partitioned in a grid pattern. A layout of a semiconductor integrated circuit in which a plurality of functional blocks in a semiconductor integrated circuit including a plurality of functional blocks are respectively arranged on an arrangement region, and a region area of a wiring region formed between the arranged functional blocks is optimized. A plurality of functional blocks on an arrangement area are temporarily arranged at intervals from one another in a wiring area, which is directed to a design apparatus, from a region extending in a direction in which a first reference line extends, to a pair of adjacent first reference lines. Extracting a first wiring grid area composed of a plurality of first unit wiring areas sandwiched between lines and partitioned for each pair of second reference lines; A plurality of second unit wiring regions sandwiched between a pair of second reference lines adjacent to each other and partitioned for each pair of the first reference lines from a region in the direction in which the second reference line extends in the wiring region. A wiring grid area extracting means for extracting a second wiring grid area; and a wiring search for the first wiring grid area based on the circuit connection information, wherein the searched wiring corresponds to the first unit wiring area. A first wiring occupancy number, which is an occupation number occupying the first reference line between the functional blocks to be executed, and searching for a wiring in the second wiring grid area based on the circuit connection information; The second number of occupied wirings, which is the number of occupied lines occupying the second reference line between the function blocks corresponding to the second unit wiring area and the searched wiring, is acquired, and the acquired first occupied number of wirings and An arrangement for retaining the second wiring occupancy number The occupation number holding unit and the first wiring grid area are searched for vias connecting one wiring layer and another wiring layer in a plurality of wiring layers based on the circuit connection information. First
Between the functional blocks corresponding to the unit wiring area of
The first via occupation number, which is the occupation number occupying the reference line, is obtained, and one wiring layer and another wiring layer in a plurality of wiring layers are provided for the second wiring grid area based on the circuit connection information. And a second via occupancy number that is the number of occupied occupied second reference lines between the function blocks corresponding to the second unit wiring area and the searched via is obtained. Acquired first via occupancy and second
Via occupying number holding means for holding the via occupying number, and a block between each functional block based on the first wiring occupying number, the second wiring occupying number, the first via occupying number, and the second via occupying number. An inter-block wiring area width estimating means for estimating an inter-wiring area width; and re-evaluating a plurality of functional blocks tentatively arranged in the arrangement area so as to satisfy the estimated inter-block wiring area width and reduce the area of the wiring area. A wiring area optimizing means for optimizing the area of the wiring area by arranging the wiring area;

【0016】本発明の半導体集積回路のレイアウト設計
装置によると、機能ブロック間の配線領域のブロック間
配線領域幅を最適化できるため、結果的に、機能ブロッ
クのコンパクションをも行なえる。
According to the layout design apparatus for a semiconductor integrated circuit of the present invention, the width of the wiring area between blocks in the wiring area between the functional blocks can be optimized, and consequently, the compaction of the functional blocks can be performed.

【0017】本発明の半導体集積回路のレイアウト設計
装置が、配置領域に仮に配置された複数の機能ブロック
の配置位置と、機能ブロックごとに配線領域が最適化さ
れるブロックの移動方向とを表示する表示手段をさらに
備えていることが好ましい。このようにすると、仮配置
された機能ブロックの再配置を人手で行なう場合には、
機能ブロックの必要な移動方向を外部から確認できる。
A layout design apparatus for a semiconductor integrated circuit according to the present invention displays an arrangement position of a plurality of functional blocks temporarily arranged in an arrangement area and a moving direction of a block in which a wiring area is optimized for each functional block. It is preferable that a display unit is further provided. In this way, when relocating the temporarily arranged functional blocks by hand,
The necessary movement direction of the function block can be confirmed from outside.

【0018】本発明の半導体集積回路のレイアウト設計
装置が、機能ブロックが有する電源端子に電源電圧を供
給する電源配線を配線領域に設定する際に、電源配線の
複数の配線経路のうちブロック間配線領域幅の増加が少
ない配線経路を選択することにより決定する電源配線経
路決定手段をさらに備えていることが好ましい。
In the semiconductor integrated circuit layout designing apparatus according to the present invention, when a power supply line for supplying a power supply voltage to a power supply terminal included in a functional block is set in a wiring area, an inter-block wiring among a plurality of wiring paths of the power supply wiring is provided. It is preferable that the power supply apparatus further includes a power supply wiring path determination unit that determines by selecting a wiring path with a small increase in the area width.

【0019】[0019]

【発明の実施の形態】(第1の実施形態)本発明に係る
第1の実施形態について図面を参照しながら説明する。
(First Embodiment) A first embodiment of the present invention will be described with reference to the drawings.

【0020】図1は本発明の第1の実施形態に係る半導
体集積回路のレイアウト設計方法に用いる配置領域及び
該配置領域における基準線(格子線)を説明するための
部分的な平面構成を示している。図1において、LSI
チップ上の素子形成領域と対応する配置領域10は、そ
れぞれが基準配線となるピッチ間隔をおいて平行に延び
ると共に互いに交差する、第1基準線としての複数のト
ラック及び第2基準線としての複数のカラムにより格子
状に区画されている。配置領域10上には、例えば、半
導体集積回路の部分回路である第1の機能ブロック21
A及び第2の機能ブロック21Bが初期配置として設定
された所定間隔をおき且つトラック11又はカラム12
に沿って配置されている。
FIG. 1 shows a partial plan configuration for describing an arrangement region used in a layout design method of a semiconductor integrated circuit according to a first embodiment of the present invention and a reference line (grid line) in the arrangement region. ing. In FIG. 1, the LSI
A plurality of tracks serving as a first reference line and a plurality of tracks serving as a second reference line extend in parallel with each other at a pitch interval serving as reference wiring and intersect with each other. Are partitioned in a grid pattern by the columns. On the arrangement area 10, for example, a first functional block 21 which is a partial circuit of a semiconductor integrated circuit is provided.
A and the second functional block 21B are arranged at a predetermined interval set as the initial arrangement
Are arranged along.

【0021】機能ブロック21A、21B同士の間には
配線領域20が形成される。配線領域20上には、例え
ば、第1のヴィア23Aを介して第1の機能ブロック2
1Aと接続される第1の配線24Aと、第2のヴィア2
3Bを介して第2の機能ブロック21Bと接続される第
2の配線24Bとが敷設されている。
A wiring area 20 is formed between the functional blocks 21A and 21B. For example, the first functional block 2 is provided on the wiring region 20 via the first via 23A.
1A and a second via 2
A second wiring 24B connected to the second functional block 21B via 3B is laid.

【0022】ここで、各配線24A、24B及び各ヴィ
ア23A、23Bがトラックを占有する占有数をそれぞ
れ第1の配線占有数及び第1のヴィア占有数と呼び、こ
れらを併せて第1のトラック占有数と呼ぶ。例えば、図
1に示すように、第1の配線24Aは2本のトラック1
1を占有しているため、第1の配線占有数は2であり、
第2のヴィア23Bは1本のトラックを占有しているた
め、第1のヴィア占有数は1である。
Here, the occupied numbers of the tracks occupied by the wirings 24A, 24B and the vias 23A, 23B are called a first wiring occupied number and a first via occupied number, respectively. Called occupancy number. For example, as shown in FIG. 1, the first wiring 24A has two tracks 1
Therefore, the first wiring occupation number is 2,
Since the second via 23B occupies one track, the first via occupation number is one.

【0023】また、各配線24A、24Bにその配線の
最小スペーシングルールを加算した値を配線ピッチとす
る。
The value obtained by adding the minimum spacing rule of each of the wirings 24A and 24B to the wiring is defined as the wiring pitch.

【0024】ここで、図1に示す配線領域20は、第1
の基準線であるトラック11が延びる方向に形成される
配線領域を示しており、第2の基準線であるカラム12
が延びる方向の配線領域の場合は、図示はしていない
が、配線及びヴィアがカラムを占有する占有数をそれぞ
れ第2の配線占有数及び第2のヴィア占有数と呼び、こ
れらを併せて第2のトラック占有数と呼ぶ。
Here, the wiring region 20 shown in FIG.
Shows a wiring region formed in the direction in which the track 11 as the reference line extends, and the column 12 as the second reference line.
In the case of the wiring area in the direction in which the wiring extends, although not shown, the occupied numbers of the wiring and the via occupying the column are referred to as a second wiring occupied number and a second via occupied number, respectively. The number of occupied tracks is 2.

【0025】なお、本明細書においては、トラック11
が延びる方向の配線領域20とカラムが延びる方向の配
線領域20との区別が必要でない場合には、第1の配線
占有数と第2の配線占有数等の区別を省略する。
In the present specification, the track 11
When it is not necessary to distinguish between the wiring region 20 in the direction in which the column extends and the wiring region 20 in the direction in which the column extends, the distinction such as the first wiring occupation number and the second wiring occupation number is omitted.

【0026】以下、第1の実施形態に係る半導体集積回
路のレイアウト設計方法について図面を参照しながら説
明する。
Hereinafter, a layout design method for a semiconductor integrated circuit according to the first embodiment will be described with reference to the drawings.

【0027】図2(a)〜図2(c)は第1の実施形態
に係るレイアウト設計方法を説明するためのブロック配
置であって、図2(a)は配置領域に複数のブロックが
初期配置(仮配置)された状態を示し、図2(b)は配
置領域におけるカラムが延びる方向と対応する配線グリ
ッド領域を示し、図2(c)は配線グリッド領域と対応
する配線計数領域を示している。図2(a)に示すよう
に、配置領域10上には、複数の方形状の機能ブロック
21A〜21Eが、外形状が1つの方形となるように仮
配置され、各機能ブロックの周辺領域には外部との入出
力インタフェースとなる入出力ブロック26A〜26D
が配置されている。各機能ブロック21A〜21Eと入
出力ブロック26A〜26Dの間及び各機能ブロック2
1A〜21Eの間の領域には、互いに隣接する1対のカ
ラムに挟まれた領域からなる配線グリッド領域31が形
成されている。従って、配線グリッド領域31の間隔
は、カラム12の間隔と一致しており、この配線グリッ
ド領域31を配線領域に限定して各ブロック間配線の配
線経路を探索する。以下、機能ブロックと入出力ブロッ
クとの区別が必要でない場合には、単にブロックと呼ぶ
ことにする。
FIGS. 2A to 2C are block layouts for explaining the layout design method according to the first embodiment. FIG. 2A shows a layout area in which a plurality of blocks are initially placed. FIG. 2B shows a wiring grid area corresponding to the direction in which the columns extend in the arrangement area, and FIG. 2C shows a wiring counting area corresponding to the wiring grid area. ing. As shown in FIG. 2A, a plurality of square-shaped function blocks 21A to 21E are provisionally arranged on the arrangement area 10 so that the outer shape becomes one square, and a plurality of square-shaped function blocks 21A to 21E are provided in a peripheral area of each function block. Are input / output blocks 26A to 26D serving as input / output interfaces with the outside.
Is arranged. Between each of the functional blocks 21A to 21E and the input / output blocks 26A to 26D and each of the functional blocks 2
In a region between 1A to 21E, a wiring grid region 31 composed of a region sandwiched between a pair of adjacent columns is formed. Therefore, the interval between the wiring grid areas 31 matches the interval between the columns 12, and the wiring grid area 31 is limited to the wiring area to search for the wiring path of each inter-block wiring. Hereinafter, when it is not necessary to distinguish between a functional block and an input / output block, it is simply referred to as a block.

【0028】図2(b)は図2(a)に示す配線グリッ
ド領域31の拡大図である。図2(b)に示す配線グリ
ッド領域31は、互いに隣接する1対のカラム12に挟
まれ且つトラック11ごとに区画された複数の単位配線
領域31aの集合体である。また、各単位配線領域31
aには、迷路法により配線経路を探索する際に該配線経
路を保持するために用いられるバックトレース情報31
bが保持される。なお、トラック11に平行な配線グリ
ッド領域31における単位配線領域31aは、カラム1
2により区画される。
FIG. 2B is an enlarged view of the wiring grid area 31 shown in FIG. The wiring grid area 31 shown in FIG. 2B is an aggregate of a plurality of unit wiring areas 31 a sandwiched between a pair of columns 12 adjacent to each other and partitioned for each track 11. In addition, each unit wiring area 31
a contains back trace information 31 used to hold the wiring route when searching for the wiring route by the maze method.
b is retained. Note that the unit wiring area 31a in the wiring grid area 31 parallel to the track 11 corresponds to the column 1
2 are defined.

【0029】図2(c)に示す複数の単位配線計数領域
32aからなる配線計数領域32は、本実施形態に係る
レイアウト設計方法をコンピュータ制御によるレイアウ
ト設計装置によって実現する場合には、例えば、該設計
装置のデータ記憶(メモリ)部に作成して保持すればよ
い。すなわち、配線計数領域32の単位配線計数領域3
2aごとに、配線グリッド領域31の単位配線領域31
aごとの配線又はヴィアによって占有されるトラック占
有数を記憶する。
When the layout design method according to the present embodiment is realized by a computer-controlled layout design apparatus, the wiring count area 32 composed of a plurality of unit wiring count areas 32a shown in FIG. What is necessary is just to create and hold in the data storage (memory) part of the design device. That is, the unit wiring count area 3 of the wiring count area 32
2a, the unit wiring area 31 of the wiring grid area 31
The number of tracks occupied by the wiring or via for each a is stored.

【0030】本実施形態に係るレイアウト設計方法は、
図1に示す配置領域10の配線領域20における、複数
のブロックが仮に配置されてなる配線領域に、設計上必
要な配線幅(間隔)を短時間に且つ高精度に見積もる
(推定する)方法である。その概略は、まず、図2
(a)に示すように、配置領域10上に、互いに間隔を
おいて複数の機能ブロック21A〜21E及び入出力ブ
ロック26A〜26Dを初期配置として配置する。次
に、配線領域から、隣接する1対のトラック又はカラム
に挟まれてなる領域を配線グリッド領域31として抽出
し、各配線グリッド領域31と対応するブロック間を通
過する配線の数とその配線ピッチ、及び各配線グリッド
領域31に隣接するブロック端子に配線を接続するため
に設けられるヴィアのトラック占有数に基づいて各機能
ブロック間の配線領域幅を見積もる。
The layout design method according to the present embodiment
In a wiring area in which a plurality of blocks are temporarily arranged in a wiring area 20 of the arrangement area 10 shown in FIG. 1, a wiring width (interval) required for design is quickly and accurately estimated (estimated). is there. The outline is shown in Figure 2
As shown in (a), a plurality of functional blocks 21A to 21E and input / output blocks 26A to 26D are arranged on the arrangement area 10 at an interval from each other as an initial arrangement. Next, an area sandwiched between a pair of adjacent tracks or columns is extracted as a wiring grid area 31 from the wiring area, and the number of wirings passing between each wiring grid area 31 and the corresponding block and the wiring pitch thereof And the wiring area width between the functional blocks is estimated based on the number of tracks occupied by vias provided for connecting wiring to the block terminals adjacent to each wiring grid area 31.

【0031】図3は本発明の第1の実施形態に係るレイ
アウト設計方法を示すフローチャートである。まず、図
3に示す機能ブロック仮配置工程ST01において、図
1(a)に示すように、所望の半導体集積回路を構成す
る機能ブロック21A〜21E等を互いに間隔をおき且
つトラック又はカラムに沿って仮配置する。ここで、ト
ラック及びカラムは論理的な基準線であるため、配置領
域10が、あらかじめその全面にわたって格子状の基準
線により区画されていてもよく、また、所定のブロック
を仮配置した後に、配線領域20上にのみこれらの基準
線により区画されているとしてもよい。なお、レイアウ
ト設計装置として実現する場合には、あらかじめ各ブロ
ックをデータとして準備しておき、これらのデータから
初期配置の配置位置(フロアプラン)を求め、求めた結
果を配置領域10上に出力する。
FIG. 3 is a flowchart showing a layout design method according to the first embodiment of the present invention. First, in a functional block provisional arrangement step ST01 shown in FIG. 3, as shown in FIG. 1A, functional blocks 21A to 21E constituting a desired semiconductor integrated circuit are spaced from each other and along a track or a column. Temporarily arrange. Here, since the track and the column are logical reference lines, the arrangement area 10 may be defined in advance by a grid-like reference line over the entire surface thereof. Only the region 20 may be defined by these reference lines. In the case of realizing as a layout design apparatus, each block is prepared in advance as data, an arrangement position (floor plan) of the initial arrangement is obtained from these data, and the obtained result is output to the arrangement area 10. .

【0032】次に、図3に示す配線グリッド領域抽出工
程ST02において、図2(a)に示すように、互いに
隣接するブロック同士の間に形成される配線領域20か
ら、トラック方向においては互いに隣接する1対のトラ
ックに挟まれてなる領域を、カラム方向においては互い
に隣接する1対のカラムごとに該隣接する1対のカラム
に挟まれてなる領域を配線グリッド領域31として抽出
し、さらに、抽出した配線グリッド領域31と対応する
データ保持手段として配線計数領域32を設ける。この
配線計数領域32の各単位配線計数領域32aに、各単
位配線領域31aを通過する配線の数とその配線ピッ
チ、及び各単位配線領域31aに隣接するブロック端子
に配線を接続するために設けられるヴィア占有数に基づ
いて各機能ブロック間の配線領域幅を見積もる。ここ
で、単位配線計数領域32aの初期値は0とする。
Next, in the wiring grid region extracting step ST02 shown in FIG. 3, as shown in FIG. 2A, the wiring region 20 formed between the blocks adjacent to each other is adjacent to each other in the track direction. In the column direction, a region sandwiched by a pair of tracks is extracted as a wiring grid region 31 for each pair of columns adjacent to each other in the column direction. A wiring count area 32 is provided as data holding means corresponding to the extracted wiring grid area 31. The number of wirings passing through each unit wiring area 31a, the wiring pitch thereof, and the wiring connected to a block terminal adjacent to each unit wiring area 31a are provided in each unit wiring counting area 32a of the wiring counting area 32. The width of the wiring area between the functional blocks is estimated based on the number of occupied vias. Here, the initial value of the unit wiring count area 32a is set to 0.

【0033】次に、図3に示す配線経路探索工程ST0
3において、配線グリッド領域31を配線領域とみな
し、迷路法を用いて各ブロック間に敷設される配線に対
して配線経路を探索する。ここで、配線経路の探索は、
各ブロック間を等電位で接続するネットリスト等の回路
接続情報(設計ルール)に基づいて行なう。このよう
に、本実施形態においては、探索経路がブロック間のト
ラック又はカラム1対分の幅の配線グリッド領域31に
限定されるため、短時間で配線経路を探索できる。
Next, a wiring route search step ST0 shown in FIG.
In 3, the wiring grid area 31 is regarded as a wiring area, and a wiring path is searched for the wiring laid between the blocks by using a maze method. Here, the search for the wiring route
This is performed based on circuit connection information (design rules) such as a netlist that connects the blocks at the same potential. As described above, in this embodiment, since the search path is limited to the wiring grid area 31 having a width of one pair of tracks or columns between blocks, the wiring path can be searched in a short time.

【0034】次に、図3に示す配線によるトラック占有
数取得工程ST04において、基準配線ピッチを1と
し、探索された配線の配線ピッチが基準配線ピッチの何
倍であるかを求める。求めた配線ピッチをその配線が占
有するピッチ数とし、探索された配線経路と対応する単
位配線計数領域32aごとに該配線が占有するピッチ数
で更新する。すべての単位配線領域31aに対して、該
配線グリッド領域31を対象とする配線経路探索工程S
T03及び配線によるトラック占有数取得工程ST04
を順次実行することにより、各ブロック間の配線数と配
線ピッチとに基づく配線占有数が算出される。
Next, in the step ST04 of obtaining the number of occupied tracks by the wiring shown in FIG. 3, the reference wiring pitch is set to 1, and it is determined how many times the wiring pitch of the searched wiring is larger than the reference wiring pitch. The obtained wiring pitch is set as the number of pitches occupied by the wiring, and is updated with the number of pitches occupied by the wiring for each unit wiring count area 32a corresponding to the searched wiring path. For all the unit wiring areas 31a, a wiring path search step S for the wiring grid area 31 is performed.
T03 and Step ST04 of Obtaining Number of Tracks Occupied by Wiring
Are sequentially executed, the number of occupied wirings is calculated based on the number of wirings between the blocks and the wiring pitch.

【0035】次に、図3に示すヴィアによるトラック占
有数取得工程ST05において、半導体集積回路が多層
配線層構造を有する場合には、多層配線のうちの一の配
線層に属する配線が他の配線層と接続される部分にヴィ
アが設けられる。このヴィアが占有するトラック又はカ
ラムのトラック占有数を算出する。
Next, in the step ST05 of acquiring the number of tracks occupied by vias shown in FIG. 3, when the semiconductor integrated circuit has a multilayer wiring layer structure, the wiring belonging to one wiring layer of the multilayer wiring is replaced by another wiring. Vias are provided at portions connected to the layers. The number of tracks or columns occupied by the vias is calculated.

【0036】以下、ヴィア占有数をヴィアの構成ごとに
図面を参照しながら説明する。
Hereinafter, the number of occupied vias will be described for each via configuration with reference to the drawings.

【0037】第1の構成として、ブロックに設けられて
いる複数の入出力端子(ブロック端子)が互いに異なる
層に設けられている場合を説明する。この場合は、ブロ
ック端子が設けられている配線層に応じて、該ブロック
端子からトラック方向に延びる配線に接続されるまでに
必要なヴィアの数を「乗換ヴィア数」として算出する。
As a first configuration, a case where a plurality of input / output terminals (block terminals) provided in a block are provided in different layers from each other will be described. In this case, the number of vias required until the block terminal is connected to the wiring extending in the track direction from the block terminal is calculated as the “number of transfer vias” according to the wiring layer in which the block terminal is provided.

【0038】一般に、多層配線構造の場合は、あらかじ
め配線層ごとに、配線をトラック方向及びカラム方向の
うちのいずれの方向に優先的に敷設するかが決められて
いる。配線領域と接するブロック端子から配線を敷設す
る場合も、該ブロック端子が属する配線層から、配線を
引き出す方向が優先される優先配線層に対してヴィアに
より乗り換える必要があり、また、トラック方向の配線
と接続する際には再度他のヴィアと接続する必要があ
る。
In general, in the case of a multilayer wiring structure, it is determined in advance in each of the wiring layers which of the track direction and the column direction the wiring is preferentially laid. When laying wiring from a block terminal in contact with the wiring area, it is necessary to change via a via from a wiring layer to which the block terminal belongs to a priority wiring layer in which the direction in which the wiring is drawn out is prioritized, and a wiring in the track direction. When connecting to another via, it is necessary to connect to another via again.

【0039】図4はブロック端子が属する配線層の違い
によって所定の配線層と接続されるまでのヴィアの数が
異なる第1の構成の一例を示している。図4に示すよう
に、機能ブロック21は、第1配線層に属する第1のブ
ロック端子41Aと第2配線層に属する第2のブロック
端子41Bとを有している。第1のブロック端子41A
は第1のヴィア42Aと接続され、第2のブロック端子
41Bは第2のヴィア42B及び第3のヴィア42Cと
接続され、各ヴィア42A〜42Cはそれぞれ1本のト
ラック11を占有している。ここでは、例えば、第1配
線層の優先方向はカラム方向とし、第2配線層の優先方
向はトラック方向とする。
FIG. 4 shows an example of a first configuration in which the number of vias until the block terminal is connected to a predetermined wiring layer differs depending on the wiring layer to which the block terminal belongs. As shown in FIG. 4, the functional block 21 has a first block terminal 41A belonging to the first wiring layer and a second block terminal 41B belonging to the second wiring layer. First block terminal 41A
Is connected to the first via 42A, the second block terminal 41B is connected to the second via 42B and the third via 42C, and each of the vias 42A to 42C occupies one track 11 respectively. Here, for example, the priority direction of the first wiring layer is the column direction, and the priority direction of the second wiring layer is the track direction.

【0040】このように、第1のブロック端子41Aは
第1配線層に属しているため、トラック方向の第1の配
線24Aと接続されるまでに必要なヴィアは第1のヴィ
ア42Aのみであり、従って、乗換ヴィア数は1とな
る。一方、第2のブロック端子41Bは第2配線層に属
しているため、トラック方向の第2の配線24Bと接続
されるまでに必要なヴィアは、第2配線層から第1配線
層に乗り換える第2のヴィア42A及び第1配線層から
第2配線層に乗り換える第3のヴィア42Cであり、従
って、この場合の乗換ヴィア数は2となる。
As described above, since the first block terminal 41A belongs to the first wiring layer, only the first via 42A is required until the first block terminal 41A is connected to the first wiring 24A in the track direction. Therefore, the number of transfer vias is 1. On the other hand, since the second block terminal 41B belongs to the second wiring layer, a via required until the second block terminal 41B is connected to the second wiring 24B in the track direction needs to be transferred from the second wiring layer to the first wiring layer. There are two vias 42A and a third via 42C that switches from the first wiring layer to the second wiring layer. Therefore, the number of switching vias in this case is two.

【0041】次に、第2の構成として、ブロックに設け
られている複数のブロック端子が互いに異なる層に設け
られ且つ該ブロック端子と接続される配線の配線層が異
なる場合を説明する。この場合に、各ブロック端子とト
ラック方向に延びる一の配線層に属する配線とを接続す
る際に、トラック方向に延びる他の配線層をヴィアによ
って占有する最大の数を「占有最大数」として算出す
る。
Next, as a second configuration, a case will be described in which a plurality of block terminals provided in a block are provided in different layers, and wiring layers connected to the block terminals have different wiring layers. In this case, when connecting each block terminal and a wiring belonging to one wiring layer extending in the track direction, the maximum number of vias occupying another wiring layer extending in the track direction is calculated as “maximum occupancy number”. I do.

【0042】図5はブロック端子が属する配線層の違い
によって、トラック方向を優先方向とし且つ互いに異な
る配線層と接続するまでのヴィア数が異なる第2の構成
の一例を示している。図5に示すように、機能ブロック
21は、第1配線層に属する第1のブロック端子41C
と第3配線層に属する第2のブロック端子41Dとを有
している。第1のブロック端子41Cは第1配線層と第
4配線層とを結ぶ第1のヴィア42Dを介して第1の配
線24Cと接続されている。第2のブロック端子41D
は、第3配線層と第1配線層とを結ぶ第2のヴィア42
E、及び第1配線層と第2配線層とを結ぶ第3のヴィア
42Fを介して第2の配線24Dと接続されている。
FIG. 5 shows an example of a second configuration in which the track direction is the priority direction and the number of vias before connecting to different wiring layers differs depending on the wiring layer to which the block terminal belongs. As shown in FIG. 5, the function block 21 includes a first block terminal 41C belonging to the first wiring layer.
And a second block terminal 41D belonging to the third wiring layer. The first block terminal 41C is connected to the first wiring 24C via a first via 42D connecting the first wiring layer and the fourth wiring layer. Second block terminal 41D
Is a second via 42 connecting the third wiring layer and the first wiring layer.
E and a third wiring 42F connecting the first wiring layer and the second wiring layer to the second wiring 24D.

【0043】ここで、4層構造を持つ配線層の優先方向
は、第1配線層及び第3配線層がカラム方向であり、第
2配線層及び第4配線層がトラック方向であるとする。
従って、第1配線層に属する第1のブロック端子41C
と第2配線層とが接続される場合は、第1の配線層が隣
接する第2配線層以外の他の配線層を占有することはな
いが、第2の構成においては、第1配線層に属する第1
のブロック端子41Cと第4配線層とが接続されるた
め、第2及び第3配線層を貫通する第1のヴィア42D
を設ける必要がある。その結果、トラック方向に延びる
第2の配線層をも占有することになる。図5において
は、第1配線層と第2配線層、第2配線層と第3配線層
及び第3配線層と第4配線層とをそれぞれ接続する複数
のヴィアを介して、第1のブロック端子41Cと第4配
線層に属する第1の配線24Cとが接続されている。
Here, the priority directions of the wiring layers having the four-layer structure are as follows: the first wiring layer and the third wiring layer are in the column direction, and the second wiring layer and the fourth wiring layer are in the track direction.
Therefore, the first block terminal 41C belonging to the first wiring layer
When the first wiring layer is connected to the second wiring layer, the first wiring layer does not occupy another wiring layer other than the adjacent second wiring layer. Belongs to the first
Is connected to the fourth wiring layer, the first via 42D penetrating through the second and third wiring layers.
It is necessary to provide. As a result, the second wiring layer extending in the track direction is also occupied. In FIG. 5, the first block is connected via a plurality of vias respectively connecting the first wiring layer and the second wiring layer, the second wiring layer and the third wiring layer, and the third wiring layer and the fourth wiring layer. The terminal 41C and the first wiring 24C belonging to the fourth wiring layer are connected.

【0044】一方、第3配線層に属する第2のブロック
端子41Dの場合は、第2配線層及び第4配線層のいず
れもが第3配線層と隣接する配線層であるため、第2及
び第4配線層のいずれに接続しても他のトラックを占有
することはない。
On the other hand, in the case of the second block terminal 41D belonging to the third wiring layer, both the second wiring layer and the fourth wiring layer are wiring layers adjacent to the third wiring layer. The connection to any of the fourth wiring layers does not occupy another track.

【0045】以上のことから、図5においては、第1の
ブロック端子41Cに必要となるヴィアの占有最大数は
2であり、第2のブロック端子41Dに必要となるヴィ
アの占有最大数は1である。
As described above, in FIG. 5, the maximum number of vias required for the first block terminal 41C is two, and the maximum number of vias required for the second block terminal 41D is one. It is.

【0046】次に、第3の構成として、ブロックに設け
られている複数のブロック端子の大きさがそれぞれ異な
る場合を説明する。この場合は、ブロック端子ごとに、
2値以上の基準線を占有する幅広ヴィアのトラック占有
数を「幅広トラック数」として算出する。
Next, as a third configuration, a case where the sizes of a plurality of block terminals provided in a block are different from each other will be described. In this case, for each block terminal,
The number of tracks occupied by the wide via that occupies two or more reference lines is calculated as the “number of wide tracks”.

【0047】通常、電源配線等には信号線と比べて大き
な電流が流れるため、信号線よりも幅が広い配線を用い
る。従って、ブロックに設けるブロック端子も電流量に
応じて端子の大きさを調整する必要がある。このような
幅広配線同士をヴィアを介して接続する際には、信号配
線の接続に用いるヴィアよりも断面積が大きいヴィアを
用いることになるため、ヴィア占有数が必然的に増大す
る。
Normally, since a larger current flows through the power supply wiring and the like than the signal line, a wiring wider than the signal line is used. Therefore, it is necessary to adjust the size of the block terminal provided in the block according to the amount of current. When such wide wirings are connected via vias, vias having a larger sectional area than vias used for connection of signal wirings are used, so that the number of occupied vias inevitably increases.

【0048】図6は幅広配線及び幅広ヴィアの具体例を
示している。図6に示すように、機能ブロック21は、
幅広端子である第1のブロック端子41Eと信号用の第
2のブロック端子41Fとを有している。第1のブロッ
ク端子41Eは、それぞれ2本のトラックを占有する幅
広の第1のヴィア42G及び幅広の第2のヴィア42H
を介して第1の配線24Eと接続されている。一方、第
2のブロック端子41Fは、それぞれ1本のトラックを
占有する第3のヴィア42I及び第4のヴィア42Jを
介して第2の配線24Fと接続されている。このよう
に、幅広の第1のヴィア42G及び第2のヴィア42H
は共に幅広トラック数が2となり、第3のヴィア42I
及び第4のヴィア42Jは共に幅広トラック数が1とな
る。
FIG. 6 shows a specific example of a wide wiring and a wide via. As shown in FIG. 6, the function block 21 includes:
It has a first block terminal 41E which is a wide terminal and a second block terminal 41F for signals. The first block terminal 41E has a wide first via 42G and a wide second via 42H occupying two tracks, respectively.
Is connected to the first wiring 24E via the. On the other hand, the second block terminal 41F is connected to the second wiring 24F via the third via 42I and the fourth via 42J occupying one track, respectively. Thus, the wide first via 42G and the second via 42H
Has two wide tracks and the third via 42I
And the fourth via 42J has one wide track.

【0049】以上、説明したように、ヴィアに対して
は、第1の構成から第3の構成までを含めて、各ブロッ
クのブロック端子ごとに、ヴィア占有数を算出する。
As described above, with respect to vias, the via occupation number is calculated for each block terminal of each block including the first to third configurations.

【0050】従って、1つのヴィア当たりの占有数は、
以下に挙げる式(1)により算出できることになる。
Therefore, the occupation number per via is:
It can be calculated by the following equation (1).

【0051】ヴィア占有数=(乗換ヴィア数−1)×占有
最大数×幅広トラック数 …(1)式(1)により算出
されたヴィア占有数を、各ブロック端子と隣接する配線
計数領域32の単位配線計数領域32aごとに加算す
る。以上で、ヴィアによるトラック占有数取得工程ST
05は終了する。
Number of occupied vias = (number of transfer vias−1) × maximum occupied number × number of wide tracks (1) The number of occupied vias calculated by equation (1) is calculated for the wiring count area 32 adjacent to each block terminal. The sum is added for each unit wiring count area 32a. The process ST for acquiring the number of occupied tracks by the via
05 ends.

【0052】次に、図3に示すブロック間配線領域幅推
定工程としてのブロック間配線領域幅算出工程ST06
において、配線計数領域32に保持されている値を、対
応する配線グリッド領域31におけるトラック方向又は
カラム方向が優先方向となる配線層の数で除し、得られ
た商の小数点以下を切り上げて整数にする。ここで、ト
ラック方向に延びる第1の配線計数領域32の場合はト
ラック方向が優先となる配線層の数で除し、カラム方向
に延びる第2の配線計数領域32の場合はカラム方向が
優先となる配線層の数で除すことになる。
Next, an inter-block wiring area width calculation step ST06 as an inter-block wiring area width estimation step shown in FIG.
In the above, the value held in the wiring count area 32 is divided by the number of wiring layers in which the track direction or column direction in the corresponding wiring grid area 31 is the priority direction, and the obtained quotient is rounded up to the nearest whole number to obtain an integer. To Here, in the case of the first wiring count area 32 extending in the track direction, the track direction is divided by the number of wiring layers in which the track direction has priority. In the case of the second wiring count area 32 extending in the column direction, the column direction has priority. Divided by the number of wiring layers.

【0053】このようにして、ブロック間同士の間隔、
すなわち、配線領域に必要なトラック数を見積もること
ができる。従って、各ブロック間の間隔を見積もりによ
り得られたトラック数以上に離して配置することによ
り、ブロック間の配線領域に敷設される配線の本数及び
配線ピッチのみならず、ヴィアの専有面積及び配線層の
乗り換えによって生じるヴィアまでもが見積もられた高
精度のブロック配置を得ることができる。
In this way, the distance between the blocks,
That is, the number of tracks required for the wiring area can be estimated. Therefore, by arranging the intervals between the blocks more than the number of tracks obtained by the estimation, not only the number of wires and the wiring pitch laid in the wiring area between the blocks but also the occupied area of the via and the wiring layer It is possible to obtain a high-precision block arrangement in which even the vias generated by the transfer are estimated.

【0054】また、配線領域における配線占有数及びヴ
ィア占有数を見積もるに際して、隣接する1対のトラッ
ク幅又はカラム幅分の配線グリッド領域を配線領域とし
て迷路法による配線経路探索処理と、線形時間で算出可
能なヴィア領域の見積もり処理とを用いているため、極
めて短時間にブロック間隔を見積もることができる。
In estimating the number of occupied wires and the number of occupied vias in the wiring area, a wiring path search process by a maze method using a wiring grid area of a pair of adjacent track widths or column widths as a wiring area, and a linear time. Since the process of estimating the via area that can be calculated is used, the block interval can be estimated in a very short time.

【0055】図7は本実施形態に係るレイアウト設計方
法を説明するためのブロック配置であって、ブロック間
配線領域幅の見積もり例を示している。図7に示すよう
に、第1の機能ブロック21Aは、第2配線層に属する
幅広の第1のブロック端子41Aと第1配線層に属する
通常幅の第2のブロック端子41Bとを有している。第
1の機能ブロック21Aと第2の機能ブロック21Bと
の間の配線領域20は、複数のトラック11により区画
されている。
FIG. 7 is a block layout for explaining the layout design method according to the present embodiment, and shows an example of estimating the width of the inter-block wiring region. As shown in FIG. 7, the first functional block 21A has a wide first block terminal 41A belonging to the second wiring layer and a normal-width second block terminal 41B belonging to the first wiring layer. I have. The wiring area 20 between the first functional block 21A and the second functional block 21B is partitioned by a plurality of tracks 11.

【0056】配線領域20上において、第1のブロック
端子41Aは、第1配線層と第2配線層とを結ぶ共に幅
広の第1のヴィア42A及び第2のヴィア42Bを介し
てトラック方向に延びる第1の配線24Aと接続されて
いる。また、第2のブロック端子41Bは、第1配線層
と第2配線層とを結ぶ第3のヴィア42Cを介してトラ
ック方向に延びる第2の配線24Bと接続されている。
第1の配線24Aと第2の配線24Bとの間には、第2
配線層に属する第3の配線24Gが敷設されている。
On the wiring region 20, the first block terminal 41A extends in the track direction through the first and second vias 42A and 42B which connect the first and second wiring layers and are wide. It is connected to the first wiring 24A. Further, the second block terminal 41B is connected to a second wiring 24B extending in the track direction via a third via 42C connecting the first wiring layer and the second wiring layer.
A second line is provided between the first line 24A and the second line 24B.
A third wiring 24G belonging to the wiring layer is laid.

【0057】配線用の配線計数領域32Aには、配線領
域20を通過する配線の配線占有数と配線ピッチにより
算出された値が単位配線計数領域ごとに保持されてい
る。図7に示すように、第1の配線24Aの占有数は2
であり、第2及び第3の配線24B、24Cの占有数は
それぞれ1であるため、図7に示す配線計数領域32A
を得られる。
In the wiring count area 32A for wiring, a value calculated based on the wiring occupation number and the wiring pitch of the wiring passing through the wiring area 20 is held for each unit wiring count area. As shown in FIG. 7, the occupation number of the first wiring 24A is 2
Since each of the occupied numbers of the second and third wirings 24B and 24C is 1, the wiring count area 32A shown in FIG.
Can be obtained.

【0058】ヴィア用の配線計数領域32Bには、ヴィ
ア占有数が単位配線計数領域ごとに保持されている。図
7に示すように、第1及び第2のヴィア42A、42B
の乗換ヴィア数が2で、占有最大数が1で、幅広トラッ
ク数が2であるため、算出式(1)から共にヴィア占有
数が2となる。また、第3のヴィア42Cは乗換ヴィア
数が1であるため、算出式(1)からヴィア占有数が0
となる。
The via wiring count area 32B holds the number of occupied vias for each unit wiring count area. As shown in FIG. 7, the first and second vias 42A, 42B
Is 2, the maximum occupied number is 1, and the number of wide tracks is 2. Therefore, both the occupied via numbers are calculated from the formula (1). Further, since the number of transfer vias is 1 in the third via 42C, the number of occupied vias is 0 from the calculation formula (1).
Becomes

【0059】従って、配線計数領域32Cは、配線計数
領域32A、32Bを各単位配線計数領域ごとに加算
し、さらに、トラック方向を配線方向とする配線層の数
で除した結果を示す。図7に示す場合は、2層配線では
あるが、トラック方向の配線層は第2の配線層の1層の
みを用いているため、除算しても結果は変わらない。
Accordingly, the wiring count area 32C shows the result obtained by adding the wiring count areas 32A and 32B for each unit wiring count area, and dividing the result by the number of wiring layers having the track direction as the wiring direction. In the case shown in FIG. 7, although the wiring is a two-layer wiring, since the wiring layer in the track direction uses only one of the second wiring layers, the result does not change even if the division is performed.

【0060】このように、配線計数領域32Cから、該
配線計数領域32Cのなかで保持されている値のうちの
最大値は5となるため、この配線領域20には5トラッ
ク分の間隔が必要であると見積もられる。
As described above, since the maximum value of the values held in the wiring count area 32C from the wiring count area 32C is 5, the wiring area 20 needs an interval of 5 tracks. Is estimated.

【0061】以下、第1の実施形態に係るレイアウト設
計方法を実現するレイアウト設計装置について図面を参
照しながら説明する。
Hereinafter, a layout design apparatus for realizing the layout design method according to the first embodiment will be described with reference to the drawings.

【0062】図8は本発明の第1の実施形態に係る半導
体集積回路のレイアウト設計装置の機能構成を示してい
る。図8に示すように、CPUを含む演算部101、該
演算部101と接続された内部記憶装置及び外部記憶装
置からなる記憶部102、外部からのデータを受ける入
力部103及び所望のデータを表示する表示部104に
より構成されている。
FIG. 8 shows a functional configuration of a semiconductor integrated circuit layout design apparatus according to the first embodiment of the present invention. As shown in FIG. 8, an arithmetic unit 101 including a CPU, a storage unit 102 including an internal storage device and an external storage device connected to the arithmetic unit 101, an input unit 103 for receiving external data, and desired data are displayed. The display unit 104 includes a display unit 104.

【0063】配線グリッド領域抽出部105は、図3に
示す配線グリッド領域抽出工程ST02における処理手
順が演算部101が実効可能にプログラミングされてお
り、同様に、ブロック間配線領域幅推定部106は、図
3に示すブロック間配線領域算出工程ST06における
処理手順が演算部101が実効可能にプログラミングさ
れている。
In the wiring grid area extracting unit 105, the processing procedure in the wiring grid area extracting step ST02 shown in FIG. 3 is programmed so that the arithmetic unit 101 can execute it. Similarly, the inter-block wiring area width estimating unit 106 The processing procedure in the inter-block wiring area calculation step ST06 shown in FIG.

【0064】記憶部102は、例えば、図7に示したよ
うな、配線占有数保持手段としての配線計数領域32
A、ヴィア占有数保持手段としての配線計数領域32
B、及び配線計数領域32Cを有している。
The storage unit 102 stores, for example, the wiring count area 32 as the wiring occupation number holding means as shown in FIG.
A, wiring count area 32 as via occupation number holding means
B and a wiring count area 32C.

【0065】以下、前記のように構成されたレイアウト
設計装置の動作を説明する。
Hereinafter, the operation of the layout design apparatus configured as described above will be described.

【0066】まず、入力部103から、設計対象の半導
体集積回路が分割された複数の機能ブロックが所定の配
置領域に仮(初期)配置された配置結果、回路接続情報
(配線情報)及び入出力ブロックの配置情報の各データ
を入力し、入力された各データを記憶部102の各所定
領域に保持する。
First, from the input unit 103, a layout result in which a plurality of functional blocks obtained by dividing a semiconductor integrated circuit to be designed are temporarily (initial) arranged in a predetermined layout area, circuit connection information (wiring information), and input / output Each data of block arrangement information is input, and each input data is held in each predetermined area of the storage unit 102.

【0067】次に、配線グリッド領域抽出部105が、
入力された各データに基づいて、配線領域から配線グリ
ッド領域を抽出し、続いて、ブロック間配線領域幅推定
部106が、各ブロック間に設計上必要な配線領域幅
(トラック幅)を推定する。
Next, the wiring grid area extraction unit 105
Based on the input data, a wiring grid area is extracted from the wiring area, and then the inter-block wiring area width estimating unit 106 estimates a wiring area width (track width) required between the blocks in design. .

【0068】本実施形態に係るレイアウト設計装置の表
示部104は、推定された配線領域幅に基づいて、各機
能ブロックの初期配置位置において配線領域幅が不足す
る場合には、配線領域ごとに、推定された配線領域幅が
確保されるように各機能ブロックを移動させる移動方向
を表示する。
The display unit 104 of the layout design apparatus according to the present embodiment, based on the estimated wiring area width, if the wiring area width is insufficient at the initial arrangement position of each functional block, The moving direction in which each functional block is moved so that the estimated wiring area width is secured is displayed.

【0069】図9は表示部104に表示された表示例を
示している。ここで、図9において、図2(a)に示す
構成要素と同一の構成要素には同一の符号を付してい
る。図9に示すように、例えば、第2の機能ブロック2
1Bの中央部付近には、左方向及び下方向の2つの矢印
からなる第1の指示マーク51Aが表示されており、こ
れにより、第2の機能ブロック21Bと第1の入出力ブ
ロック26Aとの間、及び第2の機能ブロック21Bと
第4の入出力ブロック26Dとの間の間隔(配線領域
幅)を拡げる必要があることを表わしている。また、第
1の機能ブロック21Aの中央部付近には丸印からなる
第2の指示マーク51Bが表示されており、これは、第
1の機能ブロック21Aは移動させる必要がないことを
表わしている。
FIG. 9 shows a display example displayed on the display unit 104. Here, in FIG. 9, the same components as those shown in FIG. 2A are denoted by the same reference numerals. As shown in FIG. 9, for example, the second functional block 2
In the vicinity of the center of 1B, a first instruction mark 51A composed of two arrows, leftward and downward, is displayed, whereby the second functional block 21B and the first input / output block 26A are connected to each other. This indicates that it is necessary to increase the interval (wiring area width) between the second functional block 21B and the fourth input / output block 26D. A second instruction mark 51B made of a circle is displayed near the center of the first functional block 21A, which indicates that the first functional block 21A does not need to be moved. .

【0070】これらの指示マークの表示は、以下のよう
にして決定される。
The display of these instruction marks is determined as follows.

【0071】まず、所定の配置領域上に初期配置された
機能ブロック21A〜21E及び入出力ブロック26A
〜26Dの各ブロック間に形成される配線領域ごとに、
初期配置時のブロック間配線領域幅と必要な配線領域幅
が推定されたブロック間配線領域幅との差を算出し、推
定されたブロック間配線領域幅が初期配置時よりも大き
い場合には、その差を増加分として保持しておく。
First, the function blocks 21A to 21E and the input / output block 26A initially arranged on the predetermined arrangement area
~ 26D for each wiring region formed between the blocks,
Calculate the difference between the inter-block wiring area width at the time of initial placement and the estimated inter-block wiring area width at which the required wiring area width is estimated, and if the estimated inter-block wiring area width is larger than at the time of the initial placement, The difference is stored as an increase.

【0072】次に、各ブロック間配線領域幅の増加分が
最小となる方向をブロックの移動方向とする。各ブロッ
クの移動方向は、各ブロックの上下(トラック)方向及
び左右(カラム)方向の方向ごとに決定される。従っ
て、各ブロックの上下方向及び左右方向に対して、各ブ
ロック間配線領域幅の増加分を満たす方向を各ブロック
の移動方向とする。増加分が等しい場合に、指示マーク
を丸印で表示する。
Next, the direction in which the increase in the width of the inter-block wiring area is minimized is defined as the moving direction of the block. The moving direction of each block is determined for each of the vertical (track) direction and the horizontal (column) direction of each block. Therefore, a direction that satisfies the increase in the width of the inter-block wiring area with respect to the vertical and horizontal directions of each block is defined as the moving direction of each block. When the increments are equal, the instruction mark is displayed as a circle.

【0073】このように、本実施形態に係るレイアウト
設計装置によると、ブロック間の配線領域20に、複数
の単位配線領域31aからなる配線グリッド領域31及
び該単位配線領域31aと対応する単位配線計数領域3
2aを設け、各ブロック間配線領域を通過する配線本数
による配線占有数と、各ブロックの入出力端子の配線層
及び配線幅により見積もられるヴィアによるヴィア占有
数とを高速に見積もることができる。
As described above, according to the layout design apparatus of this embodiment, the wiring grid area 31 including the plurality of unit wiring areas 31a and the unit wiring count corresponding to the unit wiring area 31a are provided in the wiring area 20 between the blocks. Area 3
By providing 2a, the number of occupied wirings by the number of wirings passing through the inter-block wiring area and the number of vias occupied by vias estimated by the wiring layer and the wiring width of the input / output terminals of each block can be quickly estimated.

【0074】さらに、配線グリッド領域という限定され
た領域のみを配線領域とみなして迷路法を実行するた
め、配線領域の探索時に必要となるレイアウト設計装置
のメモリ資源の使用量を削減できる。その結果、設計対
象の半導体集積回路の規模が大きくなっても、レイアウ
ト設計装置の装置規模を拡大することなく、ブロック間
の配線領域幅の見積もりを短時間で行なえると共に見積
もり精度が向上するので、レイアウト設計工数を短縮で
きる。
Further, since the maze method is executed by regarding only the limited area called the wiring grid area as the wiring area, it is possible to reduce the use of the memory resources of the layout design apparatus required when searching for the wiring area. As a result, even if the size of the semiconductor integrated circuit to be designed becomes large, the width of the wiring area between blocks can be estimated in a short time and the estimation accuracy is improved without increasing the scale of the layout design apparatus. In addition, the layout design man-hour can be reduced.

【0075】なお、指示マーク51A、51Bのそれぞ
れの形状は一例に過ぎず、左右又は上下方向を示す移動
方向と移動不要とが識別できる形状や色彩を有しておれ
ばよい。また、複数の矢印の代わりに、これらのベクト
ル和を用いてもよい。
Note that the shape of each of the instruction marks 51A and 51B is merely an example, and it is sufficient that the direction marks 51A and 51B have a shape and a color that can be distinguished from a moving direction indicating the left-right or up-down direction and a movement unnecessary. Also, instead of a plurality of arrows, a vector sum of these vectors may be used.

【0076】さらに、移動方向だけでなく、ブロックの
移動量を各配線領域ごとに増加分の大きい方の増加量か
ら小さい方の増加量を引いた値とし、移動方向と同時に
増加量を表示してもよい。
Further, not only the moving direction but also the moving amount of the block is set to a value obtained by subtracting the smaller increasing amount from the larger increasing amount for each wiring area, and the increasing amount is displayed simultaneously with the moving direction. You may.

【0077】また、図8に示す入力部103から各ブロ
ック位置の変更情報を入力し、変更された入力結果に対
して、ブロック間の配線領域幅の推定処理を再度実行
し、その結果を表示部104に出力してもよい。
Further, the change information of each block position is input from the input unit 103 shown in FIG. 8, and the process of estimating the width of the wiring area between the blocks is executed again on the changed input result, and the result is displayed. The information may be output to the unit 104.

【0078】(第2の実施形態)以下、本発明の第2の
実施形態について図面を参照しながら説明する。
(Second Embodiment) Hereinafter, a second embodiment of the present invention will be described with reference to the drawings.

【0079】第1の実施形態においては、配置領域上の
ブロック間に形成される配線領域に接続情報から必要と
される配線領域幅を見積もる方法及び必要とされる配線
領域幅を満たすブロックの移動方向を指示するレイアウ
ト設計装置について説明を行なった。本実施形態におい
ては、第1の実施形態により得られるブロック間の配線
領域幅に基づいて、各機能ブロックに対してコンパクシ
ョンを行なう方法を説明する。
In the first embodiment, a method for estimating a required wiring region width from connection information in a wiring region formed between blocks on an arrangement region and moving a block satisfying the required wiring region width The layout design apparatus for designating a direction has been described. In the present embodiment, a method for performing compaction on each functional block based on the wiring area width between blocks obtained by the first embodiment will be described.

【0080】図10(a)及び図10(b)は本発明の
第2の実施形態に係る半導体集積回路のレイアウト設計
方法を説明するためのブロック配置であって、図10
(a)は配置領域上に複数のブロックが初期配置された
状態を示し、図10(b)は各ブロックに対して一次元
コンパクション処理が実行された配置結果を示してい
る。図10(a)に示すように、配置領域10上には、
複数の方形状の機能ブロック21A〜21Dが、外形状
が1つの方形となるように初期配置され、各機能ブロッ
クの周辺領域には外部との入出力インタフェースとなる
入出力ブロック26A〜26Dが配置されている。
FIGS. 10A and 10B are block layouts for explaining a layout design method of a semiconductor integrated circuit according to the second embodiment of the present invention.
10A shows a state where a plurality of blocks are initially arranged on the arrangement area, and FIG. 10B shows an arrangement result in which one-dimensional compaction processing has been performed on each block. As shown in FIG. 10A, on the arrangement area 10,
A plurality of square-shaped function blocks 21A to 21D are initially arranged so that the outer shape becomes one square, and input / output blocks 26A to 26D serving as input / output interfaces with the outside are arranged in the peripheral area of each function block. Have been.

【0081】図10(b)に示すように、例えば、配置
領域10上における、第2の機能ブロック21Bと第4
の機能ブロック21Dとの間の配線領域、及び第3の機
能ブロック21Cと第4の入出力ブロック26Dとの間
の配線領域には、それぞれ3本の配線24が敷設されて
いる。
As shown in FIG. 10B, for example, the second functional block 21 B and the fourth
In the wiring area between the third functional block 21D and the wiring area between the third functional block 21C and the fourth input / output block 26D, three wirings 24 are laid.

【0082】このように初期配置された各ブロックに対
して、第1の実施形態と同様に配線領域幅の見積もりを
行なった後、図10(b)に示すように、各ブロックに
対して、水平(カラム)方向及び垂直(トラック)方向
に一次元コンパクションを行なって配置位置が最適化さ
れたレイアウトを得る。
After estimating the wiring region width for each of the initially arranged blocks in the same manner as in the first embodiment, as shown in FIG. One-dimensional compaction is performed in the horizontal (column) direction and the vertical (track) direction to obtain a layout whose arrangement position is optimized.

【0083】このように、各ブロック間の配線領域幅を
ブロック間の最小スペーシングとして、一次元コンパク
ションを実行することにより、容易に配線領域幅の見積
もり結果を満たすブロックの配置結果を得ることができ
る。
As described above, by performing the one-dimensional compaction with the wiring area width between the blocks as the minimum spacing between the blocks, it is possible to easily obtain the block arrangement result satisfying the wiring area width estimation result. it can.

【0084】第2の実施形態によると、第1の従来方法
に示したような、チャネル配線を実行することによりブ
ロック間の配線領域幅を算出するのではなく、トラック
幅又はカラム幅1つ分の配線グリッド領域を配線領域と
みなす迷路法を用いた配線探索工程と、線形時間で算出
可能なヴィア領域の見積もり工程とを有しているため、
ブロック間の間隔を高速に見積もることができ、制約グ
ラフを用いた一次元コンパクションを短時間で実行でき
る。
According to the second embodiment, instead of calculating the wiring area width between blocks by executing channel wiring as shown in the first conventional method, one track width or one column width is used. Since it has a wiring search step using a maze method that regards the wiring grid area as a wiring area, and a via area estimation step that can be calculated in linear time,
The interval between blocks can be quickly estimated, and one-dimensional compaction using a constraint graph can be executed in a short time.

【0085】(第2の実施形態の一変形例)以下、本発
明に係る第2の実施形態の一変形例について図面を参照
しながら説明する。
(Modification of Second Embodiment) A modification of the second embodiment according to the present invention will be described below with reference to the drawings.

【0086】図11は本実施形態の一変形例に係るレイ
アウト設計方法であって、チップ面積の低減方法を説明
するための配置領域の平面構成を示している。ここで、
図11において、図9に示す構成要素と同一の構成要素
には同一の符号を付すことにより説明を省略する。
FIG. 11 shows a layout design method according to a modification of the present embodiment, and shows a plan configuration of an arrangement region for explaining a method of reducing a chip area. here,
In FIG. 11, the same components as those shown in FIG. 9 are denoted by the same reference numerals, and description thereof will be omitted.

【0087】本変形例においては、各機能ブロック21
A〜21Eにおけるブロック間配線領域幅を見積もった
後、各機能ブロック21A〜21Eに対して、1トラッ
ク分又は1カラム分だけ移動させ、移動後の新たな配置
結果に対して一次元コンパクションを行なう。
In this modification, each functional block 21
After estimating the inter-block wiring area width in A to 21E, each functional block 21A to 21E is moved by one track or one column, and one-dimensional compaction is performed on a new arrangement result after the movement. .

【0088】ブロック組み上げ法にあっては、各ブロッ
クの配置位置と各機能ブロック間の配線領域幅とから、
配置領域10に必要な面積、すなわちチップ面積を算出
できる。従って、各機能ブロックを上下方向又は左右方
向に移動させた際に、算出されたチップ面積が最小とな
る移動方向を各機能ブロックの移動方向とする。このよ
うな処理を各機能ブロックに対して順次行なうことによ
り、各機能ブロックを1トラック分又は1カラム分だけ
指定方向に移動した場合に、チップ面積が減少する方向
を示すことができる。
In the block assembling method, the arrangement position of each block and the wiring area width between each functional block are determined by
An area required for the arrangement region 10, that is, a chip area can be calculated. Therefore, when each functional block is moved in the vertical direction or the horizontal direction, the moving direction in which the calculated chip area becomes the minimum is defined as the moving direction of each functional block. By sequentially performing such processing for each functional block, it is possible to indicate the direction in which the chip area decreases when each functional block is moved in the designated direction by one track or one column.

【0089】例えば、図11において、第4の機能ブロ
ック21Dを図面の右方向に1トラック分移動させるこ
とにより、チップ面積を削減できることを示しており、
第1の機能ブロック21Aは上下左右のいずれの方向に
移動させても、チップ面積が減少しないことを示してい
る。
For example, FIG. 11 shows that the chip area can be reduced by moving the fourth functional block 21D one track to the right in the drawing.
The first functional block 21A indicates that the chip area does not decrease even when the first functional block 21A is moved in any direction of up, down, left, and right.

【0090】ここでも、チップ面積の低減を可能とする
移動方向を指示する指示マーク51A及び51Bの形状
又は色彩が問われないことはいうまでもない。
Here, it is needless to say that the shapes or colors of the instruction marks 51A and 51B for instructing the moving direction which can reduce the chip area are not limited.

【0091】図12は本実施形態の一変形例に係る半導
体集積回路のレイアウト自動設計装置の機能構成を示し
ている。ここで、図12において、図8に示す構成要素
と同一の構成要素には同一の符号を付すことにより説明
を省略する。
FIG. 12 shows a functional configuration of an automatic layout design apparatus for a semiconductor integrated circuit according to a modification of the present embodiment. Here, in FIG. 12, the same components as those shown in FIG. 8 are denoted by the same reference numerals, and description thereof will be omitted.

【0092】図12に示すように、本実施形態に係るレ
イアウト自動設計装置は、配線グリッド領域抽出部10
5及びブロック間配線領域幅推定部106の他に、ブロ
ック選択部107、移動方向推定部108、ブロック移
動部109、チップ面積推定部110及びブロック位置
決定部111を有している。ここでも、ブロック選択部
107、移動方向推定部108、ブロック移動部10
9、配線領域最適化手段としてのチップ面積推定部11
0及びブロック位置決定部111は、演算部101がそ
れぞれ実効可能にプログラミングされている。
As shown in FIG. 12, the automatic layout designing apparatus according to the present embodiment
5, a block selecting unit 107, a moving direction estimating unit 108, a block moving unit 109, a chip area estimating unit 110, and a block position determining unit 111, in addition to the block 5 and the inter-block wiring area width estimating unit 106. Again, the block selecting unit 107, the moving direction estimating unit 108, the block moving unit 10
9. Chip area estimating unit 11 as wiring area optimizing means
The arithmetic unit 101 of the 0 and block position determination unit 111 is programmed to be executable.

【0093】以下、前記のように構成されたレイアウト
自動設計装置の動作を説明する。
Hereinafter, the operation of the automatic layout design apparatus configured as described above will be described.

【0094】まず、入力部103から、設計対象の半導
体集積回路が分割された複数の機能ブロックが所定の配
置領域に初期配置された配置結果、回路接続情報及び入
出力ブロックの配置情報の各データを入力し、入力され
た各データを記憶部102の各所定領域に保持する。
First, from the input unit 103, each data of an arrangement result, circuit connection information, and input / output block arrangement information in which a plurality of functional blocks obtained by dividing a semiconductor integrated circuit to be designed are initially arranged in a predetermined arrangement area. Is input and each input data is stored in each predetermined area of the storage unit 102.

【0095】次に、配線グリッド領域抽出部105が、
入力された各データに基づいて、配線領域から配線グリ
ッド領域を抽出し、続いて、ブロック間配線領域幅推定
部106が、各ブロック間に設計上必要な配線領域幅を
推定する。
Next, the wiring grid area extraction unit 105
A wiring grid area is extracted from the wiring area based on the input data, and then an inter-block wiring area width estimating unit 106 estimates a wiring area width necessary for design between the blocks.

【0096】次に、推定された配線領域幅に基づいて、
ブロック選択部107により選択されたブロックが、移
動方向推定部108によりその移動方向が推定され、ブ
ロック移動部により、ブロック間配線領域幅を満たす位
置に移動される。ここで、いったん移動した結果を表示
部104に表示してもよい。
Next, based on the estimated wiring region width,
The moving direction of the block selected by the block selecting unit 107 is estimated by the moving direction estimating unit 108, and the block is moved to a position satisfying the inter-block wiring area width by the block moving unit. Here, the result of the movement may be displayed on the display unit 104.

【0097】次に、チップ面積の低減処理に移る。Next, the process proceeds to a process for reducing the chip area.

【0098】必要なブロック間配線領域幅が確保された
配置結果に対して、入力部103から任意のブロックが
対話的に指定された場合に、指定されたブロックを指定
された方向に1トラック分又は1カラム分だけ移動させ
て、再度一次元コンパクションを実行する。指定された
方向が複数の場合は、指定されたすべての方向に対して
コンパクションを行ない、チップ面積が最も小さくなる
移動方向にブロックを移動させる。新たな配置結果は、
その都度、表示部104に表示する。
When an arbitrary block is interactively designated by the input unit 103 with respect to the arrangement result in which the necessary inter-block wiring area width is secured, the designated block is moved by one track in the designated direction. Alternatively, it is moved by one column, and one-dimensional compaction is executed again. If there are a plurality of specified directions, compaction is performed in all the specified directions, and the block is moved in the movement direction in which the chip area becomes the smallest. The new placement result is
Each time, it is displayed on the display unit 104.

【0099】従って、上下方向及び左右方向のいずれの
方向にブロックを移動させても、これ以上のチップ面積
の低減が図れなくなるまで、このような処理を繰り返し
て実行すれば、各ブロック間に必要な配線領域を確保し
ながらチップ面積を低減できるブロック配置を得ること
ができる。
Therefore, even if the block is moved in either the vertical direction or the horizontal direction, if such processing is repeatedly executed until the chip area cannot be further reduced, it becomes necessary to execute the processing between the blocks. It is possible to obtain a block arrangement that can reduce the chip area while securing a sufficient wiring area.

【0100】ここで、ブロックを移動する順序や移動方
向が複数ある場合のその移動方向により最終的な解(結
果)が異なるという処理方法、及びブロックの移動に際
してチップ面積が増大するような移動を許さないという
処理方法であるため、初期配置に対して最適な配置結果
を得ることができない。しかしながら、本実施形態のよ
うに対話的に処理する場合には、任意の初期配置に対し
て、改善された配置結果を迅速に得ることができる。
Here, a processing method in which the final solution (result) differs depending on the moving order and moving direction of the blocks when there are a plurality of moving directions, and a moving method in which the chip area increases when moving the blocks. Since the processing method is not allowed, it is not possible to obtain an optimum arrangement result with respect to the initial arrangement. However, when processing is performed interactively as in the present embodiment, an improved arrangement result can be quickly obtained for an arbitrary initial arrangement.

【0101】なお、移動させるブロックの選択方法は任
意であるため、乱数を用いて移動するブロックを選択し
てもよい。
Since the method of selecting a block to be moved is arbitrary, a block to be moved may be selected using a random number.

【0102】(第3の実施形態)以下、本発明に係る第
3の実施形態について図面を参照しながら説明する。
(Third Embodiment) Hereinafter, a third embodiment according to the present invention will be described with reference to the drawings.

【0103】図13(a)及び図13(b)は本発明の
第3の実施形態に係る半導体集積回路のレイアウト設計
方法におけるブロック間の電源経路の配線方法を説明す
るための模式的なブロック配置であって、図13(a)
は配置領域上の電源配線を示し、図13(b)は配線領
域と対応する配線計数領域を示している。図13(a)
に示すように、配置領域10上には、第1の機能ブロッ
ク21A〜第3の機能ブロック21Cが互いに間隔をお
いて配置されている。各機能ブロック21A〜21Cの
間の領域及びその周辺領域には配置領域20がそれぞれ
形成されている。
FIGS. 13A and 13B are schematic block diagrams for explaining a wiring method of a power supply path between blocks in a layout design method of a semiconductor integrated circuit according to a third embodiment of the present invention. FIG. 13 (a)
Indicates a power supply wiring on the arrangement area, and FIG. 13B shows a wiring count area corresponding to the wiring area. FIG. 13 (a)
As shown in (1), the first functional block 21A to the third functional block 21C are arranged on the arrangement area 10 at an interval from each other. An arrangement area 20 is formed in an area between the functional blocks 21A to 21C and a peripheral area thereof.

【0104】第1の機能ブロック21Aに対して第3の
機能ブロック21Cと反対側の領域には第1の電源ブロ
ック26Eが配置され、第2の機能ブロック21Bに対
して第3の機能ブロック21Cと反対側の領域には第2
の電源ブロック26Fが配置されているとする。
A first power supply block 26E is arranged in a region opposite to the third functional block 21C with respect to the first functional block 21A, and a third functional block 21C is provided for the second functional block 21B. In the area opposite to
Power supply block 26F is arranged.

【0105】第1の機能ブロック21Aにおける第2の
電源ブロック26F側の配線領域20と接する端部には
ブロック(電源)端子41Aが設けられている。なお、
ここでは、説明を簡単にするため、電源ブロック26
E、26F以外の入出力ブロックを省略している。
A block (power) terminal 41A is provided at an end of the first functional block 21A in contact with the wiring region 20 on the side of the second power supply block 26F. In addition,
Here, in order to simplify the description, the power supply block 26
Input / output blocks other than E and 26F are omitted.

【0106】図13(a)において、ブロック端子41
Aは、第1の電源ブロック26Eと実線で示した第1の
電源配線経路61Aによって結ばれ、第2の電源ブロッ
ク26Fと破線で示した第2の電源配線経路61Bによ
って結ばれている。
In FIG. 13A, the block terminal 41
A is connected to the first power supply block 26E by a first power supply wiring path 61A shown by a solid line, and is connected to the second power supply block 26F by a second power supply wiring path 61B shown by a broken line.

【0107】以下、前記のように形成された配線領域2
0において、本実施形態に係る電源経路の配線方法を用
いた場合に、第1の電源配線経路61A又は第2の電源
配線経路のいずれが選択されるかを説明する。
Hereinafter, the wiring region 2 formed as described above
0, whether the first power wiring path 61A or the second power wiring path is selected when the power path wiring method according to the present embodiment is used will be described.

【0108】ここで、図13(b)に示すように、配線
領域20から抽出した配線グリッド領域(図示せず)と
対応する配線計数領域32には、第1の実施形態に示し
た方法により単位配線計数領域ごとにトラック占有数が
算出されて保持されているとする。
Here, as shown in FIG. 13B, the wiring count area 32 corresponding to the wiring grid area (not shown) extracted from the wiring area 20 is formed by the method shown in the first embodiment. It is assumed that the track occupation number is calculated and held for each unit wiring count area.

【0109】配線計数領域32における第1の電源ブロ
ック26E側の領域には、該領域のうちでトラック占有
数が最大となる8が保持された第1の単位配線計数領域
32bが含まれ、配線計数領域32における第2の電源
ブロック26F側の領域には、該領域のうちでトラック
占有数が最大となる6が保持されている第2の単位配線
計数領域32cが含まれている。
The area on the side of the first power supply block 26E in the wiring count area 32 includes a first unit wiring count area 32b in which 8 where the number of tracks occupied is the largest among the areas is held. The area on the second power supply block 26F side in the counting area 32 includes a second unit wiring counting area 32c in which 6 having the largest track occupation number is held in the area.

【0110】まず、図13(a)において、第1の機能
ブロック21Aのブロック端子41Aに対して、第2の
電源ブロック26F方向への配線経路が存在しないと仮
定して、迷路法を用いて配線経路を探索することによ
り、第1の電源配線経路61Aを得る。続いて、第1の
電源ブロック26E方向への配線経路が存在しないと仮
定して、迷路法を用いて配線経路を探索することによ
り、第2の電源配線経路61Bを得る。なお、ここで
は、電源配線によるトラック占有数が電源の配線方向に
は依らないとしている。
First, in FIG. 13A, it is assumed that there is no wiring route in the direction of the second power supply block 26F with respect to the block terminal 41A of the first functional block 21A, and the maze method is used. By searching for a wiring path, a first power supply wiring path 61A is obtained. Subsequently, assuming that there is no wiring path in the direction of the first power supply block 26E, a second power supply wiring path 61B is obtained by searching for a wiring path using the maze method. Here, it is assumed that the number of tracks occupied by the power supply wiring does not depend on the wiring direction of the power supply.

【0111】従って、第1の電源配線経路61Aを選択
すると、該電源配線自体のトラック占有数と、該電源配
線自体が通過する配線領域20と対応する配線計数領域
との和が最大値の8を超えなければ、第1の電源配線経
路61Aを設けてもブロック間の必要な配線領域幅は変
わらない。
Therefore, when the first power supply wiring path 61A is selected, the sum of the track occupation number of the power supply wiring itself and the wiring count area corresponding to the wiring area 20 through which the power supply wiring itself passes is the maximum value of 8 Is not exceeded, the required wiring area width between blocks does not change even if the first power supply wiring path 61A is provided.

【0112】一方、第2の電源配線経路61Bを選択す
ると、該第2の電源配線経路61Bは、対応する配線計
数領域のうち最大値が6の単位配線計数領域32cを通
過するため、該電源配線自体のトラック占有数分だけブ
ロック間の配線領域20の幅が広がるので、第2の単位
配線計数領域32cのトラック占有数が7以上となるこ
とは確実である。そこで、第1の電源配線経路61Aか
又は第2の電源配線経路61Bかは、第2の実施形態の
一変形例で説明したチップ面積の増加量が少なくなるこ
とを条件として選択すればよい。
On the other hand, when the second power supply wiring path 61B is selected, the second power supply wiring path 61B passes through the unit wiring count area 32c having the maximum value of 6 among the corresponding wiring count areas, Since the width of the wiring area 20 between the blocks is increased by the number of tracks occupied by the wiring itself, it is certain that the number of tracks occupied by the second unit wiring count area 32c becomes 7 or more. Therefore, the first power supply wiring path 61A or the second power supply wiring path 61B may be selected on the condition that the increase in the chip area described in the modification of the second embodiment is small.

【0113】図14は本実施形態に係る半導体集積回路
のレイアウト自動設計装置の機能構成を示している。こ
こで、図14において、図12に示す構成要素と同一の
構成要素には同一の符号を付すことにより説明を省略す
る。図14に示すように、本実施形態に係るレイアウト
自動設計装置は、図12に示す構成に、演算部101が
実行可能にプログラミングされた電源経路探索部113
及び電源経路決定部114をさらに有している。
FIG. 14 shows a functional configuration of an automatic layout design apparatus for a semiconductor integrated circuit according to the present embodiment. Here, in FIG. 14, the same components as those shown in FIG. 12 are denoted by the same reference numerals, and description thereof will be omitted. As shown in FIG. 14, the layout automatic designing apparatus according to the present embodiment has a configuration shown in FIG.
And a power supply path determination unit 114.

【0114】従って、前述したように、複数の電源配線
経路を選択できるような場合には、該当する電源配線経
路のトラック占有幅の大小のみならず、配線後のチップ
面積の大小をも選択条件に取り入れているため、電源配
線を含むブロック間の配線領域幅を短時間に且つ高精度
に見積もることができる。
Accordingly, as described above, when a plurality of power supply wiring paths can be selected, not only the size of the track occupied width of the corresponding power supply wiring path but also the size of the chip area after wiring is selected. Therefore, the width of the wiring area between blocks including the power supply wiring can be estimated in a short time and with high accuracy.

【0115】なお、本実施形態においては、電源端子で
あるブロック端子41Aの接続目標を第1の電源ブロッ
ク26E及び第2の電源ブロック26Fとしたが、あら
かじめ敷設された電源配線を接続目標としてもよい。
In this embodiment, the first power supply block 26E and the second power supply block 26F are set as the connection targets of the block terminals 41A, which are the power supply terminals. Good.

【0116】また、例えば、電源用のブロック端子41
Aが第1の機能ブロック21Aのトラック方向と平行な
配線領域と隣接する側の端部に設けられている場合に
は、トラック(上下)方向に2回に分けて電源探索を行
なえばよい。
For example, for example, a power supply block terminal 41
If A is provided at the end adjacent to the wiring area of the first functional block 21A parallel to the track direction, the power search may be performed twice in the track (vertical) direction.

【0117】[0117]

【発明の効果】本発明の半導体集積回路のレイアウト設
計方法によると、配線を探索する探索領域が、互いに隣
接する1対の第1基準線又は第2基準線に挟まれてなる
配線グリッド領域のみであるため、例えば、配線の探索
に迷路法を用いたとしても短時間で探索を行なえる。さ
らに、探索された配線が配線グリッド領域内の第1基準
線又は第2基準線を占有する配線占有数を取得してこれ
を用いるため、ブロック間同士の間に必要な配線領域幅
を確実に推定できる。従って、設計対象である半導体集
積回路の規模が大きくなっても、機能ブロック間のブロ
ック間配線領域幅の推定時間を短縮でき且つ見積もり精
度が向上するので、レイアウト設計工数を短縮できる。
According to the layout design method of the semiconductor integrated circuit of the present invention, the search area for searching for wiring is limited to the wiring grid area sandwiched between a pair of first and second reference lines adjacent to each other. Therefore, for example, even if the maze method is used for searching for the wiring, the search can be performed in a short time. Further, since the number of occupied wirings in which the searched wiring occupies the first reference line or the second reference line in the wiring grid area is obtained and used, the necessary wiring area width between the blocks is reliably determined. Can be estimated. Therefore, even when the size of the semiconductor integrated circuit to be designed becomes large, the time for estimating the width of the inter-block wiring area between the functional blocks can be shortened and the estimation accuracy is improved, so that the layout design man-hour can be shortened.

【0118】本発明の半導体集積回路のレイアウト設計
方法において、半導体集積回路が互いに重なる複数の配
線層を有しており、第1の配線占有数取得工程とブロッ
ク間配線領域幅推定工程との間に、第1の配線グリッド
領域に対して、回路接続情報に基づいて複数の配線層に
おける一の配線層と他の配線層とを接続するヴィアを探
索し、探索されたヴィアが第1の単位配線領域と対応す
る機能ブロック同士の間で第1基準線を占有する占有数
である第1のヴィア占有数を取得する第1のヴィア占有
数取得工程と、第2の配線グリッド領域に対して、回路
接続情報に基づいて複数の配線層における一の配線層と
他の配線層とを接続するヴィアを探索し、探索されたヴ
ィアが第2の単位配線領域と対応する機能ブロック同士
の間で第2基準線を占有する占有数である第2のヴィア
占有数を取得する第2のヴィア占有数取得工程とをさら
に備え、ブロック間配線領域幅推定工程が、第1の配線
占有数、第2の配線占有数、第1のヴィア占有数及び第
2のヴィア占有数に基づいて、ブロック間配線領域幅を
推定する工程を含むと、多層配線構造の半導体集積回路
の設計にも確実に対応できる。
In the layout design method of a semiconductor integrated circuit according to the present invention, the semiconductor integrated circuit has a plurality of wiring layers overlapping each other, and is provided between the first wiring occupation number obtaining step and the inter-block wiring area width estimating step. In the first wiring grid area, a via that connects one wiring layer to another wiring layer in a plurality of wiring layers is searched for based on the circuit connection information, and the searched via is a first unit. A first via occupation number acquiring step of acquiring a first via occupancy number which is an occupation number occupying the first reference line between the wiring area and the corresponding functional block; Searching for a via connecting one wiring layer and another wiring layer in a plurality of wiring layers based on the circuit connection information, and searching for the via between the functional blocks corresponding to the second unit wiring area. 2nd reference line A second via occupancy number acquiring step of acquiring a second via occupancy number that is an occupied occupancy number, wherein the inter-block wiring area width estimating step includes a first wiring occupancy number and a second wiring occupancy number. Including the step of estimating the inter-block wiring area width based on the first via occupation number and the second via occupation number can surely cope with the design of a semiconductor integrated circuit having a multilayer wiring structure.

【0119】本発明の半導体集積回路のレイアウト設計
方法が、ブロック間配線領域幅推定工程よりも後に、各
機能ブロックに対して、推定されたブロック間配線領域
幅を満たす移動方向を推定する移動方向推定工程をさら
に備えていると、配置領域に仮に配置された複数の機能
ブロックが、各機能ブロック同士の間の配線領域に必要
な間隔を持つように再配置することが容易になるため、
コンパクション処理が容易となる。
In the layout design method for a semiconductor integrated circuit according to the present invention, a moving direction for estimating a moving direction that satisfies the estimated inter-block wiring region width for each functional block after the inter-block wiring region width estimating step. If the estimation step is further provided, a plurality of functional blocks temporarily arranged in the arrangement area can be easily rearranged so as to have a necessary interval in a wiring area between the functional blocks.
Compaction processing becomes easy.

【0120】この場合に、移動方向推定工程が、複数の
機能ブロックのうちのいずれかを、第1基準線又は第2
基準線が延びる方向に該基準線の間隔だけ移動させるこ
とにより、複数の機能ブロックに対して、推定されたブ
ロック間配線領域幅を満たす移動方向のうち配線領域の
面積が小さくなる移動方向を推定する工程を含むと、配
置領域に仮に配置された複数の機能ブロックが、各機能
ブロック同士の間の配線領域に必要で且つ最小限の間隔
を持つように再配置できるため、チップ面積の低減が容
易となる。
In this case, the moving direction estimating step includes the step of determining one of the plurality of functional blocks by using the first reference line or the second reference line.
By moving the reference line in the direction in which the reference line extends, by estimating the movement direction in which the area of the wiring region becomes smaller among the movement directions satisfying the estimated inter-block wiring region width for a plurality of functional blocks. Since the plurality of functional blocks temporarily arranged in the arrangement area can be rearranged so as to have a necessary and minimum interval in the wiring area between the functional blocks, the chip area can be reduced. It will be easier.

【0121】本発明の半導体集積回路のレイアウト設計
装置によると、本発明のレイアウト設計方法を実現でき
るため、機能ブロック間の配線領域の領域幅を最適化で
き、結果的に、機能ブロックのコンパクションをも行な
える。
According to the semiconductor integrated circuit layout designing apparatus of the present invention, since the layout designing method of the present invention can be realized, the width of the wiring area between the functional blocks can be optimized, and as a result, the compaction of the functional blocks can be reduced. Can also do.

【0122】本発明の半導体集積回路のレイアウト設計
装置が、配置領域に仮に配置された複数の機能ブロック
の配置位置と、機能ブロックごとに配線領域が最適化さ
れるブロックの移動方向とを表示する表示手段をさらに
備えていると、機能ブロックの必要な移動方向を人が外
部から確認できるため、コンパクション結果の最適化が
一義的に決定され得ないような場合には、人手に任せる
ことが可能となる。
A layout design apparatus for a semiconductor integrated circuit according to the present invention displays the arrangement positions of a plurality of functional blocks temporarily arranged in an arrangement area and the moving direction of a block whose wiring area is optimized for each functional block. With additional display means, a person can check the required movement direction of the function block from outside, so if optimization of compaction results cannot be uniquely determined, it can be left to humans Becomes

【0123】本発明の半導体集積回路のレイアウト設計
装置が、機能ブロックが有する電源端子に電源電圧を供
給する電源配線を配線領域に設定する際に、電源配線の
複数の配線経路のうちブロック間配線領域幅の増加が少
ない配線経路を選択することにより決定する電源配線経
路決定手段をさらに備えていると、従来、人手に頼って
いた電源配線経路をも決定することが可能となる。
When the semiconductor integrated circuit layout design apparatus of the present invention sets a power supply line for supplying a power supply voltage to a power supply terminal included in a functional block to a wiring area, an inter-block wiring among a plurality of wiring paths of the power supply line is used. By further providing a power supply wiring path determining unit that determines by selecting a wiring path with a small increase in the area width, it is possible to determine a power supply wiring path that has conventionally depended on manual labor.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1の実施形態に係る半導体集積回路
のレイアウト設計方法に用いる配置領域及び該配置領域
における基準線を示す部分平面図である。
FIG. 1 is a partial plan view showing an arrangement region used for a layout design method of a semiconductor integrated circuit according to a first embodiment of the present invention and a reference line in the arrangement region.

【図2】本発明の第1の実施形態に係る半導体集積回路
のレイアウト設計方法を説明するためのブロック配置を
示し、(a)は配置領域に複数のブロックが仮配置され
た平面図であり、(b)は配置領域における配線グリッ
ド領域を示す平面図であり、(c)は配線グリッド領域
と対応する配線計数領域を示す構成図である。
FIGS. 2A and 2B are block diagrams for explaining a layout design method of the semiconductor integrated circuit according to the first embodiment of the present invention, and FIG. 2A is a plan view in which a plurality of blocks are temporarily arranged in an arrangement area; (B) is a plan view showing a wiring grid area in an arrangement area, and (c) is a configuration diagram showing a wiring counting area corresponding to the wiring grid area.

【図3】本発明の第1の実施形態に係る半導体集積回路
のレイアウト設計方法を示すフローチャート図である。
FIG. 3 is a flowchart illustrating a layout design method of the semiconductor integrated circuit according to the first embodiment of the present invention.

【図4】本発明の第1の実施形態に係る半導体集積回路
のレイアウト設計方法における配線領域上のヴィアによ
るトラック占有数を説明するための部分平面図である。
FIG. 4 is a partial plan view for explaining the number of tracks occupied by vias in a wiring region in the semiconductor integrated circuit layout designing method according to the first embodiment of the present invention.

【図5】本発明の第1の実施形態に係る半導体集積回路
のレイアウト設計方法における配線領域上のヴィアによ
るトラック占有数を説明するための部分平面図である。
FIG. 5 is a partial plan view for explaining the number of tracks occupied by vias in a wiring region in the semiconductor integrated circuit layout designing method according to the first embodiment of the present invention.

【図6】本発明の第1の実施形態に係る半導体集積回路
のレイアウト設計方法における配線領域上のヴィアによ
るトラック占有数を説明するための部分平面図である。
FIG. 6 is a partial plan view for describing the number of tracks occupied by vias in a wiring region in the semiconductor integrated circuit layout design method according to the first embodiment of the present invention.

【図7】本発明の第1の実施形態に係る半導体集積回路
のレイアウト設計方法を説明するためのブロック間の配
線領域幅の見積もり例を示す部分平面図である。
FIG. 7 is a partial plan view showing an example of estimating a wiring region width between blocks for describing a layout design method of the semiconductor integrated circuit according to the first embodiment of the present invention.

【図8】本発明の第1の実施形態に係る半導体集積回路
のレイアウト設計装置を示す機能構成図である。
FIG. 8 is a functional configuration diagram showing a semiconductor integrated circuit layout design apparatus according to the first embodiment of the present invention.

【図9】本発明の第1の実施形態に係る半導体集積回路
のレイアウト設計装置の表示部における配置領域の表示
例を示す平面図である。
FIG. 9 is a plan view showing a display example of an arrangement region in a display unit of the semiconductor integrated circuit layout design apparatus according to the first embodiment of the present invention.

【図10】本発明の第2の実施形態に係る半導体集積回
路のレイアウト設計方法を説明するためのブロック配置
を示し、(a)は配置領域上に複数のブロックが初期配
置された状態を示す平面図であり、(b)は各ブロック
に対して一次元コンパクション処理が実行された配置結
果を示す平面図である。
FIG. 10 shows a block arrangement for explaining a layout design method of a semiconductor integrated circuit according to a second embodiment of the present invention, and FIG. 10 (a) shows a state where a plurality of blocks are initially arranged on an arrangement area. It is a top view, and (b) is a top view showing the arrangement result which performed one-dimensional compaction processing to each block.

【図11】本発明の第2の実施形態の一変形例に係るレ
イアウト設計方法におけるチップ面積の低減を説明する
ための配置領域を示す平面図である。
FIG. 11 is a plan view showing an arrangement region for explaining reduction of a chip area in a layout design method according to a modification of the second embodiment of the present invention.

【図12】本発明の第2の実施形態の一変形例に係る半
導体集積回路のレイアウト設計装置を示す機能構成図で
ある。
FIG. 12 is a functional configuration diagram showing a layout design apparatus for a semiconductor integrated circuit according to a modification of the second embodiment of the present invention.

【図13】本発明の第3の実施形態に係る半導体集積回
路のレイアウト設計方法におけるブロック間の電源経路
の配線を説明するための模式的なブロック配置を示し、
(a)は配置領域上の電源配線を示す平面図であり、
(b)は配線領域と対応する配線計数領域を示す構成図
である。
FIG. 13 shows a schematic block layout for explaining wiring of a power supply path between blocks in a semiconductor integrated circuit layout designing method according to a third embodiment of the present invention;
(A) is a top view which shows the power supply wiring on an arrangement area,
(B) is a configuration diagram showing a wiring count area corresponding to a wiring area.

【図14】本発明の第3の実施形態に係る半導体集積回
路のレイアウト設計装置を示す機能構成図である。
FIG. 14 is a functional configuration diagram showing a layout design apparatus for a semiconductor integrated circuit according to a third embodiment of the present invention.

【符号の説明】[Explanation of symbols]

10 配置領域 11 トラック(第1の基準線) 12 カラム(第2の基準線) 20 配線領域 21 機能ブロック 21A 第1の機能ブロック 21B 第2の機能ブロック 21C 第3の機能ブロック 21D 第4の機能ブロック 21E 第5の機能ブロック 23A 第1のヴィア 23B 第2のヴィア 24 配線 24A 第1の配線 24B 第2の配線 24C 第1の配線 24D 第2の配線 24E 第1の配線 24F 第2の配線 24G 第3の配線 26A 第1の入出力ブロック 26B 第2の入出力ブロック 26C 第3の入出力ブロック 26D 第4の入出力ブロック 26E 第1の電源ブロック 26F 第2の電源ブロック 31 配線グリッド領域 31a 配線グリッド領域 31b バックトレース情報 32 配線計数領域 32a 単位配線計数領域 32b 第1の単位配線計数領域 32c 第2の単位配線計数領域 32A 配線計数領域(配線占有数保持手段) 32B 配線計数領域(ヴィア占有数保持手段) 32C 配線計数領域 41A 第1のブロック端子 41B 第2のブロック端子 41C 第1のブロック端子 41D 第2のブロック端子 41E 第1のブロック端子 41F 第2のブロック端子 42A 第1のヴィア 42B 第2のヴィア 42C 第3のヴィア 42D 第1のヴィア 42E 第2のヴィア 42F 第3のヴィア 42G 第1のヴィア 42H 第2のヴィア 42I 第3のヴィア 42J 第4のヴィア 51A 第1の指示マーク 51B 第2の指示マーク 61A 第1の電源配線経路 61B 第2の電源配線経路 101 演算部 102 記憶部 103 入力部 104 表示部(表示手段) 105 配線グリッド領域抽出部 106 ブロック間配線領域幅推定部 107 ブロック選択部 108 移動方向推定部 109 ブロック移動部 110 チップ面積推定部(配線領域最適化手段) 111 ブロック位置決定部(配線領域最適化手段) 113 電源経路探索部 114 電源経路決定部 Reference Signs List 10 Arrangement area 11 Track (first reference line) 12 Column (second reference line) 20 Wiring area 21 Function block 21A First function block 21B Second function block 21C Third function block 21D Fourth function Block 21E Fifth functional block 23A First via 23B Second via 24 Wiring 24A First wiring 24B Second wiring 24C First wiring 24D Second wiring 24E First wiring 24F Second wiring 24G Third wiring 26A First input / output block 26B Second input / output block 26C Third input / output block 26D Fourth input / output block 26E First power supply block 26F Second power supply block 31 Wiring grid area 31a Wiring Grid area 31b Back trace information 32 Wiring count area 32a Unit wiring count area 32b 1st unit wiring count area 32c 2nd unit wiring count area 32A wiring count area (wiring occupancy number holding means) 32B wiring count area (via occupancy number holding means) 32C wiring count area 41A first block terminal 41B 2 block terminals 41C 1st block terminal 41D 2nd block terminal 41E 1st block terminal 41F 2nd block terminal 42A 1st via 42B 2nd via 42C 3rd via 42D 1st via 42E 2 via 42F 3rd via 42G 1st via 42H 2nd via 42I 3rd via 42J 4th via 51A First indication mark 51B Second indication mark 61A First power supply wiring path 61B Second Power supply wiring path 101 calculation unit 102 storage unit 103 input unit 104 display unit (display Stage 105 wiring grid region extracting unit 106 inter-block wiring region width estimating unit 107 block selecting unit 108 moving direction estimating unit 109 block moving unit 110 chip area estimating unit (wiring region optimizing means) 111 block position determining unit (wiring region optimization) 113) Power path search section 114 Power path determination section

───────────────────────────────────────────────────── フロントページの続き (72)発明者 豊永 昌彦 大阪府門真市大字門真1006番地 松下電器 産業株式会社内 Fターム(参考) 5B046 AA08 BA05 BA06 5F064 AA06 DD02 DD08 DD12 DD18 DD20 DD24 DD32 DD50 EE03 EE08 EE10 EE12 EE14 EE15 EE16 EE26 EE27 EE52 EE58 EE60 HH06  ────────────────────────────────────────────────── ─── Continued on the front page (72) Inventor Masahiko Toyonaga 1006 Kazuma Kadoma, Kadoma City, Osaka Prefecture F-term in Matsushita Electric Industrial Co., Ltd. (Reference) 5B046 AA08 BA05 BA06 5F064 AA06 DD02 DD08 DD12 DD18 DD20 DD24 DD32 DD50 EE03 EE08 EE10 EE12 EE14 EE15 EE16 EE26 EE27 EE52 EE58 EE60 HH06

Claims (7)

【特許請求の範囲】[Claims] 【請求項1】 それぞれが所定間隔をおいて平行に延び
且つ互いに交差する複数の第1基準線及び複数の第2基
準線により格子状に区画された配置領域上に、複数の機
能ブロックからなる半導体集積回路における前記複数の
機能ブロックをそれぞれ配置し、配置された前記機能ブ
ロック同士の間に形成される配線領域に敷設される配線
に必要なブロック間配線領域幅を推定する半導体集積回
路のレイアウト設計方法であって、 前記配置領域に、前記複数の機能ブロックを互いに間隔
をおき且つ前記第1基準線又は第2基準線に沿って仮に
配置する機能ブロック仮配置工程と、 前記配線領域における前記第1基準線が延びる方向の領
域から、互いに隣接する1対の前記第1基準線に挟まれ
且つ1対の前記第2基準線ごとに区画された複数の第1
の単位配線領域からなる第1の配線グリッド領域を抽出
する第1の配線グリッド領域抽出工程と、 前記配線領域における前記第2基準線が延びる方向の領
域から、互いに隣接する1対の前記第2基準線に挟まれ
且つ1対の前記第1基準線ごとに区画された複数の第2
の単位配線領域からなる第2の配線グリッド領域を抽出
する第2の配線グリッド領域抽出工程と、 前記第1の配線グリッド領域に対して、回路接続情報に
基づいて配線を探索し、探索された配線が前記第1の単
位配線領域と対応する機能ブロック同士の間で前記第1
基準線を占有する占有数である第1の配線占有数を取得
する第1の配線占有数取得工程と、 前記第2の配線グリッド領域に対して、前記回路接続情
報に基づいて配線を探索し、探索された配線が前記第2
の単位配線領域と対応する機能ブロック同士の間で前記
第2基準線を占有する占有数である第2の配線占有数を
取得する第2の配線占有数取得工程と、 前記第1の配線占有数及び第2の配線占有数に基づい
て、前記各機能ブロック間のブロック間配線領域幅を推
定するブロック間配線領域幅推定工程とを備えているこ
とを特徴とする半導体集積回路のレイアウト設計方法。
1. A plurality of functional blocks are provided on an arrangement area, which extends in parallel at a predetermined interval and is divided into a grid by a plurality of first reference lines and a plurality of second reference lines that intersect with each other. Layout of a semiconductor integrated circuit for arranging the plurality of functional blocks in a semiconductor integrated circuit and estimating an inter-block wiring area width required for wiring laid in a wiring area formed between the arranged functional blocks A design method, wherein a plurality of functional blocks are temporarily arranged in the arrangement region at intervals from each other and along the first reference line or the second reference line; From the region in the direction in which the first reference line extends, the plurality of first reference lines sandwiched by the pair of first reference lines adjacent to each other and partitioned for each pair of the second reference lines
A first wiring grid area extracting step of extracting a first wiring grid area composed of unit wiring areas; and a pair of second wiring grid areas adjacent to each other from a region in the wiring area in a direction in which the second reference line extends. A plurality of second sections sandwiched between reference lines and partitioned for each pair of the first reference lines
A second wiring grid area extracting step of extracting a second wiring grid area composed of unit wiring areas, and searching for wiring in the first wiring grid area based on circuit connection information. Wiring is performed between the functional blocks corresponding to the first unit wiring area and the first unit wiring area.
A first wiring occupation number obtaining step of obtaining a first wiring occupying number that is an occupying number occupying the reference line; and searching for wiring in the second wiring grid area based on the circuit connection information. , The searched wiring is the second
A second wiring occupation number acquiring step of acquiring a second wiring occupying number, which is an occupying number occupying the second reference line, between the functional blocks corresponding to the unit wiring area and the first wiring occupancy. A step of estimating an inter-block wiring area width between the respective functional blocks based on the number and the second number of occupied wirings. .
【請求項2】 前記半導体集積回路は、互いに重なる複
数の配線層を有しており、 前記第1の配線占有数取得工程と前記ブロック間配線領
域幅推定工程との間に、 前記第1の配線グリッド領域に対して、前記回路接続情
報に基づいて前記複数の配線層における一の配線層と他
の配線層とを接続するヴィアを探索し、探索されたヴィ
アが前記第1の単位配線領域と対応する機能ブロック同
士の間で前記第1基準線を占有する占有数である第1の
ヴィア占有数を取得する第1のヴィア占有数取得工程
と、 前記第2の配線グリッド領域に対して、前記回路接続情
報に基づいて前記複数の配線層における一の配線層と他
の配線層とを接続するヴィアを探索し、探索されたヴィ
アが前記第2の単位配線領域と対応する機能ブロック同
士の間で前記第2基準線を占有する占有数である第2の
ヴィア占有数を取得する第2のヴィア占有数取得工程と
をさらに備え、 前記ブロック間配線領域幅推定工程は、前記第1の配線
占有数、前記第2の配線占有数、前記第1のヴィア占有
数及び前記第2のヴィア占有数に基づいて、前記ブロッ
ク間配線領域幅を推定する工程を含むことを特徴とする
請求項1に記載の半導体集積回路のレイアウト設計方
法。
2. The method according to claim 1, wherein the semiconductor integrated circuit has a plurality of wiring layers overlapping each other, wherein the first wiring occupation number obtaining step and the inter-block wiring area width estimating step are performed during the first step. A via that connects one wiring layer and another wiring layer in the plurality of wiring layers is searched for the wiring grid area based on the circuit connection information, and the searched via is connected to the first unit wiring area. A first via occupancy number acquiring step of acquiring a first via occupancy number which is an occupancy number occupying the first reference line between functional blocks corresponding to the first and second wiring grid areas; Searching for vias connecting one wiring layer and another wiring layer in the plurality of wiring layers based on the circuit connection information, and finding the vias between functional blocks corresponding to the second unit wiring area; The second group between A second via occupancy number acquiring step of acquiring a second via occupancy number which is an occupancy number occupying the reference line, wherein the inter-block wiring area width estimating step comprises: 2. The semiconductor according to claim 1, further comprising a step of estimating the inter-block wiring area width based on a second wiring occupation number, the first via occupation number, and the second via occupation number. Layout design method for integrated circuits.
【請求項3】 前記ブロック間配線領域幅推定工程より
も後に、前記複数の機能ブロックに対して、推定された
前記ブロック間配線領域幅を満たす移動方向を推定する
移動方向推定工程をさらに備えていることを特徴とする
請求項1又は2に記載の半導体集積回路のレイアウト設
計方法。
3. A moving direction estimating step of estimating a moving direction that satisfies the estimated inter-block wiring area width for the plurality of functional blocks after the inter-block wiring area width estimating step. 3. The layout design method for a semiconductor integrated circuit according to claim 1, wherein:
【請求項4】 前記移動方向推定工程は、前記複数の機
能ブロックのうちのいずれかを、前記第1基準線又は第
2基準線が延びる方向に該基準線の間隔だけ移動させる
ことにより、前記複数の機能ブロックに対して、推定さ
れた前記ブロック間配線領域幅を満たす移動方向のうち
前記配線領域の面積が小さくなる移動方向を推定する工
程を含むことを特徴とする請求項3に記載の半導体集積
回路のレイアウト設計方法。
4. The moving direction estimating step includes moving one of the plurality of functional blocks in a direction in which the first reference line or the second reference line extends by an interval between the reference lines. 4. The method according to claim 3, further comprising estimating, for a plurality of functional blocks, a moving direction in which the area of the wiring region becomes smaller among the moving directions satisfying the estimated inter-block wiring region width. 5. A layout design method for a semiconductor integrated circuit.
【請求項5】 それぞれが所定間隔をおいて平行に延び
且つ互いに交差する複数の第1基準線及び複数の第2基
準線により格子状に区画された配置領域上に、複数の機
能ブロックからなる半導体集積回路における前記複数の
機能ブロックをそれぞれ配置し、配置された前記機能ブ
ロック同士の間に形成される配線領域の領域面積を最適
化する半導体集積回路のレイアウト設計装置であって、 前記配置領域上における前記複数の機能ブロックが互い
に間隔をおいて仮に配置されて形成される配線領域にお
ける前記第1基準線が延びる方向の領域から、互いに隣
接する1対の前記第1基準線に挟まれ且つ1対の前記第
2基準線ごとに区画された複数の第1の単位配線領域か
らなる第1の配線グリッド領域を抽出すると共に、前記
配線領域における前記第2基準線が延びる方向の領域か
ら、互いに隣接する1対の前記第2基準線に挟まれ且つ
1対の前記第1基準線ごとに区画された複数の第2の単
位配線領域からなる第2の配線グリッド領域を抽出する
配線グリッド領域抽出手段と、 前記第1の配線グリッド領域に対して、回路接続情報に
基づいて配線を探索し、探索された配線が前記第1の単
位配線領域と対応する機能ブロック同士の間で前記第1
基準線を占有する占有数である第1の配線占有数を取得
すると共に、前記第2の配線グリッド領域に対して、前
記回路接続情報に基づいて配線を探索し、探索された配
線が前記第2の単位配線領域と対応する機能ブロック同
士の間で前記第2基準線を占有する占有数である第2の
配線占有数を取得し、取得した第1の配線占有数及び第
2の配線占有数を保持する配線占有数保持手段と、 前記第1の配線グリッド領域に対して、前記回路接続情
報に基づいて前記複数の配線層における一の配線層と他
の配線層とを接続するヴィアを探索し、探索されたヴィ
アが前記第1の単位配線領域と対応する機能ブロック同
士の間で前記第1基準線を占有する占有数である第1の
ヴィア占有数を取得すると共に、前記第2の配線グリッ
ド領域に対して、前記回路接続情報に基づいて前記複数
の配線層における一の配線層と他の配線層とを接続する
ヴィアを探索し、探索されたヴィアが前記第2の単位配
線領域と対応する機能ブロック同士の間で前記第2基準
線を占有する占有数である第2のヴィア占有数を取得
し、取得した第1のヴィア占有数及び第2のヴィア占有
数を保持するヴィア占有数保持手段と、 前記第1の配線占有数、前記第2の配線占有、前記第1
のヴィア占有数及び前記第2のヴィア占有数に基づい
て、前記各機能ブロック間のブロック間配線領域幅を推
定するブロック間配線領域幅推定手段と、 前記配置領域に仮に配置された前記複数の機能ブロック
を、推定された前記ブロック間配線領域幅を満たし且つ
前記配線領域の面積が小さくなるように再配置すること
により、前記配線領域の領域面積を最適化する配線領域
最適化手段とを備えていることを特徴とする半導体集積
回路のレイアウト設計装置。
5. A plurality of functional blocks are provided on an arrangement area which is extended in parallel at a predetermined interval and which is divided into a grid by a plurality of first reference lines and a plurality of second reference lines which cross each other. A layout design apparatus for a semiconductor integrated circuit for arranging the plurality of functional blocks in a semiconductor integrated circuit and optimizing a region area of a wiring region formed between the arranged functional blocks, A plurality of functional blocks above are interposed between a pair of first reference lines adjacent to each other from a region in a direction in which the first reference lines extend in a wiring region formed by being temporarily arranged at intervals from each other; A first wiring grid region including a plurality of first unit wiring regions partitioned for each pair of the second reference lines is extracted, and a first wiring grid region is extracted from the first wiring grid region. A plurality of second unit wiring regions sandwiched between a pair of the second reference lines adjacent to each other and partitioned for each pair of the first reference lines from a region in the direction in which the second reference line extends; Wiring grid area extraction means for extracting a second wiring grid area; and searching for wiring in the first wiring grid area based on circuit connection information, and searching for the wiring in the first unit wiring area. Between the corresponding functional blocks and the first
A first wiring occupancy number, which is an occupation number occupying the reference line, is obtained, and a wiring is searched for the second wiring grid area based on the circuit connection information. A second wiring occupancy number, which is an occupation number that occupies the second reference line, between the functional blocks corresponding to the second unit wiring area and the second wiring area, and the acquired first and second wiring occupancy numbers are obtained. Wiring occupancy number holding means for holding the number, and vias for connecting one wiring layer and another wiring layer in the plurality of wiring layers to the first wiring grid area based on the circuit connection information. Searching and acquiring the first via occupation number, which is the occupation number of the searched via occupying the first reference line between the functional blocks corresponding to the first unit wiring area and the second via, and For the wiring grid area, A via that connects one wiring layer and another wiring layer in the plurality of wiring layers is searched based on the circuit connection information, and the searched via is located between the functional blocks corresponding to the second unit wiring region. A second via occupancy number that occupies the second reference line, and a via occupancy number holding unit that holds the acquired first via occupancy number and second via occupancy number; 1, the second line occupancy, the first
An inter-block wiring area width estimating means for estimating an inter-block wiring area width between the functional blocks based on the via occupation number and the second via occupancy number; Wiring region optimization means for optimizing the region area of the wiring region by rearranging the functional blocks so as to satisfy the estimated inter-block wiring region width and to reduce the area of the wiring region. A layout design apparatus for a semiconductor integrated circuit.
【請求項6】 前記配置領域に仮に配置された前記複数
の機能ブロックの配置位置と、前記機能ブロックごとに
配線領域が最適化されるブロックの移動方向とを表示す
る表示手段をさらに備えていることを特徴とする請求項
5に記載の半導体集積回路のレイアウト設計装置。
6. A display unit for displaying an arrangement position of the plurality of functional blocks tentatively arranged in the arrangement area and a moving direction of a block in which a wiring area is optimized for each of the functional blocks. 6. The layout design apparatus for a semiconductor integrated circuit according to claim 5, wherein:
【請求項7】 前記機能ブロックが有する電源端子に電
源電圧を供給する電源配線を前記配線領域に設定する際
に、前記電源配線の複数の配線経路のうち前記ブロック
間配線領域幅の増加が少ない配線経路を選択することに
より決定する電源配線経路決定手段をさらに備えている
ことを特徴とする請求項5に記載の半導体集積回路のレ
イアウト設計装置。
7. When a power supply line for supplying a power supply voltage to a power supply terminal of the functional block is set in the wiring area, an increase in the width of the inter-block wiring area among a plurality of wiring paths of the power supply wiring is small. 6. The layout design apparatus for a semiconductor integrated circuit according to claim 5, further comprising a power supply wiring path determining means for determining by selecting a wiring path.
JP11154572A 1999-06-02 1999-06-02 Layout designing method and device of semiconductor integrated circuit Pending JP2000349156A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11154572A JP2000349156A (en) 1999-06-02 1999-06-02 Layout designing method and device of semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11154572A JP2000349156A (en) 1999-06-02 1999-06-02 Layout designing method and device of semiconductor integrated circuit

Publications (1)

Publication Number Publication Date
JP2000349156A true JP2000349156A (en) 2000-12-15

Family

ID=15587171

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11154572A Pending JP2000349156A (en) 1999-06-02 1999-06-02 Layout designing method and device of semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP2000349156A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113113322A (en) * 2021-03-31 2021-07-13 上海华虹宏力半导体制造有限公司 CUP through hole overlap correction method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113113322A (en) * 2021-03-31 2021-07-13 上海华虹宏力半导体制造有限公司 CUP through hole overlap correction method
CN113113322B (en) * 2021-03-31 2024-03-15 上海华虹宏力半导体制造有限公司 CUP through hole overlapping correction method

Similar Documents

Publication Publication Date Title
KR100201979B1 (en) Semiconductor circuit device
US20060151810A1 (en) Semiconductor device and computer program product for designing the same
JP4587520B2 (en) Automatic placement and routing method for semiconductor integrated circuit
JPH10270563A (en) Automatic general wiring method for integrated circuit
JP2006323643A (en) Floor plan design program, floor plan design device, and design method of semiconductor integrated circuit
JPH11126825A (en) Wiring density estimate method, storage medium loaded with wiring density estimate program, and cell arrangement device thereof
KR100255891B1 (en) Method of calculating a parasitic load in a semiconductor integrated circuit
US20040250230A1 (en) Wiring design method and system for electronic wiring boards
CN116029254B (en) Integrated circuit layout automatic wiring method and system based on path optimization
CN113987996A (en) Analog chip circuit winding method
KR100429112B1 (en) Semiconductor device, designing method and designing device thereof
JP2000349156A (en) Layout designing method and device of semiconductor integrated circuit
US7913216B2 (en) Accurate parasitics estimation for hierarchical customized VLSI design
JPH10173058A (en) Method for arrangement and interconnection
US8972910B1 (en) Routing method
JP2008310527A (en) Layout design device and layout design method for semiconductor integrated circuit
JP3548398B2 (en) Schematic route determination method and schematic route determination method
JP4275722B2 (en) Wiring design method
JP5423316B2 (en) Layout design apparatus, layout design method, and program
JPH1065007A (en) Apparatus and method for designing semiconductor integrated circuit
JP5035003B2 (en) Wiring layout apparatus, wiring layout method, and wiring layout program
JP2000332117A (en) Method for designing semiconductor integrated circuit
JP2002164435A (en) Method for designing layout
JP2000311944A (en) Wiring design method for semiconductor device
JP2006173191A (en) Wiring congestion degree estimation method of semiconductor integrated circuit