JP2000172738A - Automatic layout method for lsi - Google Patents

Automatic layout method for lsi

Info

Publication number
JP2000172738A
JP2000172738A JP10350529A JP35052998A JP2000172738A JP 2000172738 A JP2000172738 A JP 2000172738A JP 10350529 A JP10350529 A JP 10350529A JP 35052998 A JP35052998 A JP 35052998A JP 2000172738 A JP2000172738 A JP 2000172738A
Authority
JP
Japan
Prior art keywords
wiring
delay
path
net
wiring layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10350529A
Other languages
Japanese (ja)
Inventor
Sadayuki Mizunuma
貞幸 水沼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP10350529A priority Critical patent/JP2000172738A/en
Publication of JP2000172738A publication Critical patent/JP2000172738A/en
Pending legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To obtain an automatic layout method for an LSI which prevents delay violation path from occurring. SOLUTION: Necessary information is inputted (S101), all cells are automatically arranged (S102), the initial outline wiring for the entire net is carried out (S103), the delay time of each path is calculated in a delay analysis process 104, and a critical path violating the delay constraint value of each path. The results of this analysis are decided (S105), processing is returned to an arrangement processing process 102 when there is a critical path, and the processing is shifted to the next improvement outline wiring process 106 when no critical path exists. In the process 106, an initial outline wiring route and a wiring layer where wiring delay becomes minimum are allocated to a net having a strict delay constraint value, and a net outline wiring route and a wiring layer are allocated to nets other than the net so as to relieve the degree wiring congestion. In a detailed wiring process 107, a detailed wiring route is decided, based on the allocated outline wiring route.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、多層配線LSIの
自動レイアウト方法に関する。
The present invention relates to an automatic layout method for a multilayer wiring LSI.

【0002】[0002]

【従来の技術】従来、LSIの自動レイアウト方法は一
般に、タイミング制約を考慮した自動レイアウト方法と
して構成されている。特に近年、LSIの製造技術の発
展により配線が微細化しており、配線層数も多層化して
いる。このような傾向において、配線の微細化により配
線抵抗が大きくなり、ゲートの回路遅延がしめる割合よ
り配線による遅延時間の増加が支配的になっている。こ
のため、タイミングの制約を考慮してLSIのレイアウ
ト設計を行うことが、重要な課題となっている。
2. Description of the Related Art Conventionally, an automatic layout method of an LSI is generally configured as an automatic layout method in consideration of timing constraints. In particular, in recent years, wiring has become finer due to the development of LSI manufacturing technology, and the number of wiring layers has been increased. In such a tendency, the wiring resistance is increased due to the miniaturization of the wiring, and the increase in the delay time due to the wiring is more dominant than the rate at which the gate circuit delay is reduced. For this reason, it is an important subject to design an LSI layout in consideration of timing constraints.

【0003】各配線層の単位長あたりの配線容量および
配線抵抗を使用する従来のレイアウト方法として、例え
ば下記の公開公報により開示された手法がある。
As a conventional layout method using wiring capacitance and wiring resistance per unit length of each wiring layer, for example, there is a method disclosed in the following publication.

【0004】従来例1の特開平3−335764号公報
では、配置後に遅延値が最大の配線層の遅延値と、各ネ
ットの仮想配線長とによって遅延解析を行う技術を開示
している。また、従来例2の特開平6−118900号
公報では、従来の概略配線後にネット遅延値を検出し、
ネットの遅延値範囲内の配線層にネットを割り付ける技
術を開示している。
[0004] Japanese Patent Application Laid-Open No. 3-335564 discloses a technique for performing delay analysis based on the delay value of a wiring layer having the largest delay value after placement and the virtual wiring length of each net. In Japanese Patent Application Laid-Open No. Hei 6-118900 of Conventional Example 2, a net delay value is detected after a conventional general wiring,
A technique for allocating a net to a wiring layer within a delay value range of the net is disclosed.

【0005】[0005]

【発明が解決しようとする課題】しかしながら、上記従
来のタイミング制約を考慮した自動レイアウト方法で
は、各ネットの配線遅延時間を計算する際に単位長あた
りの配線容量および配線抵抗については、ネットの配線
層に応じて使い分けをせずに、代表的なタイプの1種類
の値で計算しており、遅延制約の厳しいネットに対して
は、配線長を短くすることのみに着目している。
However, in the above-described automatic layout method in consideration of the timing constraints, when calculating the wiring delay time of each net, the wiring capacitance per unit length and the wiring resistance are determined by the wiring of the net. The calculation is performed using one type of a typical type without using the layer according to the layer. For a net having a severe delay constraint, attention is paid only to shortening the wiring length.

【0006】このため、計算に用いた単位長あたりの配
線容量および配線抵抗では許容値以内の配線長であって
も、詳細配線後の配線が割り付けられた層の実際の配線
容量および配線抵抗に差があった場合、遅延違反を起こ
すことがある。特に、配線長が長い時に大きくネットの
配線遅延が異なるという問題を伴う。
For this reason, even if the wiring capacitance and wiring resistance per unit length used in the calculation are within the allowable values, the actual wiring capacitance and wiring resistance of the layer to which the wiring after detailed wiring is allocated is not affected. If there is a difference, a delay violation may occur. In particular, there is a problem that the wiring delay of the net differs greatly when the wiring length is long.

【0007】従来例1の特開平3−335764号公報
のネットの仮想配線長では、実際のネットの詳細配線ま
で行った配線長との間に大きな差がでる可能性がある。
このため、実際に違反ネットとして検出されるネットと
異なる場合がある。また、遅延値が最大の配線層の遅延
値を配線遅延時間計算に使用している。このため、多く
のネットが違反ネットとして検出され、優先的に概略配
線され、配線収容性に問題を生じさせる。
The virtual wiring length of the net disclosed in Japanese Patent Application Laid-Open No. 3-335564 in the prior art 1 may have a large difference from the actual wiring length of the net up to the detailed wiring.
Therefore, the net may be different from the net actually detected as a violation net. Further, the delay value of the wiring layer having the largest delay value is used for calculating the wiring delay time. For this reason, many nets are detected as violating nets, and are roughly wired preferentially, causing a problem in wiring accommodation.

【0008】また、従来例2の特開平6−118900
号公報では、概略配線時にネットの配線長が長くなった
場合に、最小の遅延値となる配線層に割り付けたとして
も遅延制約を満たさない問題がある。
Further, Japanese Patent Application Laid-Open No. 6-118900
In the publication, there is a problem that when a wiring length of a net becomes long at the time of general wiring, the delay constraint is not satisfied even if it is assigned to a wiring layer having a minimum delay value.

【0009】本発明は、遅延違反パスを発生させないL
SIの自動レイアウト方法を提供することを目的とす
る。
According to the present invention, L which does not cause a delay violation path is generated.
An object of the present invention is to provide an automatic layout method for SI.

【0010】[0010]

【課題を解決するための手段】かかる目的を達成するた
め、本発明のLSIの自動レイアウト方法は、レイアウ
ト設計を行う上で必要となる情報を入力する情報入力工
程と、全セルの自動配置を行う配置処理工程と、全ネッ
トの概略配線を実行する初期概略配線工程と、各パスの
遅延時間を計算し、各パスの遅延制約値を違反するクリ
ティカルパスを抽出する遅延解析工程と、遅延解析工程
でクリティカルパスがある場合に配置処理工程に処理を
戻し、クリティカルパスがない場合に処理を次の改良概
略配線工程に移行させる遅延違反パス有無を判定する判
定工程と、遅延制約値の厳しいネットには初期概略配線
経路と最も配線遅延が最小となる配線層に割り当て、そ
れ以外のネットには配線混雑度を緩和するようにネット
の概略配線経路と配線層を割り付ける改良概略配線工程
と、概略配線経路に基づき詳細配線経路を決定する詳細
配線工程と、を有して構成されたことを特徴としてい
る。
In order to achieve the above object, an automatic layout method for an LSI according to the present invention comprises an information input step of inputting information required for layout design, and an automatic arrangement of all cells. A placement processing step to be performed, an initial rough routing step for performing rough routing of all nets, a delay analysis step for calculating a delay time of each path and extracting a critical path that violates a delay constraint value of each path, and a delay analysis When there is a critical path in the process, the process returns to the placement processing step, and when there is no critical path, the process shifts to the next improved schematic routing process. Is assigned to the initial schematic routing path and the wiring layer that minimizes the wiring delay, and to the other nets, An improved global routing step of allocating a line layer, and characterized in that it is configured to have a detailed wiring determining a detailed wiring path on the basis of the rough wiring path, the.

【0011】また、上記の情報入力工程で必要となる情
報は、論理接続情報、物理ライブラリ情報、遅延ライブ
ラリ情報、パス遅延制約情報を含む情報であり、配置処
理工程は、セルの初期自動配置とクリティカルパス上の
ネットに関係するセルの改良配置機能を有するとよい。
The information required in the information input step is information including logical connection information, physical library information, delay library information, and path delay constraint information. It is desirable to have an improved placement function of cells related to nets on the critical path.

【0012】さらに、初期概略配線工程は、各ネットの
概略配線経路を最短長経路で求める工程であり、遅延解
析工程は、各ネットの初期概略配線経路と遅延値が最小
となる配線層の単位長あたり配線容量と配線抵抗値とを
用いて、ネット配線遅延時間とパスの遅延時間を計算
し、このパスの遅延制約値を違反するクリティカルパス
を検出する処理工程であることとするとよい。
Further, the initial schematic wiring step is a step of obtaining a schematic wiring path of each net with the shortest path, and the delay analyzing step is a step of calculating the initial schematic wiring path of each net and a unit of a wiring layer having a minimum delay value. It may be a processing step of calculating a net wiring delay time and a path delay time using a wiring capacity per length and a wiring resistance value, and detecting a critical path violating the delay constraint value of the path.

【0013】なお、遅延解析工程は、水平配線を割り当
てる各配線層毎に単位長あたりの配線容量と配線抵抗の
積を計算し、この値の最も小さい配線層を水平配線を割
り当てる配線層の中で最も遅延時間の小さい配線層と
し、また、垂直配線を割り当てる各配線層毎に単位長あ
たりの配線容量と配線抵抗の積を計算し、この値の最も
小さい配線層を垂直配線を割り当てる配線層の中で最も
遅延時間の小さい配線層とするとよい。
In the delay analysis step, the product of the wiring capacitance and the wiring resistance per unit length is calculated for each wiring layer to which the horizontal wiring is allocated, and the wiring layer having the smallest value is determined by the wiring layer to which the horizontal wiring is allocated. Calculate the product of the wiring capacitance per unit length and the wiring resistance for each wiring layer to which the vertical wiring is assigned, and assign the vertical wiring to the wiring layer with the smallest value. It is good to use the wiring layer having the shortest delay time among the above.

【0014】[0014]

【発明の実施の形態】次に添付図面を参照して本発明に
よるLSIの自動レイアウト方法の実施の形態を詳細に
説明する。図1を参照すると本発明のLSIの自動レイ
アウト方法の一実施形態が示されている。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of an automatic layout method for an LSI according to the present invention will be described in detail with reference to the accompanying drawings. FIG. 1 shows an embodiment of an automatic layout method for an LSI according to the present invention.

【0015】図1は、実施形態の自動レイアウト方法の
一例を示すフローチャートである。本方法は、多層であ
り、かつ各配線層の単位長あたりの配線容量および配線
抵抗が異なるLSIに適用される自動レイアウト方法で
ある。
FIG. 1 is a flowchart showing an example of the automatic layout method according to the embodiment. This method is an automatic layout method applied to an LSI having a multilayer structure and different wiring capacities and wiring resistances per unit length of each wiring layer.

【0016】本実施形態の自動レイアウト方法は、情報
を入力する情報入力工程101と、セルの改良配置機能
を有する配置処理工程102と、初期概略配線工程10
3と、クリティカルパスを検出する遅延解析工程104
と、遅延違反パス有無の判定工程105と、ネットの概
略配線経路と配線層を割り付ける改良概略配線工程10
6と、詳細配線工程107とを有する。
The automatic layout method according to this embodiment includes an information input step 101 for inputting information, an arrangement processing step 102 having an improved cell arrangement function, and an initial schematic wiring step 10.
3 and a delay analysis step 104 for detecting a critical path
A delay violation path determination step 105; and an improved schematic wiring step 10 for allocating a schematic wiring path and a wiring layer of a net.
6 and a detailed wiring step 107.

【0017】情報入力工程101は、論理接続情報、物
理ライブラリ情報、遅延ライブラリ情報、パス遅延制約
情報等のレイアウト設計を行う上で必要となる情報を入
力する工程である。この論理接続情報とは、回路を構成
する論理素子(ゲート)間の論理接続関係の情報であ
る。物理ライブラリ情報とは、設計するLSIのサイ
ズ、各ゲートの種類別のサイズや端子位置、配線の幅な
どLSIのレイアウトを行う上での必要な情報である。
遅延ライブラリ情報には、各配線層の単位長あたりの容
量、抵抗値などの論理素子間の配線の遅延値を計算する
ための情報や各ゲートの内部遅延値等の情報である。
The information input step 101 is a step of inputting information necessary for designing a layout such as logical connection information, physical library information, delay library information, and path delay constraint information. The logical connection information is information on a logical connection relationship between logical elements (gates) forming a circuit. The physical library information is information necessary for laying out the LSI, such as the size of the LSI to be designed, the size of each gate type, the terminal position, and the width of the wiring.
The delay library information is information for calculating a delay value of a wiring between logic elements such as a capacitance per unit length of each wiring layer and a resistance value, and information such as an internal delay value of each gate.

【0018】配置処理工程102は、セルの初期自動配
置とクリティカルパス上のネットに関係するセルの改良
配置機能を有している。
The placement processing step 102 has an initial automatic placement of cells and an improved placement function of cells related to a net on a critical path.

【0019】初期概略配線工程103は、各ネットの概
略配線経路を最短長経路で求める工程である。
The initial general wiring step 103 is a step of obtaining a general wiring path of each net with the shortest path.

【0020】遅延解析工程104は、各ネットの初期概
略配線経路と遅延値が最小となる配線層の単位長あたり
配線容量と配線抵抗値とを用いて、ネット配線遅延時間
とパス遅延時間を計算し、パス遅延制約値を違反するク
リティカルパスを検出する処理工程である。
The delay analysis step 104 calculates a net wiring delay time and a path delay time using the initial schematic wiring path of each net and the wiring capacitance and wiring resistance per unit length of the wiring layer having the minimum delay value. This is a processing step of detecting a critical path violating the path delay constraint value.

【0021】判定工程105は、遅延解析工程104で
クリティカルパスがある場合に配置処理工程102に処
理を戻し、クリティカルパスがない場合に処理を改良概
略配線工程106に移行させる遅延違反パス有無を判定
する工程である。
The determination step 105 returns to the placement processing step 102 when there is a critical path in the delay analysis step 104, and shifts the processing to the improved schematic routing step 106 when there is no critical path. This is the step of performing

【0022】改良概略配線工程106は、遅延制約値の
厳しいネットには初期概略配線経路と最も配線遅延が最
小となる配線層に割り当て、それ以外のネットには配線
混雑度を緩和するようにネットの概略配線経路と配線層
を割り付ける工程である。
The improved schematic routing step 106 assigns an initial schematic routing path to a net having a severe delay constraint value and a wiring layer having the smallest wiring delay, and assigns a net to other nets so as to reduce the degree of wiring congestion. This is a step of allocating a general wiring path and a wiring layer.

【0023】詳細配線工程107は、概略配線経路に基
づき詳細配線経路を決定する工程である。
The detailed wiring step 107 is a step of determining a detailed wiring path based on a schematic wiring path.

【0024】(動作の説明)図1の実施形態の動作例を
以下に説明する。情報入力ステップ101では、レイア
ウト設計に必要な情報を入力する。必要な情報として、
論理接続情報、物理ライブラリ情報、遅延ライブラリ情
報、パスの遅延制約情報を入力する。
(Description of Operation) An operation example of the embodiment of FIG. 1 will be described below. In an information input step 101, information necessary for layout design is input. As necessary information,
Input logical connection information, physical library information, delay library information, and path delay constraint information.

【0025】各配線層の単位長あたりの容量および抵抗
値は、各層の配線幅、配線の高さ、材質等の製造パラメ
ータにより異なり、一般的に配線容量は配線幅、配線の
高さに比例して大きくなるが、配線抵抗は幅、高さに反
比例して小さくなる。なお、パスの遅延制約情報の入力
では、各フリップフロップ間パスに対して付くパス遅延
制約値を入力する。
The capacitance and resistance per unit length of each wiring layer vary depending on the manufacturing parameters such as the wiring width, wiring height, and material of each layer. Generally, the wiring capacitance is proportional to the wiring width and the wiring height. However, the wiring resistance decreases in inverse proportion to the width and height. In the input of path delay constraint information, a path delay constraint value attached to each inter-flip-flop path is input.

【0026】次に、ステップ102で全セルの自動配置
を行う。ステップ103では、全ネットの概略配線を実
行する。この時、概略配線は、各ネットの配線長を最短
する目的で動作し、ここでは、配線混雑度は考慮せず、
概略配線経路を決定する。この時点では、各ネットの配
線長は最短長になっている。
Next, in step 102, all cells are automatically arranged. In step 103, general wiring of all nets is executed. At this time, the schematic wiring operates for the purpose of minimizing the wiring length of each net, and here, the wiring congestion degree is not considered,
Determine a schematic wiring path. At this point, the wiring length of each net is the shortest.

【0027】ステップ104では、各パスの遅延時間を
計算し、各パスの遅延制約値を違反するクリティカルパ
スを抽出する。パスの遅延時間は信号が通過するゲート
の内部遅延時間と各ネットの配線遅延時間の合計で表せ
る。ここでは、ネットの配線遅延時間は、Elmore
のRCモデルによって計算することとする。
In step 104, the delay time of each path is calculated, and a critical path violating the delay constraint value of each path is extracted. The delay time of a path can be represented by the sum of the internal delay time of a gate through which a signal passes and the wiring delay time of each net. Here, the wiring delay time of the net is Elmore
Is calculated by the RC model of

【0028】配線遅延時間の計算の例を図2に示す。本
図2において、水平配線セグメントS1は配線層1、垂
直配線セグメントS2は配線層2、水平配線セグメント
S3は配線層3に割り付けられている。図2における出
力端子Aから入力端子Bまでの配線遅延時間TABは、
一般的に次式1で計算され、出力端子Aから入力端子C
までの配線遅延時間TACは、次式2で計算される。
FIG. 2 shows an example of calculation of the wiring delay time. In FIG. 2, the horizontal wiring segment S1 is allocated to the wiring layer 1, the vertical wiring segment S2 is allocated to the wiring layer 2, and the horizontal wiring segment S3 is allocated to the wiring layer 3. The wiring delay time TAB from the output terminal A to the input terminal B in FIG.
Generally, it is calculated by the following equation 1, and the output terminal A is connected to the input terminal C.
The wiring delay time TAC up to is calculated by the following equation 2.

【0029】 TAB=RA (C1・L1+C2・L2+C3・L3+CB+CC)+r1・ L1(C1・L1/2+C2・L2+C3・L3+CB+CC)+r3・L3( C3・L3/2+CB) … (式1)TAB = RA (C1 · L1 + C2 · L2 + C3 · L3 + CB + CC) + r1 · L1 (C1 · L1 / 2 + C2 · L2 + C3 · L3 + CB + CC) + r3 · L3 (C3 · L3 / 2 + CB) (Equation 1)

【0030】 TAC=RA (C1・L1+C2・L2+C3・L3+CB+CC)+r1・ L1(C1・L1/2+C2・L2+C3・L3+CB+CC)+r2・L2( C2・L2/2+CC) … (式2)TAC = RA (C1 · L1 + C2 · L2 + C3 · L3 + CB + CC) + r1 · L1 (C1 · L1 / 2 + C2 · L2 + C3 · L3 + CB + CC) + r2 · L2 (C2 · L2 / 2 + CC) (Equation 2)

【0031】なお、上記式1および式2中の各符号は次
の通りである。 RA :出力端子の出力抵抗 CB:入力端子Bの端子容量 CC:入力端子Cの端子容量 L1〜L3:配線セグメントS1〜S3の線長 C1〜C3:各配線層の単位長あたりの配線容量 r1〜r3:各配線層の単位長あたりの配線抵抗
The symbols in the above equations 1 and 2 are as follows. RA: Output resistance of output terminal CB: Terminal capacitance of input terminal B CC: Terminal capacitance of input terminal C L1 to L3: Line length of wiring segments S1 to S3 C1 to C3: Wiring capacitance per unit length of each wiring layer r1 To r3: wiring resistance per unit length of each wiring layer

【0032】このように、各配線が割り付けられた配線
層の単位長あたり配線容量ならびに配線抵抗値を用いて
各セグメントのRCを抽出するが、配線の遅延解析ステ
ップ104では、水平方向の配線セグメントのRCを抽
出する際には、主に水平方向に配線を割り当てる配線層
の中で、最も小さい配線遅延時間となる層の単位長あた
り配線容量ならびに配線抵抗値を用いて計算する。同様
に垂直方向の配線セグメントのRCを抽出する際には、
主に垂直方向に配線を割り当てる配線層の中で、最も小
さい配線遅延時間となる層の単位長あたり配線容量なら
びに配線抵抗値を用いて計算する。
As described above, the RC of each segment is extracted by using the wiring capacitance per unit length and the wiring resistance value of the wiring layer to which each wiring is allocated. In the wiring delay analysis step 104, the horizontal wiring segment is extracted. Is calculated using the wiring capacitance and the wiring resistance per unit length of the layer having the shortest wiring delay time among the wiring layers to which the wiring is mainly allocated in the horizontal direction. Similarly, when extracting the RC of the vertical wiring segment,
The calculation is performed using the wiring capacitance and the wiring resistance per unit length of the layer having the shortest wiring delay time among the wiring layers to which wiring is mainly allocated in the vertical direction.

【0033】次に、配線の配線遅延時間が最も小さくな
る層について説明する。図3における出力端子Oから入
力端子iまでは、1本の同一配線層内の配線セグメント
で繋がっている。この時の出力端子Oから入力端子iま
での配線遅延時間は、式3で計算できる。
Next, the layer in which the wiring delay time of the wiring is minimized will be described. The output terminal O to the input terminal i in FIG. 3 are connected by one wiring segment in the same wiring layer. At this time, the wiring delay time from the output terminal O to the input terminal i can be calculated by Expression 3.

【0034】 T=Ro(C・L+Ci)+r・C・L2 /2+r・L・Ci …(式3)[0034] T = Ro (C · L + Ci) + r · C · L 2/2 + r · L · Ci ... ( Equation 3)

【0035】なお、上記式3中の各符号は次の通りであ
る。 Ro:出力端子Oの出力抵抗 Ci:入力端子iの端子容量 L:配線セグメントの線長 C:任意配線層の単位長あたりの配線容量 r:任意配線層の単位長あたりの配線抵抗
The symbols in the above equation (3) are as follows. Ro: Output resistance of output terminal O Ci: Terminal capacitance of input terminal i L: Line length of wiring segment C: Wiring capacitance per unit length of arbitrary wiring layer r: Wiring resistance per unit length of arbitrary wiring layer

【0036】この時の条件で、任意の配線層A、Bで配
線し、配線長Lの値が変化した時の配線遅延時間Tとの
関係グラフを図4に示す。図4において、配線層A、B
のそれぞれの単位長あたりの配線容量をCA、CB、配
線層A、Bのそれぞれの単位長あたりの配線抵抗をr
A、rBとし、以下の条件を満たすとする。
FIG. 4 is a graph showing the relationship between the wiring length A and the wiring delay time T when the wiring length L is changed under the conditions at this time. In FIG. 4, wiring layers A and B
Is the wiring capacitance per unit length of CA, CB, and the wiring resistance per unit length of wiring layers A and B is r.
Let A and rB satisfy the following conditions.

【0037】CA<CB、rA>rBCA <CB, rA> rB

【0038】一般的に、配線層の配線幅や配線高が増え
ると、その配線層の単位長あたりの配線容量も増加する
が、単位長あたりの配線抵抗は減少する。式3および図
4から知られるように、配線遅延時間は配線長と比例し
て大きくなる。また、式3から、配線長が長くなるとr
・C(単位長あたりの配線容量と配線抵抗の積)に大き
く支配される。配線長が短い場合は、配線が割り付けら
れる配線層による配線遅延時間の差は小さく、配線長が
長くなると配線層による配線遅延時間の差は大きくな
る。このため、遅延解析のステップ104では、水平配
線を割り当てる各配線層毎に単位長あたりの配線容量と
配線抵抗の積を計算し、この値の最も小さい配線層を水
平配線を割り当てる配線層の中で最も遅延時間の小さい
配線層とする。また同様に、垂直配線を割り当てる各配
線層毎に単位長あたりの配線容量と配線抵抗の積を計算
し、この値の最も小さい配線層を垂直配線を割り当てる
配線層の中で最も遅延時間の小さい配線層とする。
In general, when the wiring width and the wiring height of the wiring layer increase, the wiring capacitance per unit length of the wiring layer also increases, but the wiring resistance per unit length decreases. As is known from Equation 3 and FIG. 4, the wiring delay time increases in proportion to the wiring length. Also, from equation 3, when the wiring length becomes longer, r
It is largely controlled by C (product of wiring capacitance and wiring resistance per unit length). When the wiring length is short, the difference in wiring delay time between wiring layers to which wiring is allocated is small, and when the wiring length is long, the difference in wiring delay time between wiring layers becomes large. For this reason, in step 104 of the delay analysis, the product of the wiring capacitance per unit length and the wiring resistance is calculated for each wiring layer to which the horizontal wiring is allocated, and the wiring layer having the smallest value is the wiring layer to which the horizontal wiring is allocated. And the wiring layer having the shortest delay time. Similarly, the product of the wiring capacitance per unit length and the wiring resistance is calculated for each wiring layer to which the vertical wiring is allocated, and the wiring layer with the smallest value is the wiring layer with the shortest delay time among the wiring layers to which the vertical wiring is allocated. It is a wiring layer.

【0039】したがって、遅延解析のステップ104で
は、現在の配置結果で各ネットの配線長が最も短く、最
も配線遅延時間が小さい時の遅延時間が計算される。つ
まり、パスの遅延時間も最小の値となるため、概略配線
において遅延的には最善の結果となった場合のパス遅延
時間で遅延解析を行い、パス遅延違反となるクリティカ
ルパスを抽出する。
Therefore, in the delay analysis step 104, the delay time when the wiring length of each net is shortest and the wiring delay time is shortest in the current placement result is calculated. That is, since the path delay time also has the minimum value, the delay analysis is performed using the path delay time when the best result is obtained in the schematic wiring, and a critical path that violates the path delay is extracted.

【0040】遅延違反パス有無の判定ステップ105で
は、遅延解析のステップ104においてクリティカルパ
スが存在した場合は、その後の配線処理においても修正
できないクリティカルパスが存在することが明白であ
る。このため、再度ステップ102の配置処理に戻り、
クリティカルパス上のネットの配線遅延時間が改善する
ようにセルの配置位置を変更する。クリティカルパスが
なくなるか、又は、それ以上改善できなくなるまでステ
ップ102〜104までの処理を繰り返す。クリティカ
ルパスがなくなった場合は、ステップ106の改良概略
配線へ処理を移す。
In the step 105 for judging the presence or absence of a delay violation path, if a critical path exists in the step 104 of the delay analysis, it is clear that there is a critical path that cannot be corrected in the subsequent wiring processing. For this reason, the process returns to the arrangement processing of step 102 again,
The cell arrangement position is changed so that the wiring delay time of the net on the critical path is improved. The processes of steps 102 to 104 are repeated until the critical path disappears or the improvement cannot be further improved. If the critical path has disappeared, the process is shifted to the improved schematic routing in step 106.

【0041】図5に配置改善前の配置状態例を、図6に
配置改善後の配置状態例を示す。図5において、フリッ
プフロップ301、306間にゲート302、303、
305がネット401、402、403,406で接続
されている。ゲート301の出力端子P2からゲート3
02の入力端子P3、出力端子P4、ゲート303の入
力端子P5、出力端子P6、ゲート305の入力端子P
9、出力端子P10を通過し、フリップフロップ306
の入力端子P11に到達するパスと、同様にフリップフ
ロップ301、309間にゲート302、304、30
7、308がネット401、402、405、407、
408で接続されている。フリップフロップ301の出
力端子P2から各ゲートの各端子P3、P4、P7、P
8、P13、P14、P15、P16の経路を通過し、
フリップフロップ309の入力端子P17に到達するパ
スが存在している。
FIG. 5 shows an example of the arrangement state before the arrangement improvement, and FIG. 6 shows an example of the arrangement state after the arrangement improvement. In FIG. 5, gates 302, 303,
305 are connected by nets 401, 402, 403, and 406. From the output terminal P2 of the gate 301 to the gate 3
02, input terminal P3, output terminal P4, input terminal P5 of gate 303, output terminal P6, input terminal P of gate 305.
9. Pass through the output terminal P10, flip-flop 306
And the gates 302, 304, 30 between the flip-flops 301, 309 and the path reaching the input terminal P11
7, 308 are nets 401, 402, 405, 407,
408 are connected. From the output terminal P2 of the flip-flop 301 to each terminal P3, P4, P7, P
8, pass the route of P13, P14, P15, P16,
There is a path reaching the input terminal P17 of the flip-flop 309.

【0042】ステップ103の初期概略配線において、
ハードマクロ310上に配線禁止があるため、ネット4
05の配線をハードマクロ310上を通過させることが
できず、ネット405の概略配線経路が迂回している。
その後のステップ104の遅延解析でフリップフロップ
301、306間のパスが遅延制約を満たし、フリップ
フロップ301、309間のパスが遅延制約を違反する
クリティカルパスとして検出される。ステップ105で
は、クリティカルパスが存在するため、処理をステップ
102に戻す。再度ステップ102に戻るとステップ1
02では、ステップ104の遅延解析の結果からフリッ
プフロップ301、309間のパスが違反を起こしてい
る。このため、このパス上に関係するセルの配置位置を
変更し、パスの遅延時間を改善するように動作する。こ
の結果が、図6である。
In the initial schematic wiring in step 103,
Since the wiring is prohibited on the hard macro 310, the net 4
05 cannot pass through the hard macro 310, and the general wiring path of the net 405 is bypassed.
In the delay analysis of the subsequent step 104, the path between the flip-flops 301 and 306 satisfies the delay constraint, and the path between the flip-flops 301 and 309 is detected as a critical path violating the delay constraint. In step 105, since the critical path exists, the process returns to step 102. Returning to step 102 again, step 1
In 02, the path between the flip-flops 301 and 309 has violated the result of the delay analysis in step 104. For this reason, the operation is performed to change the arrangement position of the cells related to this path and to improve the delay time of the path. FIG. 6 shows the result.

【0043】ゲート304、307、308、フリップ
フロップ309の配置位置を変更することによって、フ
リップフロップ301、309間のパスの遅延制約を満
たすようにしている。
By changing the positions of the gates 304, 307, 308 and the flip-flop 309, the delay constraint on the path between the flip-flops 301, 309 is satisfied.

【0044】ステップ106では、ステップ104での
遅延解析結果を基にステップ103の初期概略配線結果
に対して配線混雑度を緩和するように改良概略配線を行
う。ステップ104での遅延解析結果において遅延の厳
しいネットとそれ以外のネットに判別することができ
る。遅延の厳しいネットには、初期概略配線経路を取
り、配線遅延時間が小さい配線層に割り付ければ良い。
それ以外のネットについては、配線混雑度を緩和するよ
うに概略配線経路と配線層を割り付ける。
In step 106, based on the result of the delay analysis in step 104, the improved schematic routing is performed on the initial schematic routing result in step 103 so as to reduce the degree of wiring congestion. In the result of the delay analysis in step 104, it is possible to distinguish between a net with a severe delay and a net other than the net. For a net having a severe delay, an initial schematic wiring path may be taken and assigned to a wiring layer having a short wiring delay time.
For other nets, general wiring routes and wiring layers are allocated so as to reduce the degree of wiring congestion.

【0045】また、ステップ104の遅延解析結果の遅
延の厳しいネットの順から配線チャネルがオーバーフロ
ーしないよう再度概略配線経路が最短となるように概略
配線経路と配線遅延が有利となる配線層より割り付ける
という方法で、概略配線をやり直しても良い。ステップ
107では、ステップ106の概略配線経路を基に詳細
配線を行う。
In addition, in order to prevent the wiring channel from overflowing from the order of the net having the stricter delay as a result of the delay analysis result in step 104, the general wiring path and the wiring layer where the wiring delay is advantageous are allocated so that the general wiring path becomes shortest again. The general wiring may be redone by the method. In step 107, detailed wiring is performed based on the schematic wiring path in step 106.

【0046】これら一連の処理の流れにより、違反パス
の発生しにくいレイアウト結果を自動で実現できる。
According to the flow of the series of processes, a layout result in which a violation path is unlikely to be generated can be automatically realized.

【0047】上記の実施形態は、各層の単位線長あたり
の配線抵抗および配線容量が異なる3層以上の配線層を
有するLSIの自動レイアウト設計について説明してい
る。本実施形態において、各ネットの配線長が最短の概
略経路で遅延値が最小となる配線層に割り付けられた結
果、つまり各ネットの配線遅延時間が最小となる状態で
遅延解析を行い、配線では改善できない遅延違反パスを
検出する。この検出に基づき、再度の配置でその遅延違
反パスを修正し、遅延制約の厳しいネットに対して配線
長が長くならず、遅延値の小さい配線層に概略配線経路
を割り付けることにより、遅延違反パスを発生させない
自動レイアウト方法が得られる。
The above embodiment has described the automatic layout design of an LSI having three or more wiring layers having different wiring resistance and wiring capacitance per unit line length of each layer. In the present embodiment, delay analysis is performed in a state where the wiring length of each net is assigned to the wiring layer with the shortest delay value in the shortest schematic path, that is, the wiring delay time of each net is minimized. Detect delay violation paths that cannot be improved. Based on this detection, the delay violation path is corrected by re-arrangement, and a rough wiring path is allocated to a wiring layer having a small delay value without increasing the wiring length for a net having a strict delay constraint. , An automatic layout method that does not cause the problem can be obtained.

【0048】尚、上述の実施形態は本発明の好適な実施
の一例である。但し、これに限定されるものではなく、
本発明の要旨を逸脱しない範囲内において種々変形実施
が可能である。
The above embodiment is an example of a preferred embodiment of the present invention. However, it is not limited to this.
Various modifications can be made without departing from the spirit of the present invention.

【0049】[0049]

【発明の効果】以上の説明より明かなように、本発明の
LSIの自動レイアウト方法は、遅延制約の厳しいネッ
トには、遅延的に有利な配線層に配線長が最短なる配線
経路を割り付け、遅延制約に余裕のあるネットに対して
は配線混雑度を考慮して配線する。このため、LSIの
配線収容性を損なわずに遅延制約を違反せずにレイアウ
トできる。
As is apparent from the above description, the LSI automatic layout method of the present invention allocates a wiring path having the shortest wiring length to a wiring layer advantageous in terms of delay for a net with severe delay constraints. Wiring is performed on a net having a sufficient delay constraint in consideration of the degree of wiring congestion. For this reason, the layout can be achieved without violating the delay constraint without deteriorating the wiring accommodability of the LSI.

【0050】また、配置後に各ネットの概略配線経路
を、配線長が最短で最も遅延が小さい配線層に割り付け
るため、各ネットの配線遅延時間が最も最小となる状態
でタイミング解析を行う。このため、概略配線以降では
解消できない遅延違反パスを検出することができ、セル
の配置位置に問題のある遅延違反を後工程に持ち越すこ
とがなく、確実にパス遅延違反の配置修正を行なったう
えで、従来の概略配線を行うので、配置配線という長い
処理単位でのレイアウト修正回数を減らすことができ、
結果としてレイアウト設計に要する工数を削減できる。
After the placement, the general wiring route of each net is allocated to the wiring layer having the shortest wiring length and the shortest delay. Therefore, the timing analysis is performed with the wiring delay time of each net being the shortest. For this reason, it is possible to detect a delay violation path that cannot be resolved after the schematic routing, and to carry out a delay violation having a problem with a cell arrangement position to a subsequent process without fail and correct the path delay violation arrangement. Since the conventional general routing is performed, the number of layout corrections in a long processing unit called placement and routing can be reduced,
As a result, man-hours required for layout design can be reduced.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明のLSIの自動レイアウト方法の実施形
態の一例を示すフローチャートである。
FIG. 1 is a flowchart illustrating an example of an embodiment of an LSI automatic layout method according to the present invention.

【図2】配線遅延時間の計算例を説明するための概念図
である。
FIG. 2 is a conceptual diagram illustrating a calculation example of a wiring delay time.

【図3】配線の配線遅延時間が最も小さくなる層につい
て説明するための図である。
FIG. 3 is a diagram for describing a layer in which a wiring delay time of a wiring is minimized.

【図4】任意の配線層A、Bで配線し、配線長Lの値が
変化した時の配線遅延時間Tとの関係グラフを示す図で
ある。
FIG. 4 is a diagram showing a graph of a relationship between a wiring delay time T when a wiring is formed in arbitrary wiring layers A and B and a value of a wiring length L changes.

【図5】配置改善前の配置状態例を示す図である。FIG. 5 is a diagram illustrating an example of an arrangement state before the arrangement is improved.

【図6】配置改善後の配置状態例を示す図である。FIG. 6 is a diagram showing an example of an arrangement state after the arrangement is improved.

【符号の説明】[Explanation of symbols]

101 情報入力工程 102 配置処理工程 103 初期概略配線工程 104 遅延解析工程 105 判定工程 106 改良概略配線工程 107 詳細配線工程 101 information input step 102 placement processing step 103 initial rough wiring step 104 delay analysis step 105 determination step 106 improved rough wiring step 107 detailed wiring step

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】 レイアウト設計を行う上で必要となる情
報を入力する情報入力工程と、 全セルの自動配置を行う配置処理工程と、 全ネットの概略配線を実行する初期概略配線工程と、 各パスの遅延時間を計算し、各パスの遅延制約値を違反
するクリティカルパスを抽出する遅延解析工程と、 前記遅延解析工程でクリティカルパスがある場合に前記
配置処理工程に処理を戻し、クリティカルパスがない場
合に処理を次の改良概略配線工程に移行させる遅延違反
パス有無を判定する判定工程と、 遅延制約値の厳しいネットには初期概略配線経路と最も
配線遅延が最小となる配線層に割り当て、それ以外のネ
ットには配線混雑度を緩和するようにネットの概略配線
経路と配線層を割り付ける改良概略配線工程と、 前記概略配線経路に基づき詳細配線経路を決定する詳細
配線工程と、 を有して構成されたことを特徴とするLSIの自動レイ
アウト方法。
An information input step of inputting information necessary for performing a layout design; an arrangement processing step of automatically arranging all cells; an initial schematic wiring step of executing global wiring of all nets; A delay analysis step of calculating a path delay time and extracting a critical path that violates a delay constraint value of each path; and, if there is a critical path in the delay analysis step, returning the processing to the placement processing step; If there is no, a process of determining whether or not there is a delay violation path that shifts the process to the next improved schematic routing process, and assigning the initial schematic routing route and the wiring layer with the smallest wiring delay to the net with a severe delay constraint value, An improved schematic routing step for allocating a schematic routing path and a wiring layer to the other nets so as to reduce the degree of wiring congestion; A detailed wiring step of determining a line path; and an automatic layout method for an LSI.
【請求項2】 情報入力工程で必要となる情報は、論理
接続情報、物理ライブラリ情報、遅延ライブラリ情報、
パス遅延制約情報を含む情報であることを特徴とする請
求項1に記載のLSIの自動レイアウト方法。
2. Information required in the information input step includes logical connection information, physical library information, delay library information,
2. The automatic layout method for an LSI according to claim 1, wherein the information includes path delay constraint information.
【請求項3】 前記配置処理工程は、セルの初期自動配
置とクリティカルパス上のネットに関係するセルの改良
配置機能を有することを特徴とする請求項1または2に
記載のLSIの自動レイアウト方法。
3. The automatic layout method for an LSI according to claim 1, wherein said placement processing step has an initial automatic placement of cells and an improved placement function of cells related to a net on a critical path. .
【請求項4】 前記初期概略配線工程は、各ネットの概
略配線経路を最短長経路で求める工程であることを特徴
とする請求項1から3の何れかに記載のLSIの自動レ
イアウト方法。
4. The LSI automatic layout method according to claim 1, wherein said initial schematic routing step is a step of obtaining a schematic routing path of each net by a shortest path.
【請求項5】 前記遅延解析工程は、各ネットの初期概
略配線経路と遅延値が最小となる配線層の単位長あたり
配線容量と配線抵抗値とを用いて、ネット配線遅延時間
とパスの遅延時間を計算し、このパスの遅延制約値を違
反するクリティカルパスを検出する処理工程であること
を特徴とする請求項1から4の何れかに記載のLSIの
自動レイアウト方法。
5. The delay analysis step comprises: using a net initial wiring path of each net, a wiring capacitance per unit length of a wiring layer having a minimum delay value, and a wiring resistance value, the net wiring delay time and the path delay. 5. The LSI automatic layout method according to claim 1, further comprising a processing step of calculating a time and detecting a critical path violating a delay constraint value of the path.
【請求項6】 前記遅延解析工程は、水平配線を割り当
てる各配線層毎に単位長あたりの配線容量と配線抵抗の
積を計算し、この値の最も小さい配線層を水平配線を割
り当てる配線層の中で最も遅延時間の小さい配線層と
し、また、垂直配線を割り当てる各配線層毎に単位長あ
たりの配線容量と配線抵抗の積を計算し、この値の最も
小さい配線層を垂直配線を割り当てる配線層の中で最も
遅延時間の小さい配線層とすることを特徴とする請求項
5に記載のLSIの自動レイアウト方法。
6. The delay analysis step calculates a product of a wiring capacitance per unit length and a wiring resistance for each wiring layer to which a horizontal wiring is allocated, and determines a wiring layer having the smallest value as a wiring layer to which a horizontal wiring is allocated. Calculate the product of the wiring capacitance and wiring resistance per unit length for each wiring layer to which the delay time is the shortest, and for each wiring layer to which the vertical wiring is to be allocated, and assign the wiring layer with the smallest value to the vertical wiring. 6. The automatic layout method for an LSI according to claim 5, wherein the wiring layer has the shortest delay time among the layers.
JP10350529A 1998-12-09 1998-12-09 Automatic layout method for lsi Pending JP2000172738A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10350529A JP2000172738A (en) 1998-12-09 1998-12-09 Automatic layout method for lsi

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10350529A JP2000172738A (en) 1998-12-09 1998-12-09 Automatic layout method for lsi

Publications (1)

Publication Number Publication Date
JP2000172738A true JP2000172738A (en) 2000-06-23

Family

ID=18411124

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10350529A Pending JP2000172738A (en) 1998-12-09 1998-12-09 Automatic layout method for lsi

Country Status (1)

Country Link
JP (1) JP2000172738A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003044536A (en) * 2001-07-27 2003-02-14 Fujitsu Ltd Layout method and apparatus for lsi arranging cell with timing priority
US7170115B2 (en) 2000-10-17 2007-01-30 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method of producing the same
US7191417B1 (en) * 2004-06-04 2007-03-13 Sierra Design Automation, Inc. Method and apparatus for optimization of digital integrated circuits using detection of bottlenecks
US8171440B2 (en) 2008-08-20 2012-05-01 Nec Corporation Timing analyzing apparatus, timing analyzing method and program thereof
US8788255B2 (en) 2009-08-21 2014-07-22 Nec Corporation Delay analysis processing of semiconductor integrated circuit
US8984456B2 (en) 2012-02-02 2015-03-17 Nec Corporation Macro timing analysis device, macro boundary path timing analysis method and macro boundary path timing analysis program
CN112883682A (en) * 2021-03-15 2021-06-01 北京华大九天科技股份有限公司 Method and apparatus for global routing of integrated circuits and storage medium

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7170115B2 (en) 2000-10-17 2007-01-30 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method of producing the same
US7394156B2 (en) 2000-10-17 2008-07-01 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method of producing the same
JP2003044536A (en) * 2001-07-27 2003-02-14 Fujitsu Ltd Layout method and apparatus for lsi arranging cell with timing priority
US7191417B1 (en) * 2004-06-04 2007-03-13 Sierra Design Automation, Inc. Method and apparatus for optimization of digital integrated circuits using detection of bottlenecks
US8171440B2 (en) 2008-08-20 2012-05-01 Nec Corporation Timing analyzing apparatus, timing analyzing method and program thereof
US8788255B2 (en) 2009-08-21 2014-07-22 Nec Corporation Delay analysis processing of semiconductor integrated circuit
US8984456B2 (en) 2012-02-02 2015-03-17 Nec Corporation Macro timing analysis device, macro boundary path timing analysis method and macro boundary path timing analysis program
CN112883682A (en) * 2021-03-15 2021-06-01 北京华大九天科技股份有限公司 Method and apparatus for global routing of integrated circuits and storage medium

Similar Documents

Publication Publication Date Title
JP3024593B2 (en) Layout design method and layout design device
US7039890B2 (en) Integrated circuit layout method and program thereof permitting wire delay adjustment
JP3175653B2 (en) Crosstalk error improvement method and method
US7415687B2 (en) Method and computer program for incremental placement and routing with nested shells
US20070022400A1 (en) Method, program, and apparatus for designing layout of semiconductor integrated circuit
JP2000172738A (en) Automatic layout method for lsi
JP4037944B2 (en) Wiring route determination method and delay estimation method
US6584607B2 (en) Method of performing timing-driven layout
US6308305B1 (en) Method and apparatus for circuit designing of an LSI circuit without error paths
US6202195B1 (en) Semiconductor integrated circuit layout method
JP3373089B2 (en) Device for determining initial layout of integrated circuit
JP2674353B2 (en) General wiring processing method
JPH09223744A (en) Arrangement method of circuit to vlsi chip
JP3223902B2 (en) Semiconductor integrated circuit wiring method
US20090125860A1 (en) Auto-Routing Small Jog Eliminator
JP3548398B2 (en) Schematic route determination method and schematic route determination method
JP2904270B2 (en) Crosstalk error suppression method
JPH05243383A (en) Automatic wiring method
JP3017170B2 (en) Layout design method for semiconductor integrated circuit
JP2000003381A (en) Outline wiring decision method and storage medium
US20100257503A1 (en) Post-routing coupling fixes for integrated circuits
JP3130841B2 (en) Automatic placement and routing method
JP2006294707A (en) Semiconductor integrated circuit and method of wiring the same
JPH0794586A (en) Method for selecting optimal standard cell
JPH05143692A (en) Rough route decision processing system

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20030225