ES2402741T3 - Conformador de haces de transmisión digital para un sistema transductor de ultrasonidos con distribución - Google Patents

Conformador de haces de transmisión digital para un sistema transductor de ultrasonidos con distribución Download PDF

Info

Publication number
ES2402741T3
ES2402741T3 ES06827417T ES06827417T ES2402741T3 ES 2402741 T3 ES2402741 T3 ES 2402741T3 ES 06827417 T ES06827417 T ES 06827417T ES 06827417 T ES06827417 T ES 06827417T ES 2402741 T3 ES2402741 T3 ES 2402741T3
Authority
ES
Spain
Prior art keywords
transmission
distribution
signal
transducer
frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
ES06827417T
Other languages
English (en)
Inventor
James Mehi
Ronald E. Daigle
Laurence C. Brasfield
Brian Starkoski
Jerrold Wen
Kai Wen Liu
Lauren S. Pflugrath
Stuart F. Foster
Desmond Hirson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujifilm VisualSonics Inc
Original Assignee
Fujifilm VisualSonics Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm VisualSonics Inc filed Critical Fujifilm VisualSonics Inc
Application granted granted Critical
Publication of ES2402741T3 publication Critical patent/ES2402741T3/es
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S7/00Details of systems according to groups G01S13/00, G01S15/00, G01S17/00
    • G01S7/52Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S15/00
    • G01S7/52017Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S15/00 particularly adapted to short-range imaging
    • G01S7/52085Details related to the ultrasound signal acquisition, e.g. scan sequences
    • G01S7/52095Details related to the ultrasound signal acquisition, e.g. scan sequences using multiline receive beamforming
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B8/00Diagnosis using ultrasonic, sonic or infrasonic waves
    • A61B8/56Details of data transmission or power supply
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B8/00Diagnosis using ultrasonic, sonic or infrasonic waves
    • A61B8/56Details of data transmission or power supply
    • A61B8/565Details of data transmission or power supply involving data transmission via a network
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S15/00Systems using the reflection or reradiation of acoustic waves, e.g. sonar systems
    • G01S15/88Sonar systems specially adapted for specific applications
    • G01S15/89Sonar systems specially adapted for specific applications for mapping or imaging
    • G01S15/8906Short-range imaging systems; Acoustic microscope systems using pulse-echo techniques
    • G01S15/8909Short-range imaging systems; Acoustic microscope systems using pulse-echo techniques using a static transducer configuration
    • G01S15/8915Short-range imaging systems; Acoustic microscope systems using pulse-echo techniques using a static transducer configuration using a transducer array
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S15/00Systems using the reflection or reradiation of acoustic waves, e.g. sonar systems
    • G01S15/88Sonar systems specially adapted for specific applications
    • G01S15/89Sonar systems specially adapted for specific applications for mapping or imaging
    • G01S15/8906Short-range imaging systems; Acoustic microscope systems using pulse-echo techniques
    • G01S15/8909Short-range imaging systems; Acoustic microscope systems using pulse-echo techniques using a static transducer configuration
    • G01S15/8915Short-range imaging systems; Acoustic microscope systems using pulse-echo techniques using a static transducer configuration using a transducer array
    • G01S15/8927Short-range imaging systems; Acoustic microscope systems using pulse-echo techniques using a static transducer configuration using a transducer array using simultaneously or sequentially two or more subarrays or subapertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S15/00Systems using the reflection or reradiation of acoustic waves, e.g. sonar systems
    • G01S15/88Sonar systems specially adapted for specific applications
    • G01S15/89Sonar systems specially adapted for specific applications for mapping or imaging
    • G01S15/8906Short-range imaging systems; Acoustic microscope systems using pulse-echo techniques
    • G01S15/895Short-range imaging systems; Acoustic microscope systems using pulse-echo techniques characterised by the transmitted frequency spectrum
    • G01S15/8956Short-range imaging systems; Acoustic microscope systems using pulse-echo techniques characterised by the transmitted frequency spectrum using frequencies at or above 20 MHz
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S15/00Systems using the reflection or reradiation of acoustic waves, e.g. sonar systems
    • G01S15/88Sonar systems specially adapted for specific applications
    • G01S15/89Sonar systems specially adapted for specific applications for mapping or imaging
    • G01S15/8906Short-range imaging systems; Acoustic microscope systems using pulse-echo techniques
    • G01S15/8997Short-range imaging systems; Acoustic microscope systems using pulse-echo techniques using synthetic aperture techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S7/00Details of systems according to groups G01S13/00, G01S15/00, G01S17/00
    • G01S7/52Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S15/00
    • G01S7/52017Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S15/00 particularly adapted to short-range imaging
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S7/00Details of systems according to groups G01S13/00, G01S15/00, G01S17/00
    • G01S7/52Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S15/00
    • G01S7/52017Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S15/00 particularly adapted to short-range imaging
    • G01S7/52023Details of receivers
    • G01S7/52034Data rate converters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S7/00Details of systems according to groups G01S13/00, G01S15/00, G01S17/00
    • G01S7/52Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S15/00
    • G01S7/52017Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S15/00 particularly adapted to short-range imaging
    • G01S7/52085Details related to the ultrasound signal acquisition, e.g. scan sequences
    • G01S7/52087Details related to the ultrasound signal acquisition, e.g. scan sequences using synchronization techniques
    • G01S7/52088Details related to the ultrasound signal acquisition, e.g. scan sequences using synchronization techniques involving retrospective scan line rearrangements
    • GPHYSICS
    • G10MUSICAL INSTRUMENTS; ACOUSTICS
    • G10KSOUND-PRODUCING DEVICES; METHODS OR DEVICES FOR PROTECTING AGAINST, OR FOR DAMPING, NOISE OR OTHER ACOUSTIC WAVES IN GENERAL; ACOUSTICS NOT OTHERWISE PROVIDED FOR
    • G10K11/00Methods or devices for transmitting, conducting or directing sound in general; Methods or devices for protecting against, or for damping, noise or other acoustic waves in general
    • G10K11/18Methods or devices for transmitting, conducting or directing sound
    • G10K11/26Sound-focusing or directing, e.g. scanning
    • G10K11/34Sound-focusing or directing, e.g. scanning using electrical steering of transducer arrays, e.g. beam steering
    • G10K11/341Circuits therefor
    • GPHYSICS
    • G10MUSICAL INSTRUMENTS; ACOUSTICS
    • G10KSOUND-PRODUCING DEVICES; METHODS OR DEVICES FOR PROTECTING AGAINST, OR FOR DAMPING, NOISE OR OTHER ACOUSTIC WAVES IN GENERAL; ACOUSTICS NOT OTHERWISE PROVIDED FOR
    • G10K11/00Methods or devices for transmitting, conducting or directing sound in general; Methods or devices for protecting against, or for damping, noise or other acoustic waves in general
    • G10K11/18Methods or devices for transmitting, conducting or directing sound
    • G10K11/26Sound-focusing or directing, e.g. scanning
    • G10K11/34Sound-focusing or directing, e.g. scanning using electrical steering of transducer arrays, e.g. beam steering
    • G10K11/341Circuits therefor
    • G10K11/346Circuits therefor using phase variation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S15/00Systems using the reflection or reradiation of acoustic waves, e.g. sonar systems
    • G01S15/88Sonar systems specially adapted for specific applications
    • G01S15/89Sonar systems specially adapted for specific applications for mapping or imaging
    • G01S15/8906Short-range imaging systems; Acoustic microscope systems using pulse-echo techniques
    • G01S15/8959Short-range imaging systems; Acoustic microscope systems using pulse-echo techniques using coded signals for correlation purposes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S7/00Details of systems according to groups G01S13/00, G01S15/00, G01S17/00
    • G01S7/52Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S15/00
    • G01S7/52017Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S15/00 particularly adapted to short-range imaging
    • G01S7/52019Details of transmitters
    • G01S7/5202Details of transmitters for pulse systems
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S7/00Details of systems according to groups G01S13/00, G01S15/00, G01S17/00
    • G01S7/52Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S15/00
    • G01S7/523Details of pulse systems
    • G01S7/524Transmitters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S7/00Details of systems according to groups G01S13/00, G01S15/00, G01S17/00
    • G01S7/52Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S15/00
    • G01S7/523Details of pulse systems
    • G01S7/526Receivers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Remote Sensing (AREA)
  • Radar, Positioning & Navigation (AREA)
  • Acoustics & Sound (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biophysics (AREA)
  • Heart & Thoracic Surgery (AREA)
  • Veterinary Medicine (AREA)
  • Radiology & Medical Imaging (AREA)
  • Medical Informatics (AREA)
  • Molecular Biology (AREA)
  • Surgery (AREA)
  • Animal Behavior & Ethology (AREA)
  • General Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Biomedical Technology (AREA)
  • Pathology (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Multimedia (AREA)
  • Physiology (AREA)
  • Ultra Sonic Daignosis Equipment (AREA)
  • Measurement Of Velocity Or Position Using Acoustic Or Ultrasonic Waves (AREA)
  • Investigating Or Analyzing Materials By The Use Of Ultrasonic Waves (AREA)

Abstract

Un conformador de haces de transmisión digital (16Un conformador de haces de transmisión digital (1605) para un sistema (1600) de obtención de imágene05) para un sistema (1600) de obtención de imágenes porultrasonidos con distribución, que comprende:s porultrasonidos con distribución, que comprende: una o más distribuciones de puertas programables una o más distribuciones de puertas programables en campo, FPGA, cada una con una frecuencia de relen campo, FPGA, cada una con una frecuencia de reloj FPGA, fcde FPGA; y un convertidor de paralelo aoj FPGA, fcde FPGA; y un convertidor de paralelo a serie (2212, 2213) que tiene una doble salida de serie (2212, 2213) que tiene una doble salida de velocidad de datos, en donde dichoconformador de hvelocidad de datos, en donde dichoconformador de haces de transmisión digital está configurado para aces de transmisión digital está configurado para transmitir una señal de ultrasonidos con unafrecuetransmitir una señal de ultrasonidos con unafrecuencia central de transmisión en el intervalo de 15 ncia central de transmisión en el intervalo de 15 MHz a 80 MHz con un tiempo de resolución de retrasMHz a 80 MHz con un tiempo de resolución de retraso [1/(2 xfc FPGA)] o menos y que comprende un impuo [1/(2 xfc FPGA)] o menos y que comprende un impulso positivo de trasmisión y un impulso negativo dlso positivo de trasmisión y un impulso negativo de transmisión,mediante codificación digital de un e transmisión,mediante codificación digital de un retraso fino y una anchura de impulso positivo delretraso fino y una anchura de impulso positivo del impulso positivo de transmisión y un retraso fino impulso positivo de transmisión y un retraso fino y una anchura de impulso negativo del impulso neg y una anchura de impulso negativo del impulso negativo de transmisión en respectivas palabras(2202,ativo de transmisión en respectivas palabras(2202, 2203) de forma de onda, de tal manera que dicha a 2203) de forma de onda, de tal manera que dicha anchura de impulso positivo y dicha anchura de impunchura de impulso positivo y dicha anchura de impulsonegativo son ajustables de manera independientelsonegativo son ajustables de manera independiente en incrementos del tiempo de resolución de retras en incrementos del tiempo de resolución de retraso; y en dondelas palabras de forma de onda son cono; y en dondelas palabras de forma de onda son convertidas en una corriente de bits en serie por dicvertidas en una corriente de bits en serie por dicho convertidor de paralelo aserie para producir laho convertidor de paralelo aserie para producir la señal de ultrasonidos. señal de ultrasonidos.

Description

Conformador de haces de transmisión digital para un sistema transductor de ultrasonidos con distribución
Los sistemas de ecografías por ultrasonidos que utilizan un transductor con distribución se han venido utilizando en aplicaciones clínicas humanas cuando la resolución de imagen deseada es del orden de milímetros. Las frecuencias de funcionamiento de estos sistemas clínicos están típicamente por debajo de 10 MHz. Con estas bajas frecuencias de funcionamiento, sin embargo, estos sistemas no son apropiados para la obtención de imágenes cunado se necesitan resoluciones más altas, por ejemplo en la obtención de imágenes de animales pequeños, tal como ratones
o pequeñas estructuras de tejido en los humanos.
Por otra parte, las aplicaciones de obtención de imágenes de animales pequeños presentan varios requisitos desafiantes que no cumplen los sistemas de obtención de imágenes actualmente disponibles. La frecuencia cardiaca de un ratón adulto puede ser de hasta 500 latidos por minuto, por lo que puede ser deseable una alta capacidad de velocidad de tramas. La anchura de la región de la que se está obteniendo la imagen, el campo de visión, también debería ser suficiente para incluir todo el órgano estudiado.
Los sistemas de ultrasonidos para obtener imágenes en frecuencias por encima de 15 MHz se han desarrollado utilizando un transductor de un solo elemento. Sin embargo, los transductores con distribución ofrecen una mejor calidad de imagen, pueden lograr mayor velocidad de adquisición de tramas y ofrecen otras ventajas sobre los sistemas de transductores de un solo elemento. Las realizaciones según la presente invención superan muchos de los retos que se plantean en la técnica actual, incluidos los que se describen más arriba.
El documento WO 2004/099184 describe un sistema para producir una imagen por ultrasonidos utilizando la reconstrucción de imagen basada en líneas. Comprende un cabezal de exploración con un transductor que genera una señal de ultrasonidos de por lo menos 20 MHz, y un procesador para recibir la señal y crear una imagen por ultrasonidos de por lo menos 200 tramas por segundo, utilizando reconstrucción de imagen basada en líneas de exploración. Las Distribuciones de Puertas Programables en Campo (FPGA: Field Programmable Gate Arrays) son una tecnología utilizada preferiblemente en el sistema.
El documento "A Digital Beamformer for high-Frequency Annular Arrays", Brown J et al, IEEE Trans. UFFC, vol. 52, nº. 8, Agosto de 2005, páginas 1262-1269, describe un conformador de haces de transmisión digital en donde un impulso digital procedente de una FPGA inicia un evento de transmisión. El impulso está dividido en siete impulsos separados que son enviados a distintos retrasos programables de alta precisión. La salida de cada canal de retraso está conectada a un generador de impulsos bipolares de alta frecuencia, en donde se preseleccionan las anchuras de los impulsos positivos y negativos y el retraso se establece por recorte de un cable de retraso.
Según un primer aspecto, la presente invención proporciona un conformador de haces de transmisión digital para un sistema con distribución de obtención de imágenes por ultrasonidos que comprende: una o más distribuciones de puertas programables en campo, FPGA, cada una con una frecuencia de reloj FPGA, fc de FPGA; y un convertidor de paralelo a serie que tiene una salida doble de velocidad de datos; en donde dicho conformador de haces de transmisión digital está configurado para transmitir una señal de ultrasonidos que tiene una frecuencia central de transmisión en el intervalo de 15 MHz a 80 MHz, con un tiempo de resolución de retraso de [1/ (2 x fc FPGA)] o menos y que comprende un impulso positivo de trasmisión y un impulso negativo de trasmisión, mediante codificación digital de un retraso fino y una anchura de impulso positivo del impulso positivo de trasmisión y de un retraso fino y una anchura de impulso negativo del impulso negativo de trasmisión en respectivas palabras de forma de onda; de tal manera que dicha anchura de impulso positivo y dicha anchura de impulso negativo se pueden ajustar de forma independiente en incrementos de tiempo de resolución de retraso; y en donde las palabras de forma de onda son convertidas en un flujo de bits en serie por dicho convertidor de paralelo a serie para producir la señal de ultrasonidos.
En otro aspecto, la presente invención también proporciona una unidad de procesamiento de señales para un sistema con distribución de obtención de imágenes por ultrasonidos que comprende: un subsistema de conformador de haces de transmisión digital que comprende un conformador de haces de transmisión digital tal como se describe más arriba, un subsistema de conformador de haces de recepción digital; un módulo electrónico de extremo delantero; un módulo de control de conformador de haces; un módulo de procesamiento de señales; y una unidad informática, en donde dicha unidad de procesamiento de señales está configurada para adquirir una señal de ultrasonidos recibida desde un transductor de ultrasonidos con distribución que tiene una pluralidad de elementos.
La invención se extiende además a un sistema de obtención de imágenes por ultrasonidos, que comprende un transductor ultrasónico con distribución que tiene una pluralidad de elementos para transmitir a un sujeto una señal de ultrasonidos con una frecuencia central de transmisión; una unidad de procesamiento de señales como se describe anteriormente conectada funcionalmente con dicho transductor ultrasónico con distribución, en donde dicha unidad de procesamiento de señales está adaptada para adquirir una señal de ultrasonidos recibida que tiene una frecuencia de por lo menos 15 MHz desde dicho transductor de ultrasonidos con distribución que tiene una pluralidad de elementos.
En esta memoria se describe un sistema y un método para adquirir una señal de ultrasonidos que comprende una unidad de procesamiento de señales adaptada para adquirir una señal de ultrasonidos recibida desde un transductor de ultrasonidos con distribución que tiene una pluralidad de elementos. El sistema se puede adaptar para recibir las señales de ultrasonidos que tienen una frecuencia de por lo menos 15 megahercios (MHz) con un transductor fijo que tiene un campo de visión de por lo menos 5,0 milímetros (mm) a una velocidad de tramas de por lo menos 20 tramas por segundo (fps). La unidad de procesamiento de señales puede producir una imagen por ultrasonidos a partir de la señal de ultrasonidos adquirida. El transductor puede ser, pero no se limitado a, un transductor con distribución lineal, un transductor con distribución en fase, un transductor con distribución bidimensional (2-D) o un transductor con distribución curvada. El sistema puede incluir un transductor de este tipo o estar adaptado para funcionar con un transductor de este tipo. En esta memoria también se describe un sistema y un método para adquirir una señal de ultrasonidos que comprende una unidad de procesamiento para adquirir señales de ultrasonidos recibidas desde un transductor de ultrasonidos que funciona a una frecuencia transmisión y de recepción de por lo menos 15 MHz, en donde el transductor funciona a una frecuencia de transmisión y recepción de por lo menos 15 MHz, en donde la unidad de procesamiento de señales comprende un muestreador que utiliza muestreo en cuadratura para adquirir la señal de ultrasonidos.
Ahora se describirán unas realizaciones preferidas de la presente invención, solo a modo de ejemplo y haciendo referencia a los dibujos que se acompañan, en los que:
La FIG. 1 es una representación en forma de diagrama de bloques de un entorno de funcionamiento informático;
Las FIGS. 2A-2C, son vistas, superior, inferior y en sección transversal de un ejemplo de pila PZT esquemática de la presente invención, la vista superior muestra, en la parte superior e inferior de la pila PZT, partes de la capa eléctrica de tierra que se extiende hacia fuera desde la lente que recubre; la vista inferior muestra, en las orillas que se extienden longitudinalmente, unas partes expuestas de la capa dieléctrica entre los elementos individuales de electrodo de señal (como se apreciará, no se muestran en la parte central de la pila PZT las líneas que muestran los elementos individualizados de electrodo de señal - un electrodo de señal por elemento de la pila PZT);
La FIG. 3A es una vista superior de un adaptador interpuesto para el uso con la pila PZT de las FIGS. 2A-2C, que muestra unas pistas eléctricas que se extienden hacia fuera desde al lado de la abertura central del transductor y unas pistas eléctricas de tierra situadas en las partes superior e inferior del adaptador interpuesto, mostrando una capa dieléctrica dispuesta sobre una parte de la superficie del adaptador interpuesto, la capa dieléctrica define una distribución de unos pozos escalonados situados a lo largo de un eje paralelo al eje longitudinal del adaptador interpuesto, cada pozo se comunica con una pista eléctrica del adaptador interpuesto, y además se muestra un bulto de bola de pasta de soldadura montado en cada pozo en la capa dieléctrica de tal manera que, cuando una pila PZT se monta sobre la capa dieléctrica y se aplica calor, la soldadura se funde para formar la continuidad eléctrica deseada entre los electrodos individuales de señal de elemento y las pistas individuales sobre el adaptador interpuesto - el pozo ayuda a retener la soldadura dentro de los límites del pozo;
La FIG. 3B es una vista parcial ampliada de los pozos escalonados de la capa dieléctrica y las pistas eléctricas subyacentes del adaptador interpuesto de la FIG. 3A, los pozos tienen un tamaño para aceptar los bultos de bola de pasta de soldadura;
La FIG. 4A es una vista superior en planta de la pila PZT de la FIG. 2A montada sobre la capa dieléctrica y el adaptador interpuesto de la FIG. 3A;
La FIG. 4B es una vista en planta de la pila PZT de la FIG. 2A montada sobre la capa dieléctrica y el adaptador interpuesto de la FIG. 3A, que muestra la pila PZT, como una capa transparente para ilustrar la relación de montaje entre la pila PZT y el adaptador interpuesto subyacente, los bultos de bola de pasta de soldadura montados entremedio forman una conexión eléctrica entre los respectivos electrodos de señal de elemento y las pistas eléctricas del adaptador interpuesto,
La FIG. 5A es una vista esquemática en planta superior de un ejemplo de placa de circuito para el montaje del transductor de la presente invención en la misma, la placa de circuito tiene una pluralidad de pistas eléctricas de placa formadas en la misma, cada pista eléctrica de placa tiene un extremo proximal adaptado para acoplarse a una pista eléctrica del transductor y un extremo distal adaptado para acoplarse a un conector, tal como, por ejemplo, un cable para la comunicación de señales a través del mismo;
La FIG. 5B es una vista en planta superior de un ejemplo de placa de circuito para el montaje de un ejemplo de distribución de 256 elementos que tienen una separación de 75 micrómetros;
La FIG. 5C es una vista en planta superior de las vías de la placa de circuito de la FIG. 5B, que están en comunicación con una capa de tierra subyacente de la placa de circuito;
La FIG. 6 es una vista superior en planta de una parte de la placa de circuito ejemplificada que muestra, en la Región A, la capa de electrodos de tierra del transductor vinculado por hilos a una pista eléctrica del adaptador interpuesto, que a su vez está vinculado por hilos a las pastillas de tierra de la placa de circuitos, y que muestra
además, en la región B, las pistas eléctricas individuales del transductor vinculado por hilos a pistas eléctricas individuales de placa de la placa de circuito;
La FIG. 7A es una sección transversal parcial ampliada de la Región A de la FIG. 6, que muestra la capa dieléctrica colocada sobre los bultos de bola de pasta de soldadura y entre la pila PZT, y el adaptador interpuesto;
La FIG. 7B es una sección transversal parcial ampliada de la Región B de la FIG. 6, que muestra la capa dieléctrica colocada entre la pila PZT y el adaptador interpuesto;
Las FIGS. 8A y 8B son vistas en sección transversal parcial de un ejemplo de transductor montado en una parte de la placa de circuito;
La FIG. 9 es una vista parcial ampliada de la Región B de un ejemplo de transductor montado en una parte de la placa de circuito;
La FIG. 10 es una vista en sección transversal parcial ampliada de un transductor que no incluye un adaptador interpuesto, que muestra un bulto de bola de pasta de soldadura montado sobre la placa de circuitos subyacente, cada bulto de bola está montado sobre una pista eléctrica de placa de la placa de circuito, y que muestra la pila PZT que está montada sobre la misma de modo que los respectivos electrodos de señal de elemento de la pila PZT están en continuidad eléctrica, a través de los respectivos bultos de bola, con sus respectivas pistas eléctricas de placa de la placa de circuito;
La FIG. 11A es una vista en sección transversal parcial ampliada de la FIG. 10, que muestra la capa de electrodo de tierra del transductor, sin un adaptador interpuesto vinculado por hilo a pastillas de tierra de la placa de circuito;
La FIG. 11B es una vista en sección transversal parcial ampliada de la FIG. 10, que muestra el bulto de bola dispuesto entremedio y en comunicación eléctrica con la pista eléctrica de la placa de circuitos y el electrodo de señal de elemento de la pila PZT;
La FIG. 12A es un esquema que muestra la placa de circuito flexible y un par de conectores Samtec BTH-090 montados en una parte rígida de la placa de circuito;
La FIG. 12B es un ejemplo de tabla de asignación de pines para el conector mostrado en las FIGS. 5B y 12A;
La FIG. 13 es un esquema que muestra una vista lateral de los cables coaxiales individuales que se van a acoplar funcionalmente al par de conectores Samtec BTH-090 de la placa de circuito flexible a través de un par de conectores BSH-090;
La FIG. 14 es un esquema que muestra un ejemplo de vista en planta de la mitad de los cables coaxiales en el cable conectados a uno de los conectores BSH-090;
La FIG. 15A es una ilustración de un ejemplo de vista en planta del extremo distal de un conjunto de cables médicos conectado a la placa de circuito flexible plegada, el extremo proximal del cable (no se muestra) puede incluir un conector ZIF multi-pin que forma una interfaz con el sistema de ultrasonidos y puede ser utilizado para poner en práctica uno o más aspectos de la presente invención;
La FIG. 15B ilustra un ejemplo de asignación de pines de terminación para los cables coaxiales individuales de un conjunto de cables médicos a un conector ZIF multi-pin que tiene un ejemplo de conector ZIF tal como un conector ITT Cannon DLM6;
La FIG. 16 es un diagrama de bloques que ilustra un ejemplo de sistema de obtención de imágenes por ultrasonidos a alta frecuencia;
La FIG. 17 es un diagrama de bloques que ilustra además el ejemplo de sistema de obtención de imágenes por ultrasonidos a alta frecuencia mostrado en la FIG. 16;
La FIG. 18A es un diagrama esquemático que ilustra un ejemplo de conformadores de haces de recepción, conformadores de haces de transmisión, electrónica de extremo delantero y los componentes asociados;
La FIG. 18B es un ejemplo de realización que proporciona detalles adicionales de la electrónica de extremo delantero que se muestra en la FIG. 18A;
La FIG. 18C es un ejemplo de realización de un controlador de recepción (controlador RX) en una realización según la presente invención
La FIG. 18D es una ilustración de un ejemplo de controlador de transmisión (controlador TX) en una realización según la presente invención
La FIG. 19 es un diagrama en bloques de procesamiento de señal de sistema que ilustra un ejemplo de placa de
control de conformador de haces; La FIG. 20 es un diagrama esquemático de un Conmutador TX/RX y un Generador de impulsos y los circuitos relacionados;
La FIG. 21 es un diagrama esquemático de una realización alternativa de Conmutador TX/RX y un Generador de impulsos y los circuitos relacionados;
La FIG. 22 es un diagrama de bloques de un ejemplo de control de conformador de haces de transmisión; Las FIGS. 22A-22C ilustran cómo se puede utilizar el ejemplo de datos de forma de onda para cambiar el retraso fino, la anchura de impulso y el tiempo muerto de señales “A” y “B”;
La FIG. 24 ilustra una visión general de la electrónica del sistema de un ejemplo de sistema de obtención de imágenes por ultrasonidos a alta frecuencia;
La FIG. 25 muestra un ejemplo de esquema de retraso de un solo canal para muestreo en cuadratura; La FIG. 25B es una forma alternativa de implementar filtros de interpolación, rotación de fase y apodización dinámica;
La FIG. 26 ilustra un ejemplo de RAM de control para almacenar señales de control de recepción;
La FIG. 26A muestra un ejemplo de señales de control de retraso de conformador de haces para elementos centrales y exteriores de un transductor con distribución; La FIG. 27 es un diagrama de bloques de un ejemplo de esquema de sincronización de transmisión/recepción; La FIG. 27A es un diagrama de bloques de un ejemplo alternativo de esquema de sincronización de
transmisión/recepción;
La FIG. 28 ilustra un ejemplo de búfer de memoria de RF para el almacenamiento de la salida de conformador de haces; La FIG. 29 ilustra un ejemplo de visión general de software de sistema para un ejemplo de sistema de obtención de
imágenes por ultrasonidos a alta frecuencia;
La FIG. 30 es un ejemplo de visión general de aplicación de software de sistema principal para un ejemplo de sistema de obtención de imágenes por ultrasonidos a alta frecuencia; La FIG. 31 ilustra un ejemplo de visión general de sistema modular para un sistema de obtención de imágenes por
ultrasonidos a alta frecuencia; La FIG. 32 expone un ejemplo de frecuencia de transmisión, medio ciclo en el tiempo y duraciones de impulso; La FIG. 33 ilustra un ejemplo de muestreo de ancho de banda del espectro de señal a 30 MHz; La FIG. 34 ilustra un ejemplo de onda sinusoidal muestreada en cuadratura a 0,9 veces la frecuencia de muestreo; La FIG. 34A es una ilustración de ejemplo de los 16 puntos de muestreo de la FIG. 34 con respecto a los puntos de
muestreo Q e I;
La FIG. 34B es una ilustración de ejemplo de una ventana de ocho muestras utilizadas por un ejemplo de filtro FIR para la interpolación de puntos 0-3, entre las muestras Q e I; La FIG. 34C es el ejemplo de ventana de la FIG. 34 movida hacia adelante una muestra con el fin de interpolar los
puntos 4-15; La FIG. 35 expone ejemplos de puntos interpolados para las formas de onda de I y Q; La FIG. 36 expone ejemplos de muestras en cuadratura establecidas para una adquisición de una sola línea de rayo
desde una distribución lineal;
Las FIGS. 37A y 37B exponen dos ejemplos de señales de canal devueltas desde el mismo punto de intervalo, pero con una diferencia de longitud de recorrido correspondiente a la mitad de longitud de onda; La FIG. 38 expone exploración multi-línea 3-1 con un ejemplo de transductor con distribución curvada;
La FIG. 39 expone una implementación conceptual de un método de retraso de interpolación;
La FIG. 40 expone un ejemplo de operación multi-línea 3-1 de un método de retraso de interpolación; y
La FIG. 41 es un diseño esquemático de Filtros Complementarios de Transformación Hilbert.
Unos aspectos de los ejemplos de sistemas descritos en esta memoria pueden implementarse a través de un dispositivo informático de uso genérico tal como uno en forma de un ordenador 101 mostrado en la FIG. 1. Los componentes del ordenador 101 pueden incluir, pero no se limitan a, uno o más procesadores o unidades de procesamiento 103, memoria 112 de sistema y un bus 113 de sistema que acopla varios componentes del sistema incluidos el procesador 103 a la memoria 112 de sistema.
El bus 113 de sistema representa uno o más de los diversos tipos posibles de estructuras de bus, incluido un bus de memoria o controlador de memoria, un bus periférico, un puerto de gráficos acelerados y un procesador o un bus local que utilizan cualquiera de una gran variedad de arquitecturas de bus. A modo de ejemplo, tales arquitecturas pueden incluir un bus de Arquitectura Estándar de la Industria (ISA), un bus de Arquitectura de Micro Canal (MCA), un bus ISA mejorado (EISA), un bus local de la Asociación de Estándares de Electrónica de Vídeo (VESA) y un bus de Interconexión de Componentes Periféricos (PCI) también conocido como un bus de Mezzanine. Este bus, y todos los buses especificados en esta memoria descriptiva también pueden implementarse a través de una conexión de red cableada o inalámbrica. El bus 113 y todos los buses especificados en esta memoria descriptiva también pueden implementarse a través de una conexión de red cableada o inalámbrica y cada uno de los subsistemas, incluido el procesador 103, un dispositivo de almacenamiento masivo 104, un sistema operativo 105, software de aplicaciones 106, datos 107, un adaptador de red 108, memoria 112 de sistema, una interfaz de entrada/salida 110, un adaptador de exposición 109, un dispositivo de exposición 111, y una interfaz hombre-máquina 102, pueden estar contenidas dentro de uno o más dispositivos informáticos remotos 114a,b,c en ubicaciones físicamente aparte, conectados a través de buses de esta forma, que implementan efectivamente un sistema totalmente distribuido.
El ordenador 101 incluye típicamente una variedad de datos legibles por ordenador. Este tipo de medios puede ser cualquier medio disponible que sea accesible por el ordenador 101 e incluye medios volátiles y no volátiles, medios extraíbles y no extraíbles. La memoria 112 de sistema incluye datos legibles por ordenador en forma de memoria volátil, tal como memoria de acceso aleatorio (RAM), y/ o memoria no volátil, tal como memoria de sólo lectura (ROM). La memoria 112 de sistema típicamente contiene datos tales como los datos 107 y/o módulos de programa, tales como un sistema operativo 105 y software de aplicaciones 106 que son accesibles inmediatamente y/o que actualmente son manejados por la unidad de procesamiento 103.
El ordenador 101 también puede incluir otros soportes informáticos de almacenamiento extraíbles/no extraíbles, volátiles/no volátiles. A modo de ejemplo, la FIG. 1 ilustra un dispositivo de almacenamiento masivo 104, que puede proporcionar almacenamiento no volátil de código informático, instrucciones legibles por ordenador, estructuras de datos, módulos de programa y otros datos para el ordenador 101. Por ejemplo, un dispositivo de almacenamiento masivo 104 puede ser un disco duro, un disco magnético extraíble, un disco óptico extraíble, cintas magnéticas u otros dispositivos de almacenamiento magnético, tarjetas de memoria flash, CD-ROM, discos versátiles digitales (DVD) u otro almacenamiento óptico, memorias de acceso aleatorio (RAM), memorias de sólo lectura (ROM), memoria de sólo lectura programable que se puede borrar eléctricamente (EEPROM) y similares.
En el dispositivo de almacenamiento masivo 104 puede almacenarse cualquier número de módulos de programas, incluidos a modo de ejemplo, un sistema operativo 105 y software de aplicación 106. Cada uno de los sistemas operativos 105 y el software de aplicaciones 106 (o alguna combinación de los mismos) pueden incluir elementos de la programación y el software de aplicación 106. Los datos 107 también se pueden almacenar en el dispositivo de almacenamiento masivo 104. Los datos 104 pueden ser almacenados en cualquiera de una o más bases de datos conocidas en la técnica. Ejemplos de tales bases de datos incluyen, DB2®, Microsoft® Access, Microsoft® SQL Server, Oracle®, mySQL, PostgreSQL y similares. Las bases de datos pueden estar centralizadas o distribuidas por varios sistemas.
Un usuario puede introducir comandos e información en el ordenador 101 a través de un dispositivo de entrada (no se muestra). Ejemplos de tales dispositivos de entrada incluyen, pero no se limitan a, un teclado, dispositivo apuntador (por ejemplo, un "ratón"), un micrófono, una palanca de control, un puerto serie, un escáner y similares. Estos y otros dispositivos de entrada pueden conectarse a la unidad de procesamiento 103 a través de una interfaz hombre-máquina 102 que se acopla al bus 113 de sistema, pero que puede conectarse mediante otra interfaz y estructuras de bus, tal como un puerto paralelo, puerto de juegos o un bus serie universal (USB). En un ejemplo de sistema de una realización según la presente invención, la interfaz de usuario se puede elegir entre uno o más de los dispositivos de entrada enumerados anteriormente. Opcionalmente, la interfaz de usuario también puede incluir diversos dispositivos de control tales como conmutadores de palanca, correderas, resistencias variables y otros dispositivos de interfaz de usuario conocidos en la técnica. La interfaz de usuario se puede conectar a la unidad de procesamiento 103. También se puede conectar a otros bloques funcionales del ejemplo de sistema descrito en esta memoria junto con o sin relación con las conexiones de la unidad de procesamiento 103 descritas en esta memoria.
Un dispositivo de exposición 111 también se puede conectar al bus 113 de sistema a través de una interfaz, tal como un adaptador 109 de pantalla. Por ejemplo, un dispositivo de exposición puede ser un monitor o un LCD (pantalla de cristal líquido). Además del dispositivo de exposición 111, otros dispositivos periféricos de salida pueden
incluir componentes tales como altavoces (no se muestran) y una impresora (no se muestra) que pueden conectarse a un ordenador 101 a través de la interfaz de Entrada/Salida 110.
El ordenador 101 puede funcionar en un entorno de red utilizando conexiones lógicas a uno o más dispositivos informáticos remotos 114a,b,c. A modo de ejemplo, un dispositivo informático remoto puede ser un ordenador personal, ordenador portátil, un servidor, un router, un equipo de red, un dispositivo igual u otro nodo común de red y así sucesivamente. Las conexiones lógicas entre el ordenador 101 y un dispositivo informático remoto 114a,b,c pueden realizarse a través de una red de área local (LAN) y una red de área amplia general (WAN). Tales conexiones de red, pueden ser a través de un adaptador de red 108. Un adaptador de red 108 puede implementarse tanto en entornos inalámbricos como cableados. Estos entornos de red son muy comunes en oficinas, redes informáticas en una empresa, redes internas (intranets) e internet 115. El ordenador remoto 114a,b,c puede ser un servidor, un router, un dispositivo igual u otro nodo común de red y típicamente incluye todos o muchos de los elementos ya descritos para el ordenador 101. En un entorno de red, los módulos de programa y los datos pueden ser almacenados en el ordenador remoto 114a,b,c. Las conexiones lógicas incluyen una red LAN y una WAN. Se pueden utilizar otros métodos de conexión y las redes pueden incluir aspectos tales como la “world wide web” (red informática mundial) o internet.
A modo de ilustración, en esta memoria se ilustran programas de aplicación y otros componentes de programa ejecutable, tales como el sistema operativo 105 como bloques discretos, aunque se reconoce que este tipo de programas y componentes residen en varias veces en diferentes componentes de almacenamiento del dispositivo informático 101, y son ejecutados por el procesador (s) de datos del ordenador. Una implementación de software de aplicación 106 puede almacenarse o transmitirse por alguna forma de soporte legible por ordenador. Los soportes legibles por ordenador pueden ser cualquier soporte disponible al que se puede acceder desde un ordenador. A modo de ejemplo, y no de limitación, soportes legibles por ordenador pueden comprender "soportes informáticos de almacenamiento" y "medios de comunicación". Los soportes informáticos de almacenamiento incluyen soportes volátiles y no volátiles, extraíbles y no extraíbles en cualquier método o tecnología para el almacenamiento de información, tales como instrucciones legibles por ordenador, estructuras de datos, módulos de programa u otros datos. Los soportes informáticos de almacenamiento incluyen, pero no se limitan a, memoria RAM, ROM, EEPROM, flash u otra tecnología de memoria, CD-ROM, discos versátiles digitales (DVD) u otro tipo de almacenamiento óptico, casetes magnéticos, cintas magnéticas, discos magnéticos de almacenamiento u otros dispositivos de almacenamiento magnético, o cualquier otro soporte que se pueda utilizar para almacenar la información deseada y al que se pueda acceder desde un ordenador. Una implementación del método descrito puede almacenarse o transmitirse por alguna forma de soporte legible por ordenador.
El procesamiento del método descrito puede ser llevado a cabo por componentes de software. El método descrito puede describirse en el contexto general de instrucciones ejecutables por ordenador, tales como módulos de programa, que son ejecutadas por uno o más ordenadores u otros dispositivos. Por lo general, los módulos de programa incluyen código informático, rutinas, programas, objetos, componentes, estructuras de datos, etc. que realizan tareas particulares o implementan tipos particulares de datos abstractos. El método descrito también se puede poner en práctica en entornos informáticos distribuidos y basados en rejillas donde las tareas son realizadas por dispositivos remotos de procesamiento que están conectados a través de una red de comunicaciones. En un entorno informático distribuido, los módulos de programa puede estar ubicados en soportes de almacenamiento informático locales y remotos que incluyen dispositivos de almacenamiento de memoria.
Aspectos de los ejemplos de sistemas, mostrados en las figuras y descritos en esta memoria, pueden implementarse de varias formas, incluyendo hardware, software y una combinación de los mismos. La implementación de hardware puede incluir cualquiera o una combinación de las siguientes tecnologías, que son todas muy conocidas en la técnica: componentes electrónicos discretos, circuitos lógicos discretos que tienen puertas lógicas para implementar funciones lógicas sobre señales de datos, un circuito integrado específico de aplicaciones que tiene puertas lógicas apropiadas, distribuciones de puertas programables (PGA), distribuciones de puertas programables en campo (FPGA), etc. El software comprende una lista ordenada de instrucciones ejecutables para implementar funciones lógicas, y puede incorporarse en cualquier soporte legible por ordenador para el uso o en relación con un sistema, aparato o dispositivo de ejecución de instrucciones, tal como un sistema basado en ordenador, sistema que contiene un procesador, u otro sistema que puede obtener las instrucciones del sistema, aparato o dispositivo de ejecución de instrucciones y ejecutar las instrucciones.
Aspectos de los ejemplos de sistemas pueden implementarse en sistemas informatizados. Aspectos de los ejemplos de sistemas, incluidos por ejemplo la unidad informática 101, pueden estar en funcionamiento con otros numerosos entornos o configuraciones de sistemas informáticos para fines generales o fines especiales. Ejemplos bien conocidos de sistemas, entornos y/o configuraciones informáticos que pueden ser adecuados para su uso con un sistema y método incluyen, pero no se limitan a, ordenadores personales, servidores, dispositivos portátiles y sistemas multiprocesador. Ejemplos adicionales incluyen sintonizadores de televisión (set top boxes ), electrónica programable de consumo, varios PC en red, miniordenadores, ordenadores centrales (mainframe co mputers), entornos informáticos distribuidos que incluyen cualquiera de los sistemas o dispositivos mencionados anteriormente y similares.
Aspectos de los ejemplos de sistemas se pueden describir en el contexto general de instrucciones informáticas, tales como módulos de programa, que son ejecutados por un ordenador. Por lo general, los módulos de programa incluyen rutinas, programas, objetos, componentes, estructuras de datos, etc. que realizan tareas particulares o implementan tipos particulares de datos abstractos. El sistema y el método también se pueden poner en práctica en entornos informáticos distribuidos en los que las tareas son realizadas por dispositivos remotos de procesamiento que están conectados a través de una red de comunicaciones. En un entorno informático distribuido, los módulos de programa puede estar ubicados en soportes de almacenamiento informático locales y remotos que incluyen dispositivos de almacenamiento de memoria.
Entre las muchas aplicaciones posibles, las realizaciones descritas habilitan la visualización, evaluación y medición en vivo de las estructuras anatómicas y la función hemodinámica en estudios de obtención de imágenes longitudinales de animales pequeños. Los sistemas pueden proporcionar imágenes que tienen muy alta resolución, uniformidad de imagen, profundidad de campo, profundidad focal de transmisión ajustable, múltiples zonas focales de transmisión para múltiples usos. Por ejemplo, la imagen de ultrasonidos puede ser de un sujeto o parte anatómica del mismo, tal como un corazón o una válvula del corazón. La imagen también puede ser de la sangre y puede utilizarse para aplicaciones que incluyen la evaluación del sistema vascular de tumores. Los sistemas se pueden usar para guiar las inyecciones con aguja.
Las realizaciones descritas también pueden utilizarse para clínica humana, medicina, fabricación (p. ej., inspecciones ultrasónicas, etc.) u otras aplicaciones en las que se desea producir una imagen con una frecuencia de transmisión de 15 MHz o superior.
Los sistemas descritos pueden comprender uno o más de lo siguiente, que se describen con más detalle en esta memoria: un transductor con distribución que se puede conectar funcionalmente a un sistema de procesamiento que puede comprender uno o más de entre una señal y capacidades de procesamiento de imágenes; subsistemas de conformador de haces de transmisión y recepción; electrónica analógica de extremo delantero; un subsistema controlador de conformador de haces digital; un subsistema de alto voltaje; un módulo informático; un módulo de suministro de energía; una interfaz de usuario; software para ejecutar el conformador de haces, un convertidor de exploración y otras características de sistema que se describen en esta memoria.
Un transductor con distribución utilizado en el sistema puede incorporarse a un cabezal-escáner que puede conectarse a un dispositivo fijo durante la obtención de imágenes que permite al operador adquirir imágenes sin vibraciones y sacudidas que generalmente son el resultado de la obtención de imágenes “manos libres”. Un sujeto tipo animal pequeño también se puede colocar en una plataforma climatizada con acceso a equipo anestésico, y un medio para colocar el cabezal-escáner con respecto a este sujeto de una manera flexible. El cabezal-escáner puede conectarse a un dispositivo fijo durante la obtención de imágenes. El dispositivo puede tener varias características, tales como libertad de movimiento en tres dimensiones, libertad rotatoria, un mecanismo de liberación rápida, etc. El dispositivo fijo puede ser parte de un aparato de “sistema de riel” y puede integrarse con la plataforma de ratón climatizada.
Los sistemas se pueden utilizar con plataformas y aparatos utilizados en obtención de imágenes de pequeños animales incluyendo plataformas de tipo “guía por riel” con aparatos maniobrables de soporte de sonda. Por ejemplo, los sistemas descritos pueden utilizarse con sistemas multi-riel de obtención de imágenes y con conjuntos de montaje para animales pequeños como se describe en la solicitud de la patente de EE.UU. nº 10/683.168, titulada “Integrated Multi-Rail Imaging System”, solicitud de patente de EE.UU nº 10/053.748, titulada “Integrated Multi-Rail Imaging System”, solicitud de patente de EE.UU. nº 10/683.870, ahora patente de EE.UU. nº 6.851.392, publicada el 8 de febrero de 2005, titulada “Small A nimal Mo unt Ass embly” y solicitud de patente de EE.UU. nº 11/053.653, titulada “Small Animal Mount Assembly”.
Los animales pequeños pueden ser anestesiados durante la obtención de imágenes y se pueden monitorizar parámetros fisiológicos vitales, tal como la frecuencia cardíaca y la temperatura. De este modo, un ejemplo del sistema puede incluir unos medios para adquirir señales ECG y de temperatura para su procesamiento y exposición. Un ejemplo del sistema también puede exponer formas de ondas fisiológicas tales como forma de onda de ECG, de respiración o de presión sanguínea.
Vision�eeneral
En esta memoria se proporcionan realizaciones de un sistema para adquirir las señales de ultrasonidos que comprenden una unidad de procesamiento de señales adaptada para adquirir una señal de ultrasonidos recibida de un transductor de ultrasonidos que tiene una pluralidad de elementos. El sistema se puede adaptar para recibir las señales de ultrasonidos que tienen una frecuencia de por lo menos 15 megahercios (MHz) con un transductor que tiene un campo de visión de por lo menos 5,0 milímetros (mm) a una velocidad de tramas de por lo menos 20 tramas por segundo (fps). En otros ejemplos, las señales de ultrasonidos se pueden adquirir a una velocidad de adquisición de 50, 100 o 200 (fps). Opcionalmente, las señales de ultrasonidos se pueden adquirir con una velocidad de adquisición de 200 tramas por segundo (fps) o más. En otros ejemplos, las señales de ultrasonidos recibidas pueden ser adquiridas con una velocidad de tramas dentro del intervalo de aproximadamente 100 fps a aproximadamente 200 fps. En algunos ejemplos de aspectos, la longitud del transductor es igual al campo de visión. El campo de
visión puede ser lo suficientemente amplio como para incluir los órganos de interés, tales como el corazón de un animal pequeño y los tejidos circundantes para cardiología y embriones de longitud total para la obtención de imágenes del abdomen. En un ejemplo, el ancho de banda de doble sentido del transductor puede ser aproximadamente del 50% al 100 %. Opcionalmente, el ancho de banda de doble sentido del transductor puede ser aproximadamente del 60% al 70%. Un ancho de banda de doble sentido se refiere al ancho de banda del transductor que resultada cuando el transductor se utiliza tanto como transmisor de ultrasonidos como receptor, es decir, el ancho de banda de doble sentido es el ancho de banda del espectro de un sentido al cuadrado.
La unidad de procesamiento produce una imagen por ultrasonidos a partir de la señal(es) de ultrasonidos adquirida(s). Las señales adquiridas pueden ser procesadas para generar una imagen por ultrasonidos a una velocidad de exposición que es más lenta que la velocidad de adquisición. Opcionalmente, la imagen por ultrasonidos generada puede tener una velocidad de exposición de 100 fps o menos. Por ejemplo, la imagen por ultrasonidos generada tiene una velocidad de exposición de 30 fps o menos. El campo de visión puede variar de aproximadamente 2,0 mm a aproximadamente 30,0 mm. Cuando se utiliza un pequeño campo de visión, la unidad de procesamiento puede adquirir las señales de ultrasonidos recibidas con una velocidad de adquisición de por lo menos 300 tramas por segundo (fps). En otros ejemplos, la velocidad de adquisición puede ser 50, 100, 200 o más tramas por segundo (fps).
En un ejemplo, en el que se utiliza un transductor de frecuencia central de 30 MHz, la imagen generada utilizando los sistemas descritos puede tener una resolución lateral de aproximadamente 150 micrómetro (!m) o menos y una resolución axial de aproximadamente 75 micrómetros (μm) o menos. Por ejemplo, la imagen puede tener una resolución axial de aproximadamente 30 micrómetros (μm). Por otra parte, realizaciones según la presente invención transmiten ultrasonidos que pueden estar centrados a una profundidad de aproximadamente 1,0 mm a aproximadamente 30,0 mm. Por ejemplo, los ultrasonidos transmitidos pueden enfocarse a una profundidad de aproximadamente 3,0 mm a aproximadamente 10,0 mm. En otros ejemplos, los ultrasonidos transmitidos pueden enfocarse a una profundidad de aproximadamente 2,0 mm a aproximadamente 12,0 mm, de aproximadamente 1,0 mm a aproximadamente 6,0 mm, de aproximadamente 3,0 mm a aproximadamente 8,0 mm, o de aproximadamente 5,0 mm a aproximadamente 30,0 mm.
Transductores
En diversas realizaciones, el transductor puede ser, pero no se limita a, un transductor con distribución lineal, un transductor con distribución en fase, un transductor con distribución bidimensional (2-D) o un transductor con distribución curvada. Una distribución lineal típicamente es plana, es decir, todos los elementos se encuentran en el mismo plano (llano). Una distribución lineal curvada está configurada típicamente de tal manera que los elementos se encuentran en un plano curvo. Los transductores descritos en esta memoria son transductores “fijos”. El término “fijos” significa que la distribución del transductor no utiliza movimiento en su dirección azimutal durante la transmisión o recepción de ultrasonidos con el fin de lograr sus parámetros de funcionamiento deseados, o para adquirir una trama de datos de ultrasonidos. Por otra parte, si el transductor se ubica en un cabezal-escáner u otra sonda de obtención de imágenes, el término “fijo” también puede significar que el transductor no se mueve en una dirección longitudinal o acimutal con respecto al cabezal-escáner, sonda o partes del mismo durante el funcionamiento. Los transductores descritos, que son fijos como se describe, se denominan por todo el documento como una “distribución”, un “transductor”, un “transductor de ultrasonidos”, una “distribución de ultrasonidos”, un “transductor de distribución”, un “transductor con distribución”, un “transductor ultrasónico” o combinaciones de estos términos, o con otros términos que los expertos en la técnica reconocerán que se refieren a un transductor de ultrasonidos. Los transductores tal como se describen en esta memoria pueden moverse entre la adquisición de tramas de ultrasonidos, por ejemplo, el transductor se puede mover entre planos de exploración tras adquirir una trama de datos de ultrasonidos, pero ese movimiento no es necesario para su funcionamiento. Sin embargo, como apreciará un experto en la técnica, el transductor del presente sistema puede ser movido con respecto el objeto del que se obtienen imágenes mientras aún permanece fijo en cuanto a los parámetros de funcionamiento. Por ejemplo, el transductor se puede mover con respecto al sujeto durante la operación para cambiar la posición del plano de exploración o para obtener distintas vistas del sujeto o su anatomía subyacente.
Los transductores con distribución comprenden varios elementos. En un ejemplo el transductor utilizado para poner en práctica uno o más aspectos de la presente invención comprende por lo menos 64 elementos. En un aspecto, el transductor comprende 256 elementos. El transductor también puede comprender menos o más de 256 elementos. Los elementos de transductor pueden estar separados por una distancia igual a la mitad de la longitud de onda de aproximadamente dos veces la longitud de onda de la frecuencia de transmisión central del transductor (en lo sucesivo denominado la “separación de elementos”). En uno de los aspectos, los elementos del transductor están separados una distancia igual a aproximadamente la longitud de onda de la frecuencia central de transmisión del transductor. Opcionalmente, la frecuencia central de transmisión del transductor utilizada es igual o superior a 15 MHz. Por ejemplo, la frecuencia central de transmisión puede ser aproximadamente 15 MHz, 20 MHz, 30 MHz, 40 MHz, 50 MHz, 55 MHz o más. En algunos ejemplos de aspectos, el transductor de ultrasonidos puede transmitir ultrasonidos al sujeto con una frecuencia central dentro del intervalo de aproximadamente 15 MHz a 80 MHz. En un ejemplo el transductor tiene una frecuencia central de funcionamiento de por lo menos 15 MHz y el transductor tiene una separación de elementos igual o inferior a 2,0 veces la longitud de onda del sonido a la frecuencia central
transmitida del transductor. El transductor también puede tener una separación de elementos inferior o igual a 1,5 veces la longitud de onda del sonido a la frecuencia central transmitida de los transductores.
Como ejemplo no limitativo, un transductor que se puede utilizar con el sistema descrito puede ser, entre otros, un transductor con distribución tal como se describe en solicitud de patente de EE.UU nº 11/109.986, titulada “Arrayed Ultrasonic Transducer”, presentada el 20 de abril de 2005 y publicada el 8 de diciembre de 2005 como solicitud de patente de EE.UU. Publicación nº. US 2005/0272183 A1. El transductor también puede comprender un conjunto de elementos piezoeléctricos que puede ser dirigido electrónicamente utilizando mecanismos de retraso e impulsos variables. El sistema de procesamiento según diversas realizaciones de la presente invención puede incluir múltiples puertos de transductor para la interfaz de uno o más transductores o cabezales-escáner. Como se describió anteriormente, un cabezal-escáner puede sostenerse con la mano o montarse en un sistema de riel y el cable del cabezal-escáner puede ser flexible.
Si el sistema incluye un transductor, o está adaptado para ser utilizado con un transductor adquirido por separado, cada elemento del transductor puede conectarse funcionalmente a un canal de recepción de una unidad de procesamiento. Opcionalmente, el número de elementos de transductor es mayor que el número de canales de recepción. Por ejemplo, el transductor puede comprender por lo menos 64 elementos que están conectados funcionalmente a por lo menos 32 canales de recepción. En un aspecto, 256 elementos están conectados funcionalmente a 64 canales de recepción. En otro aspecto, 256 elementos están conectados funcionalmente a 128 canales de recepción. En incluso otro aspecto, 256 elementos están conectados funcionalmente a 256 canales de recepción. Cada elemento también puede estar conectado funcionalmente a un canal de transmisión.
Muestreo
El sistema puede comprender uno o más muestreadores de señal para cada canal de recepción. Los muestreadores de señal pueden ser convertidores analógicos a digital (ADC, del inglés analog-to-digital c onverters). Los muestreadores de señal pueden utilizar técnicas de muestreo directo para muestrear las señales recibidas. Opcionalmente, los muestreadores de señal pueden utilizar muestreo de ancho de banda para muestrear las señales recibidas. En otro aspecto, los muestreadores de señal pueden utilizar muestreo en cuadratura para muestrear las señales recibidas. Opcionalmente, con muestreo en cuadratura, los muestreadores de señal comprenden relojes de muestreo desfasados 90 grados. También con muestreo en cuadratura los relojes de muestreo también tienen un período de recepción, y la frecuencia de reloj de recepción puede ser aproximadamente igual a la frecuencia central de la señal de ultrasonidos recibida pero puede ser diferente de la frecuencia de transmisión. Por ejemplo, en muchas situaciones, la frecuencia central de la señal recibida ha sido desplazada más abajo que la frecuencia central de la señal de transmisión debido a la atenuación dependiente de frecuencia en el tejido del que se obtienen imágenes. Para estas situaciones, la frecuencia del reloj de muestreo de recepción puede ser menor que la frecuencia de transmisión.
Una señal adquirida puede ser procesada utilizando un método de filtrado por interpolación. Utilizando el método de filtrado por interpolación puede utilizarse una resolución de retraso, que puede ser menor que el período del reloj de recepción. En un ejemplo de aspecto, la resolución de retraso puede ser, por ejemplo, 1/16 del período del reloj de recepción.
La unidad de procesamiento puede comprender un conformador de haces de recepción. El conformador de haces de recepción puede ser implementado utilizando por lo menos un dispositivo de distribución de puertas programables en campo (FPGA). La unidad de procesamiento también puede comprender un conformador de haces de transmisión. El conformador de haces de transmisión también puede ser implementado mediante por lo menos un dispositivo FPGA.
En un aspecto, se generan 512 líneas de ultrasonidos, transmitas al sujeto y recibidas del sujeto para cada trama de la imagen generada por ultrasonidos. En un aspecto adicional, también se pueden generar 256 líneas de ultrasonidos, transmitas al sujeto y recibidas del sujeto para cada trama de la imagen generada por ultrasonidos. En otro aspecto, se pueden generar por lo menos dos líneas de ultrasonidos, transmitidas al sujeto y recibidas del sujeto en cada elemento de la distribución para cada trama de la imagen generada por ultrasonidos. Opcionalmente, se genera una línea de ultrasonidos, transmitidos al sujeto y recibidos del sujeto en cada elemento de la distribución para cada trama de la imagen generada por ultrasonidos.
Los sistemas de ultrasonidos descritos en esta memoria se pueden utilizar en múltiples modos de obtención de imágenes, por ejemplo, los sistemas pueden utilizarse para producir una imagen en modo B, modo M, modo Doppler de onda por impulsos (PW, del inglés Pulsed Wave), modo Doppler de potencia, modo Doppler de flujo de color, modo de RF y modo 3-D. Los sistemas pueden ser utilizados en modos de obtención de imágenes de Flujo de Color, incluidos obtención de imagen por flujo de color de velocidad direccional, obtención de imágenes por Doppler de Potencia y obtención de imágenes por Doppler Tisular. Los sistemas también se pueden utilizar con Doppler PW Dirigido, con frecuencias muy altas de repetición de impulsos (PRF). Los sistemas también pueden ser utilizados en modo M, con modo B simultáneo, para cardiología u otras aplicaciones en las que se desean estas técnicas. El sistema se puede utilizar opcionalmente en modos Dúplex y Tríplex, en los que Modo M y los modos Doppler PW y/o de Flujo de Color se ejecutan simultáneamente con Modo B en tiempo real. También se puede utilizar un modo 3-D
en el que se adquiere información de Modo B o de modo de Flujo de Color en una región tridimensional y se presenta en una pantalla de presentación superficial en 3-D. Se puede utilizar una reconstrucción de la imagen basada en líneas o modo “EKV” para cardiología u otras aplicaciones, en la que se adquiere información de la imagen durante varios ciclos cardíacos y se recombinan para proporcionar una exposición con una velocidad de tramas muy alta. En la solicitud de patente de EE.UU nº 10/736.232, ahora patente de EE.UU. nº. 7.052.460 expedida el 30 de mayo de 2006 y titulada “System for Produc ing a n Ul trasound Ima ge Using L ine Based I mage Reconstruction” se describen métodos de reconstrucción de imágenes basados en líneas.
Tales métodos de obtención de imágenes basados en líneas pueden ser incorporados para producir una imagen cuando se desea una alta velocidad de adquisición de tramas, por ejemplo, cuando se obtienen imágenes del corazón de un ratón que late rápidamente. En el modo de adquisición RF, pueden adquirirse datos de RF sin procesar, que se exponen y quedan disponibles para el análisis fuera de línea (offline).
En un ejemplo, el transductor puede transmitir a una frecuencia de repetición de impulsos (PRF) de por lo menos 500 hercios (Hz). El sistema puede comprender además una unidad de procesamiento para generar una imagen de ultrasonidos por Doppler de flujo de color a partir de los ultrasonidos recibidos. Opcionalmente, la PRF está entre aproximadamente 100 Hz y aproximadamente 150 KHz. En modo M o modo de RF la PRF está entre aproximadamente 100 Hz y aproximadamente 10 KHz. Para modos Doppler, la PRF puede estar entre aproximadamente 500 Hz y aproximadamente 150 KHz. Para Modo M y modo de RF, la PRF puede estar entre aproximadamente 50 Hz y aproximadamente 10 KHz.
Ejemplo�de�transductor�con�districucion
Haciendo referencia ahora a FIGS. 2A-15B, hay una placa de circuito según un ejemplo adaptada para aceptar un ejemplo de transductor que está adaptado además para conectarse a por lo menos un conector convencional. Como se ha señalado en esta memoria, el conector convencional puede estar adaptado para conectarse complementariamente con un cable para la transmisión y/o suministro de señales requeridas. Con respecto a las figuras, debido a los detalles finos de la placa de circuito y a menos que se indique otra cosa, las figuras son sólo representativas de placas de circuito complementarias y distribuciones asociadas de múltiples elementos. Las FIGS. 5A-5C muestran varias vistas de un ejemplo de una placa de circuito para una distribución de 256 elementos que tienen una separación de 75 micrómetros.
Haciendo referencia ahora en particular a las FIGS. 2A-4B, se ilustra un ejemplo de transductor para el uso con el ejemplo de placa de circuito, en las FIGS. 2A-4B, se muestran unos ejemplos de vista superior, inferior y en sección transversal de un ejemplo de pila PZT esquemática. La FIG.2A muestra una vista superior de la pila PZT e ilustra algunas partes de la capa eléctrica de tierra que se extiende desde las partes superior e inferior de la pila PZT. En un aspecto, la capa eléctrica de tierra se extiende toda la anchura de la pila PZT. La FIG.2B muestra una vista inferior de la pila PZT. En este aspecto, a lo largo de las orillas que se extienden longitudinalmente de la pila PZT, la pila PZT forma unas partes expuestas de la capa dieléctrica entre elementos individuales de electrodos de señal. En otro aspecto, los elementos de señal se extienden toda la anchura de la pila PZT. Como se apreciará, no se muestra en la “parte central” subyacente de la pila PZT, hay unas líneas que muestran los elementos individualizados de electrodo de señal. Como se apreciará además, hay un electrodo de señal por elemento de la pila PZT, por ejemplo, 256 electrodos de señal para una distribución de 256 elementos.
La FIG.3A es una vista en planta de un adaptador interpuesto para el uso con la pila PZT, de las FIGS. 2A-C, que comprende unas pistas eléctricas que se extienden hacia afuera desde al lado de la abertura central del adaptador interpuesto. El adaptador interpuesto comprende además unas pistas eléctricas de tierra situadas en las partes superior e inferior de la pieza.
El adaptador interpuesto puede comprender además una capa dieléctrica dispuesta sobre una parte de la superficie superior del adaptador interpuesto sobre la abertura central de la pieza. En este aspecto, y también haciendo referencia a la FIG. 3B, la capa dieléctrica define dos distribuciones de pozos escalonados, una distribución a cada lado de la abertura central y que se extienden a lo largo de un eje paralelo al eje longitudinal del adaptador interpuesto. Cada pozo está en comunicación con una pista eléctrica del adaptador interpuesto. Se puede utilizar una pasta de soldadura para rellenar cada uno de los pozos en la capa dieléctrica de tal manera que, cuando una pila PZT está montada sobre la capa dieléctrica y se aplica calor, la soldadura se funde para formar la continuidad eléctrica deseada entre los electrodos individuales de señal de elemento y las pistas individuales del adaptador interpuesto. En uso, el pozo ayuda a retener la soldadura dentro de los confines del pozo.
La FIG. 4A es una vista en planta superior de la pila PZT mostrada en la FIG. 2A montada sobre la capa dieléctrica del adaptador interpuesto mostrado en la FIG.3A. Para ayudar a la comprensión de la invención, la FIG. 4B proporciona una vista en planta superior de la pila PZT, mostrada en la FIG. 2A montada sobre la capa dieléctrica y el adaptador interpuesto mostrado en la FIG. 3A, en la que la pila PZT se muestra como una transparencia. Esto proporciona una ilustración de la relación de montaje entre la pila PZT y la capa dieléctrica/adaptador interpuesto subyacentes, la pasta de soldadura montada entremedio forma una conexión eléctrica entre los respectivos electrodos de señal de elemento y las pistas eléctricas del adaptador interpuesto.
Haciendo referencia ahora a la FIG. 5 A, se ilustra una vista en planta superior esquemática de un ejemplo de placa de circuito para montar el transductor de la presente invención a la misma. En un aspecto, por lo menos una parte de la placa de circuito puede ser flexible. En un ejemplo, la placa de circuito comprende una capa de tierra inferior de cobre y una capa de Kapton™ montada en la superficie superior de la capa de tierra inferior de cobre. En un aspecto, la placa de circuito también puede comprender una pluralidad de estructuras subyacentes de soporte sustancialmente rígidas. En este aspecto, una parte central que rodea una abertura central de la placa de circuito puede tener una estructura rígida de soporte montada en la superficie inferior de la capa de tierra inferior de cobre. En un aspecto adicional, partes de la placa de circuito en la que se pueden conectar los conectores también tienen estructuras rígidas de soporte montadas en la superficie inferior de la capa inferior de tierra de cobre.
La placa de circuito comprende además una pluralidad de pistas eléctricas de placa formadas sobre la misma en la superficie superior de la capa de Kapton™, cada pista eléctrica de placa tiene un extremo proximal adaptado para acoplarse a una pista eléctrica del transductor y un extremo distal adaptado para acoplarse a un conector, tal como, por ejemplo, un cable para la comunicación de señales a través del mismo. En un aspecto, la longitud del circuito eléctrico que forma cada pista eléctrica tiene una impedancia sustancialmente constante.
La placa de circuito también comprende una pluralidad de vías que pasan a través de la capa de Kapton™ y están en comunicación con la capa de tierra subyacente de modo que pueden formarse los recorridos de retorno de señal,
o recorridos de tierra de señal. Además, la placa de circuito comprende una pluralidad de pines a tierra. Cada pin de tierra tiene un extremo proximal que está acoplado a la capa de tierra de la placa de circuito (pasando a través de una de las vías en la capa de Kapton) y un extremo distal que está adapto para acoplarse al conector.
La FIG. 5B es una vista en planta superior de un ejemplo de placa de circuito para el montaje de un ejemplo de distribución de 256 elementos que tienen una separación de 75 micrómetros y la FIG. 5C es una vista en planta superior de las vías de la placa de circuito de la FIG. 5B, que están en comunicación con una capa de tierra subyacente de la placa de circuito. La FIG. 5B también define unas perforaciones en la placa de circuito que tienen un tamaño y forma para aceptar los pines de los conectores, de tal manera que cuando el conector está montado sobre unas partes de la placa de circuito, habrá una alineación correcta de las respectivas vías eléctricas y pines de tierra con el conector.
La FIG. 6 ilustra una vista en planta superior parcial ampliada de una parte de la placa de circuito ejemplificada que muestra, en la Región A, la capa de electrodo de tierra del transductor que está siendo vinculado por hilos a una pista eléctrica sobre el adaptador interpuesto, que a su vez puede vincularse por hilos a las pastillas de tierra de la placa de circuito. Las pastilla de tierra de la placa de circuito están en comunicación, a través de las vías en la capa de Kapton™, con la capa de tierra inferior subyacente de cobre. Como se ilustra, en la Región B, las pistas eléctricas individuales del transductor están vinculadas por hilos a pistas eléctricas individuales de placa de la placa de circuito. Haciendo referencia ahora a la FIG. 8A, en un aspecto la abertura central de la placa de circuito subyace al material de refuerzo del transductor. La FIG. 7A es una vista parcial ampliada de la Región B de un ejemplo de transductor montado en una parte de la placa de circuito.
Haciendo referencia ahora a las FIGS. 11 A-11B, se muestra un montaje de un transductor que no incluye un adaptador interpuesto en la parte central sustancialmente rígida de la placa de circuito. Este ejemplo permite la eliminación de la mayoría de las vinculaciones por hilo. En este aspecto, la pila PZT se monta en la superficie sobre la placa de circuito directamente, por ejemplo, por medio de una serie de bultos de bola de oro. Los medios de bultos de bola de oro son una técnica convencional de montaje superficial y representan otro tipo de técnicas de montaje en superficie consistentes con las mencionadas técnicas de montaje en superficie. En este ejemplo, la parte central hecha rígida de la placa de circuito puede proporcionar la misma funcionalidad que el adaptador interpuesto. Las vinculaciones por hilo, u otra conexión eléctrica, desde el electrodo de tierra de la pila PZT a la tierra de la placa de circuito todavía son necesarias para completar la señal de retorno del dispositivo montado. La FIG.11A muestra la capa de electrodo de tierra del transductor (sin adaptador interpuesto) vinculada por hilos a las pastillas de tierra de la placa de circuito.
En un aspecto, los bultos de bola de oro se aplican directamente sobre la placa de circuito. Cada bulto de bola se coloca en comunicación con una pista eléctrica de la placa del circuito. Cuando se aplica la pila PZT, está alineada con las pistas eléctricas de la placa de circuito y la continuidad eléctrica se realiza a través de los bultos de bola. La pila PZT se asegura a la placa de circuito, por ejemplo y sin pretender ser limitativo, mediante a) el uso de relleno subyacente, tal como curable por UV; b) uso de una cinta ACF; c) por galvanoplastia de material de soldadura de indio puro sobre los electrodos de la PZT o la placa de circuito y refusión del indio para proporcionar una unión de soldadura entre el electrodo de señal sobre el PZT y el bulto de bola de oro sobre la placa de circuito y similares.
Un transductor con distribución puede conectarse funcionalmente a la unidad de procesamiento del sistema utilizando el circuito flexible como se muestra en las FIGS. 2A-11. Haciendo referencia ahora a las FIGS. 12-15, el circuito flexible puede conectarse funcionalmente con un conector BTH. Los conectores BTH son comunes y están disponibles en diversos tamaños. El conector BTH comprende varios pines para emparejarse con un conector BSH. El número de pines puede ser por lo menos un número mayor que el número de elementos de la distribución o pistas del circuito flexible. Por ejemplo, el número de pines puede ser igual al doble del número de elementos de la
distribución o pistas correspondientes del circuito flexible. De este modo, en un ejemplo, pueden utilizarse 2 x 180 = 360 pines para las 256 pistas en el circuito flexible de una distribución de 256 elementos. En otro ejemplo, pueden utilizarse 256 pines para el ejemplo de distribución de 256 elementos. El conector BSH puede ser asentado de manera conectada dentro del BTH. El conector BSH está conectado funcionalmente con una interfaz tal como una placa de circuito impreso que se termina con una pluralidad de cables coaxiales. Un cable común más grande formado a partir de la pluralidad de cables coaxiales puede terminar con un extremo ZIF para la interfaz con la unidad de procesamiento del sistema de ultrasonidos en un receptáculo ZIF o lugar de interfaz. Un ejemplo de conector ZIF que puede utilizarse es un conector 360 Pin DLM6 ITT Cannon 7TF™ como el disponible de ITT Corporation de White Plains, Nueva York. Como estará claro para un experto en la técnica, sin embargo, se pueden utilizar conectores alternativos ZIF™ para la interfaz con la unidad de procesamiento y puede tener más o menos de 360 pines.
La conexión puede comprender un cable o un manojo de cables. El cable puede conectar cada elemento de la distribución a la unidad de procesamiento con una relación de uno a uno; es decir, cada elemento puede ser conectado eléctricamente con su propia señal y un cable de tierra a un punto de conexión designado en la unidad de procesamiento por lo que la pluralidad de conexiones individuales de elementos se agrupan juntas para formar el cable en su conjunto. Opcionalmente, cada conexión eléctrica individual puede estar fuera del manojo y no estar formada físicamente dentro del cable o conjunto de cables.
Los cables adecuados pueden ser cables coaxiales, pares trenzados y cableado de aleación de cobre. Otros medios de conexión pueden ser a través de métodos conectados no físicamente, tal como enlaces de RF, enlaces de infrarrojos y tecnologías similares cuando se incluyan componentes apropiados para transmitir y recibir.
Las conexiones de elementos individuales pueden comprender un cable coaxial de un tipo utilizado típicamente para la conexión de elementos de la distribución a unidades de procesamiento. Estos cables coaxiales pueden ser de un tipo de bajas pérdidas. Los cables coaxiales típicamente comprenden un conductor central y algún tipo de blindaje exterior aislado del conductor central y encerrado en una capa exterior de aislamiento. Estos cables coaxiales tienen impedancias nominales apropiadas para su uso con una distribución. Ejemplos de impedancias nominales pueden ser de 50 ohmios o más, incluidas 50 ohmios, 52 ohmios, 73 ohmios, 75 ohmios o 80 ohmios.
Un ejemplo de cable médico para el uso con uno o más de los sistemas de obtención de imágenes descritos en esta memoria comprende un mínimo de 256 cables coaxiales de 40 AWG con una impedancia nominal de aproximadamente 75 ohmios con longitudes de cable coaxial de unos 2,0 m. La longitud puede ser inferior a 2,0 m o más de 2,0 m. La longitud de la funda del cable puede dar cabida a la longitud del cable, puede incluir vainas metálicas adicionales para el blindaje eléctrico y puede ser de PVC u otros materiales flexibles.
Los cables y las conexiones para conectar un transductor con distribución a la unidad de procesamiento, incluidos los descritos en esta memoria pueden ser fabricados por empresas como Precision Interconnect - Tyco Electronics (Tyco Electronics Corporation, en Wilmington, Delaware).
El ejemplo de cable, en el extremo proximal, puede comprender además une alivio de tensión/flexible, 12 PCB que hacen de interfaz entre los cables coaxiales y los pines ZIF™, un conector 360 Pin ITT Cannon 7.TF™ y un asidero de accionamiento (tipo DLM6-360) y una carcasa blindada alrededor del conector. El ejemplo de cable, en el extremo distal, puede comprender un cable de alivio de tensión/flexible terminado en dos PCB, que forman la interfaz entre los cables coaxiales y la placa de circuito flexible, en donde cada PCB tiene 1 Conector Samtec BSH-090-01-L-D-A (Samtec, Inc., New Albany, Indiana, Estados Unidos) y cada PCB tiene unas pistas con impedancia característica de 75 Ohmios con cables terminados desde ambos lados de los PCB con una disposición escalonada.
El cable puede utilizar un método de “circuito flexible” para la fijación y la conexión de una pluralidad de cables coaxiales que comprenden el cable grande. En un ejemplo la distribución tiene 256 elementos. La distribución está montada en la región central de un circuito flexible. El circuito flexible tiene dos extremos de tal manera que los elementos con número impar 1, 3, 5, 7...255 terminan en el extremo izquierdo del flexible con un conector con un BTH-090 con la etiqueta J1, y los elementos con número par 2, 4, 6, 8... 256 terminan en el extremo derecho del circuito flexible con un conector BTH-090 con la etiqueta J3. Para ambos extremos, los elementos están terminados en secuencia a lo largo de las filas superiores e inferiores de sus respectivos conectores con los pines GND (retorno de señal) dispersados homogéneamente por el conector con un patrón repetido.
El patrón de repetición se define desde la orilla exterior del circuito flexible hacia la región central del circuito flexible y es de la siguiente manera:
2 pines de señal, GND
3 pines de señal, GND
2 pines de señal, GND
3 pines de señal....
..., GND
3 pines de señal, GND
2 pines de señal, GND
2 pines de señal, GND.
Un esquema que muestra una vista lateral del circuito flexible plegado, con la distribución montada en la distribución central del circuito flexible se muestra en la FIG.12A y una tabla de asignación de pines para los conectores en el circuito flexible se muestra en la FIG. 12B.
El circuito flexible puede conectarse al ejemplo de cable que se ha descrito anteriormente. El circuito flexible puede conectarse a un conjunto de cables médicos Precision Interconnect —Tyco Electronics. La conexión eléctrica, por ejemplo, desde el circuito flexible al conector ZIF™ puede hacerse a través de dos PCB de cabezal-escáner seguidos de un mazo de cables coaxiales y 12 PCB cortos, cada uno con un conector 2x15 insertado en los pines ZIF™.
Cada PCB de cabezal-escáner (un total de dos) puede comprender un conector BSH-090, 128 pistas (todas las pistas con impedancia controlada de por ejemplo 75 ohmios a 30 MHz) y puede terminarse con 128 cables coaxiales (40 AWG 75 ohmios). El PCB puede tener dimensiones exteriores de 13,33 mm por 59,54 mm (0,525" por 2,344").
La FIG. 13 ilustra el diseño de las dos PCB de cabezal-escáner. La FIG 14 ilustra cómo se pueden conectar los PCB al circuito flexible e ilustra la naturaleza escalonada de cómo pueden soldarse las cintas de cable coaxial a la PCB. Hay dos PCB de cabezal-escáner. La placa izquierda puede conectarse al conector J1 en el circuito flexible y la placa derecha puede conectarse al conector J3. Cada PCB de cabezal-escáner puede tener un conector BSH-090. La asignación de pines para cada pista del cabezal-escáner puede ser coincidente con la asignación de pines del conector J1 y J3.
Conector�II�
Un ejemplo de cable médico, como se muestra parcialmente en la FIG. 15A, comprende un conector ZIF en el extremo proximal, el extremo del cable que se conecta a la unidad de procesamiento. Un experto en la técnica apreciará que son posibles varios diseños de conjuntos de cables. La FIG. 15B ilustra una asignación de pines que se puede utilizar para el ejemplo de conector ZIF. Los pines etiquetados como G son pines de señales de retorno. Los pines etiquetados como N/C no se terminan con cables coaxiales y estos pines están reservados para ser usados como blindaje para el chasis de tierra o para otras funciones no especificadas. Los pines N/C pueden ser accesibles simplemente eliminando el alojamiento ZIF y soldando las pistas sin utilizar sobre cualquiera de las 12 PCB conectadas al ZIF
Las 12 PCB individuales utilizadas para conectar al conector ZIF tienen cables coaxiales en uno o en ambos lados de la placa. Una orilla de la PCB puede tener un conector adecuado para su inserción en el conector ZIF (Samtec SSW o equivalente) y cada PCB tendrá las pistas y vías apropiadas necesarias para conectar el cable coaxial correcto al pin correcto del ZIF. Cada PCB puede tener un conector Samtec SSW, o equivalente, con dos filas de 15 pines, aunque el número de cables coaxiales puede diferir en algunas de las 12 PCB tal como se define en la FIG. 15B. La disposición general de los pines en el conector 2x15 es universal y se muestra en la Tabla 1.
Una de las 12 PCB requiere previsiones en la disposición de pistas para incluir una EEPROM tal como se define en la FIG. 15B. Dos de las 12 PCB requieren que algunos de los pines terminen según sea necesario para proporcionar el número codificado de forma rígida ID DE SONDA que identificará el diseño particular de distribución incluido dentro del conjunto de distribución.
Se pueden utilizar diversos métodos de conexión, incluidos conectores de diversos estilos. Para estos diversos métodos de conexión, la impedancia puede ser de 75 ohmios en una frecuencia central de 30 MHz.
Tabla 1 La disposición de las conexiones en el extremo del conector de la PCB ZIF que se conecta en el conector ITT. Patrón general
Señal
Señal
Señal
GND
Señal
Señal
GND
Señal
Señal
Señal
Señal
Señal
Señal
GND
Señal
Señal
GND
Señal
Señal
Señal
Señal
Señal
Señal
GND
Señal
Señal
GND
Señal
Señal
Señal
5 Sistema�de �ultrasonidos
Un ejemplo de realización de un sistema de ultrasonidos 1600 según la presente invención se muestra en la FIG. 16. La FIG. 16 es un diagrama de bloques que ilustra un ejemplo de sistema 1600 de obtención de imágenes por ultrasonidos a alta frecuencia. Los bloques mostrados en las diversas Figuras pueden ser representaciones funcionales de los procesos que tienen lugar dentro de una realización del sistema 1600. En la práctica, sin
10 embargo, las funciones pueden ser llevadas a cabo en varios lugares o módulos dentro del sistema 1600.
El ejemplo de sistema 1600 comprende un transductor con distribución 1601, un cable 1619 y una unidad de procesamiento 1620. El cable 1619 conecta la unidad de procesamiento 1620 y el transductor con distribución 1601. La unidad de procesamiento puede comprender componentes de software y de hardware. La unidad de procesamiento pueden comprender uno o más de entre un multiplexor/electrónica de extremo delantero (MUX) 15 1602, un conformador de haces de recepción 1603, un control 1604 de conformador de haces, un conformador de haces de transmisión 1605, un sistema de control 1606, una interfaz 1607 de usuario, un convertidor de exploración 1608, una unidad 1609 de exposición de procesamiento de vídeo y módulos de procesamiento, incluidos uno o más de entre un módulo de procesamiento de modo M (no se muestra), un módulo de procesamiento Doppler PW 1611, un módulo de procesamiento de modo B 1612, un módulo de procesamiento de flujo de color 1613, un módulo de
20 procesamiento de modo 3-D (no se muestra), y un módulo de procesamiento de modo RF 1615. El intervalo de frecuencias centrales del ejemplo de sistema puede ser de aproximadamente 15-55 MHz o más alto. Cuando se mide desde la orilla exterior de los anchos de banda, el intervalo de frecuencias del ejemplo de sistema puede ser de aproximadamente 10-80 MHz o más alto.
El transductor con distribución 1601 forma una interfaz con la unidad de procesamiento 1620 en el
25 multiplexor/electrónica de extremo delantero (MUX/FEE) 1602. La parte MUX del MUX/FEE 1602 es un multiplexor que puede cambiar o conectar electrónicamente una pluralidad de recorridos eléctricos a un número menor de recorridos eléctricos. El transductor con distribución 1601 convierte la energía eléctrica a energía de ultrasonidos y viceversa, y está conectado eléctricamente con el MUX/FEE 1602.
El MUX/FEE 1602 comprende componentes electrónicos que generan una forma de onda de transmisión que se
30 conecta a un determinado subconjunto de los elementos de la distribución, a saber los elementos de la abertura activa. El subconjunto de elementos se denomina la abertura activa del transductor con distribución 1601. La
electrónica del MUX/FEE 1602 también conecta la abertura activa de la distribución con la electrónica del canal de recepción. Durante el funcionamiento, la abertura activa se mueve alrededor del transductor con distribución 1601, de una manera determinada por los componentes descritos en esta memoria.
El MUX/FEE 1602 conecta de manera conmutable los elementos de la abertura activa a canales de transmisión y recepción del ejemplo de sistema. En un ejemplo de transductor con distribución de 256 elementos hay 64 canales de transmisión y 64 canales de recepción que pueden ser conectados de manera conmutable a la abertura activa de hasta 64 elementos. Los hasta 64 elementos de la abertura activa son contiguos. En ciertos ejemplos, hay un MUX de transmisión independiente y un MUX de recepción independiente. Otros ejemplos de la invención comparten el MUX para los canales de transmisión y los canales de recepción.
Durante un ciclo de transmisión del ejemplo de sistema de ultrasonidos 1600, la parte de electrónica de extremo delantero del MUX/FEE 1602 suministra una señal de alto voltaje a los elementos de la abertura activa del transductor con distribución 1601. En uno de los aspectos, la electrónica de extremo delantero también puede proporcionar circuitos de protección para los canales de recepción, para protegerlos de la señal de transmisión de alto voltaje, ya que los canales de recepción y los canales de transmisión tienen un punto de conexión común en los elementos del transductor con distribución 1601. La protección puede ser en forma de circuitos de aislamiento que limitan la cantidad de señal de transmisión que puede filtrarse o pasar al canal de recepción hasta un nivel seguro que no cause daños a la electrónica de recepción. Características del MUX/FEE 1602 incluyen un rápido tiempo de subida en el lado de transmisión y un gran ancho de banda en los canales de transmisión y de recepción.
El MUX/FEE 1602 pasa señales desde el conformador de haces de transmisión 1605 al transductor con distribución 1601. En unos ejemplos, el conformador de haces de transmisión 1605 genera y suministra formas de onda independientes a cada uno de los elementos de la abertura activa. En un ejemplo la forma de onda de cada elemento de la abertura activa es la misma. En otro aspecto, las formas de onda para cada elemento de la abertura activa no son iguales y en algunos ejemplos tienen diferentes frecuencias centrales.
En un ejemplo de realización, cada forma de onda independiente de transmisión tiene un retraso asociado con ella. La distribución de los retrasos para cada forma de onda de elemento se llama un perfil de retrasos. El perfil de retrasos se calcula de una manera que produce el enfoque deseado del haz de transmisión acústica en el punto focal deseado. En determinadas realizaciones, el eje del haz de transmisión acústica es perpendicular al plano de la distribución 1601, y el eje del haz se cruza con la distribución 1601 en el centro de la abertura activa del transductor con distribución 1601. El perfil de retrasos también puede dirigir el haz de modo que no sea perpendicular al plano de la distribución 1601. En un ejemplo de aspecto de la presente invención, se puede utilizar una resolución de retraso de 1/16. O, en otras palabras, 1/16 del período de la frecuencia central de la frecuencia central de transmisión, aunque se contemplan otras resoluciones de retrasos dentro del alcance de la presente invención. Por ejemplo, a una frecuencia central de 50 MHz, el periodo es de 20 nanosegundos, de modo que 1/16 de ese período son 1,25 nanosegundos, que es el ejemplo de resolución de retraso utilizado para enfocar el haz acústico. Se apreciará que la resolución de retrasos puede ser diferente a 1/16 de un período, por ejemplo dentro del alcance de esta invención se contemplan resoluciones de retrasos de menos de 1/16 (p. ej., 1/24,1 /32, etc.) así como resoluciones de retrasos superiores a 1/16 (p. ej., 1/12,1 /8, etc.).
El conformador de haces de recepción 1603 también se puede conectarse a elementos de la abertura activa del transductor con distribución 1601 a través del MUX/FEE 1602. Durante la transmisión una señal acústica penetra en el sujeto y genera la señal reflejada desde los tejidos del sujeto. La señal reflejada es recibida por los elementos de la abertura activa del transductor con distribución 1601 y es convertida en una señal eléctrica analógica que emana de cada elemento de la abertura activa. La señal eléctrica es muestreada para convertirla de señal analógica a digital en el conformador de haces de recepción 1603. Unos ejemplos utilizan muestreo en cuadratura para la digitalización de la señal recibida. Durante el ciclo de recepción del sistema 1600, el transductor con distribución 1601 también tiene una abertura de recepción que es determinada por el control 1604 de conformador de haces, que le dice al conformador de haces de recepción 1603 qué elementos de la distribución incluir en la abertura activa y qué perfil de retrasos utilizar. El conformador de haces de recepción 1603 del ejemplo de realización es un conformador de haces digital.
El conformador de haces de recepción 1603 introduce retrasos en la señal recibida de cada elemento de la abertura activa. Los retrasos se denominan colectivamente el perfil de retrasos. El perfil de retrasos de recepción se puede ajustar dinámicamente en función del tiempo de vuelo, es decir, la cantidad de tiempo que ha transcurrido durante la transmisión de los ultrasonidos en el tejido del que se están obteniendo imágenes. El tiempo de vuelo se utiliza para enfocar el conformador de haces de recepción a un punto de enfoque dentro del tejido. En otras palabras, la profundidad del haz de recepción se ajusta utilizando un perfil de retrasos que incorpora información relativa al tiempo de vuelo del haz transmitido.
La señal recibida desde cada elemento de la abertura activa se suma, en donde la suma incorpora el perfil de retrasos. La señal recibida sumada fluye a lo largo del canal de recepción desde el conformador de haces de recepción 1603 a uno o más de los módulos de procesamiento 1611, 1612, 1613 y/o 1615, (incluso los que no se
muestran en la FIG. 16), según la selección de la interfaz 1607 de usuario y los controles 1606 del sistema, que actúan basándose en un aporte de usuario.
El control 1604 de conformador de haces se conecta con el MUX/FEE 1602 a través del conformador de haces de transmisión 1605 y el conformador de haces de recepción 1603. Además, está conectado al control 1606 del sistema. El control 1604 de conformador de haces proporciona información al MUX/FEE 1602 de modo que los elementos deseados del transductor con distribución 1601 estén conectados para formar la abertura activa. El control 1604 de conformador de haces también crea y envía al conformador de haces de recepción 1603 el perfil de retrasos para el uso con la recepción de un haz particular. En realizaciones de la invención, el perfil de retrasos de recepción puede ser actualizado repetidamente según el tiempo de vuelo. El control 1604 de conformador de haces también crea y envía al conformador de haces de transmisión 1605 el perfil de retrasos de transmisión.
El control 1606 de sistema funciona de una manera conocida por un experto en la técnica. Toma la entrada de la interfaz 1607 de usuario y proporciona la información de control a los diversos componentes del sistema 1600 con el fin de configurar el sistema 1600 para un modo de funcionamiento elegido. El convertidor de exploración 1608 funciona de una manera conocida en la técnica y toma los datos sin procesar de imagen generados a partir de uno o varios de los módulos de procesamiento y convierte los datos sin procesar de las imágenes en una imagen que puede ser expuesta por el procesamiento de vídeo/pantalla 1609. Para algunos modos de procesamiento de funcionamiento, la imagen se puede exponer sin utilizar el convertidor de exploración 1608 si las características de vídeo de la imagen son las mismas que las de la pantalla.
Los módulos de procesamiento, excepto como se indica en esta memoria, funcionan de una manera conocida por un experto en la técnica. Para el módulo Doppler PW 1611 y el módulo de procesamiento de flujo de color 1613, la frecuencia de repetición de impulsos (PRF) puede ser alta debido a las altas frecuencias centrales. Las velocidades máximas sin alias (unaliasing) que pueden medirse son proporcionales a la PRF e inversamente proporcionales a la frecuencia central de transmisión. Las PRF necesarias para permitir la medición sin alias de velocidades específicas dadas unas específicas frecuencias centrales de transmisión pueden calcularse en un método conocido para un experto en la técnica. Dado que las frecuencias centrales de transmisión utilizadas se encuentran en el intervalo de 15 a 55 MHz, o más altas, y la velocidad del flujo sanguíneo puede llegar a ser de 1 m/s y en algunos casos superior a 1 m/s, la medición sin alias de la señal Doppler resultante de esas velocidades requerirá que la PRF para Doppler PW sea de hasta 150 KHz. Unos ejemplos tienen un modo de Doppler PW que admite PRF hasta 150 KHz, que para una frecuencia central de 30 MHz permite la medición sin alias de velocidades de sangre de hasta 1,9 m/s en ratones con un ángulo de cero grados entre el vector de velocidad del objetivo en movimiento y el eje del haz de ultrasonidos.
En ciertos ejemplos, el módulo de RF 1615 utiliza interpolación. Si el método de muestreo utilizado es muestreo en cuadratura, entonces la señal de RF puede ser reconstruida a partir de las muestras de banda base en cuadratura mediante relleno con ceros y filtrado, como conocerá un experto en la técnica. Si se utiliza el muestreo Nyquist, entonces no se necesita ninguna reconstrucción, ya que la señal de RF es muestreada directamente. En ciertos ejemplos, el módulo de RF 1615 reconstruye la señal RF a partir de las muestras en cuadratura de la salida del conformador de haces de recepción. El muestreo tiene lugar a la frecuencia central de la señal de recepción, pero en cuadratura, dando una representación en cuadratura de banda base de la señal. La señal de RF es creada rellenando primero con ceros el flujo de datos muestreado en cuadratura, con el número de ceros determinado por la velocidad de muestreo deseada de señal interpolada. Entonces, se aplica un filtro complejo de paso de banda al flujo de datos relleno de ceros, que rechaza el contenido de frecuencia de la señal rellena de ceros que está fuera de la banda de frecuencia desde fs/2 a 3fs/2, donde fs es la frecuencia de muestreo. El resultado después del filtrado es una representación compleja de la señal original de RF. La señal de RF se pasa luego a la unidad informática principal para un procesamiento adicional, tal como filtrado digital y detección de envoltura y exposición. Puede exponerse la parte real o la representación compleja de la señal de RF. Por ejemplo, pueden procesarse y exponerse los datos de RF adquiridos para una línea particular de exploración. Como alternativa, se pueden exponer datos de RF de una cierta línea de exploración promediada sobre un número de retorno de eco de impulsos, o se pueden promediar y exponer datos de RF adquiridos a partir de un número de diferentes líneas de escaneo. Las líneas de exploración que se van a utilizar para la adquisición de los datos de RF pueden ser especificadas por el usuario basándose en la evaluación de la imagen de Modo B, colocando las líneas superpuestas de cursor sobre la imagen de Modo B. También puede calcularse y exponerse una Transformación Rápida de Fourier (FFT) de los datos de RF. La adquisición de datos de RF y la adquisición de datos de modo B se pueden intercalar, para permitir la exposición de la información de los dos modos simultáneamente en tiempo real. La adquisición de señales fisiológicas, tal como la señal de ECG también puede ocurrir al mismo tiempo que la adquisición de datos de RF. La forma de onda de ECG se puede exponer mientras se adquieren los datos de RF. Los tiempos de la adquisición de datos de RF se pueden sincronizar con puntos definidos por el usuario dentro de la forma de onda del ECG, permitiendo de ese modo que se pueda hacer referencia a los datos de RF en tiempos específicos durante un ciclo cardiaco. Los datos de RF pueden ser almacenados para un procesamiento y evaluación en un momento posterior.
La FIG. 17 muestra un diagrama de bloques del sistema 1600 que ilustra además los componentes de una realización de la invención. El transductor con distribución 1601 está conectado al transformador de extremo delantero 1702 a través de un cable 1619. El cable 1619 comprende recorridos de señal desde los elementos del
transductor con distribución 1601 a los transformadores de extremo delantero 1702. En esta memoria se describe un ejemplo de realización de cable y comprende micro-cables coaxiales individuales. Además, se pueden utilizar conectores en uno o en ambos extremos del cable 1619. En uno de los aspectos de la invención, se puede utilizar un conector con pines igual a dos veces el número de elementos y en esta memoria se describe un ejemplo de conector. Para cada elemento del transductor con distribución 1601 se puede utilizar una señal y un recorrido a tierra. En otras realizaciones de la invención, la conexión a tierra es compartida por una agrupación de elementos. Como alternativa, el MUX/Electrónica de extremo delantero 1702, 1703, 1704, 1708 puede ubicarse dentro del alojamiento para la distribución lineal del transductor 1601.
La FIG. 17 proporciona detalles representativos de los circuitos para cuatro elementos del transductor con distribución 1601 como ejemplos para el sistema de mayor tamaño 1600 en donde hay un transformador de extremo delantero 1702 y una etapa de salida de transmisión 1703 para cada elemento. Para una realización con un transductor 1601 con distribución de 256 elementos, hay 256 transformadores de extremo delantero 1702 y etapas de salida de transmisión 1703. Los transformadores de extremo delantero 1702 y las etapas de salida de transmisión 1703 se describen con más detalle a continuación. Durante la recepción, la señal eléctrica de un elemento del transductor con distribución 1601 pasa a través del transformador de extremo delantero 1702 al multiplexor de recepción 1704. El multiplexor de recepción 1704 selecciona qué elemento y transformador de extremo delantero se conectan al canal de recepción 1705. El canal de recepción 1705 comprende un amplificador de bajo ruido y un control de ganancia de tiempo, ambos se describen con más detalle más adelante. La señal pasa entonces desde los canales de recepción 1705 al módulo de conversión de analógica a digital 1706 donde es digitalizada. La señal digital recibida pasa entonces al conformador de haces de recepción 1707, que es un conformador de haces digital. En el bloque 1707, un perfil de retrasos generado en el control de conformador de haces se aplica a la señal recibida. La señal del conformador de haces de recepción 1707 viaja a la memoria de abertura sintética 1710. La memoria de abertura sintética añade los datos recibidos desde dos líneas sucesivas de ultrasonidos. Una línea de ultrasonidos se considera que son los datos resultantes de los ecos de ultrasonidos de retorno que es recibida después de la transmisión de un impulso ultrasónico al tejido. La obtención de imágenes de abertura sintética se comporta como entenderá un experto en la técnica. En parte, la obtención de imágenes de abertura sintética se refiere a un método para aumentar el tamaño efectivo de la abertura de transmisión o recepción. Por ejemplo, si hay 64 canales en el conformador de haces, durante la recepción de una línea de datos de ultrasonidos, se pueden utilizar hasta 64 canales de transmisión y 64 canales de recepción. La obtención de imágenes de abertura sintética utilizará dos líneas de datos de ultrasonidos, sumadas juntas. La primera línea de ultrasonidos puede adquirirse con una abertura de recepción que puede abarcar los elementos 33 a 96. La segunda línea de ultrasonidos es recibida con una abertura segmentada en dos bloques, que se encuentran en los elementos 1 a 32 y 97 a 128. Ambas líneas de ultrasonidos utilizan la misma abertura de transmisión. Cuando se suman las 2 líneas de ultrasonidos, la línea de ultrasonidos resultante es esencialmente la misma que la que se habría recibido si la abertura de recepción consistiera en 128 canales situados en los elementos 1 a 128, siempre que no exista un movimiento apreciable del tejido del que se obtienen imágenes durante el tiempo necesario para adquirir las dos líneas de datos de ultrasonidos. En este caso se necesitan dos líneas de ultrasonidos en lugar de solo una, por lo que la velocidad de tramas es disminuida por un factor de dos. Las dos aberturas de recepción se pueden disponer de forma diferente, siempre que juntas formen una abertura de 128 elementos. Como alternativa, puede incrementarse el tamaño de la abertura de transmisión mientras que la abertura de transmisión se mantiene igual. Se pueden utilizar más de 2 líneas de ultrasonidos para aumentar la abertura en más de un factor de dos. La señal desde la memoria de abertura sintética 1710 se almacena entonces en el búfer de RF cine 1713, que es una memoria grande que almacena muchas líneas de RF recibidas, que se controla con el módulo de control de procesamiento asíncrono 1714. La señal recibida almacenada en el búfer se lee entonces en la unidad de procesamiento de señales 1715 con una velocidad adecuada. La unidad de procesamiento de señales 1715 puede ser implementada con una CPU dedicada en la placa de control del conformador de haces. La señal recibida pasa desde la unidad de procesamiento de señales 1715 a la unidad informática 1717 en la que es procesada aún más según el modo seleccionado por el usuario. El procesamiento de la señal recibida por parte de la unidad de informática 1717 es generalmente del tipo conocido por una persona experta en la técnica, con las excepciones que se indican en esta memoria.
En una realización, según se muestra en la FIG. 17, la unidad informática 1717 comprende software de sistema configurado para procesar señales según el modo de funcionamiento del sistema. Por ejemplo, el software de sistema en la unidad informática principal 1717 puede ser configurado para llevar a cabo procesos de Modo B que pueden incluir, por ejemplo, preprocesamiento; procesamiento en persistencia; búfer de imagen cineloop; conversión de exploración; expansión de imagen; zum y postprocesamiento. El software de sistema en la unidad informática principal 1717 también puede configurarse para llevar a cabo procesos de obtención de imágenes por flujo de color (CFI), que puede incluir, por ejemplo, matriz de decisión de umbral; filtrado estimado; promedio de tramas y de persistencia; búfer de imágenes CFI cineloop; conversión de exploración, mapas a color y prioridad. El software de sistema en la unidad informática principal 1717 también puede configurarse para llevar a cabo procesos para Doppler PW, que pueden incluir, por ejemplo estimación espectral (FFT); filtrado estimado; búfer de datos espectrales cineloop; generación espectral de exposición; postprocesamiento e intervalo dinámico y procesamiento de audio.
La realización del sistema de la FIG. 17 también comprende un panel 1720 de interfaz de usuario. En esta realización el panel 1720 de interfaz de usuario es similar a la interfaz de usuario estándar que se encuentra en la
mayoría sistemas clínicos de ultrasonidos. Por ejemplo, la interfaz de usuario de Modo B puede tener controles de formato de imagen que incluyen profundidad de imagen; tamaño de imagen; activación de doble imagen; selección de doble imagen izquierda/derecha; volteo de imagen izquierda/derecha; volteo de imagen arriba/abajo y zum. Los controles de transmisión pueden incluir potencia de transmisión (amplitud de transmisión); ubicación de zona focal de transmisión; selección de número de zonas de transmisión; frecuencia de transmisión y número de ciclos. Los controles de optimización de imagen pueden incluir; ganancia de Modo B; controles deslizantes TGC; preprocesamiento; persistencia; intervalo dinámico; control de velocidad de tramas/resolución y curvas de postprocesamiento.
Como otro ejemplo de controles de interfaz dependientes del modo, una interfaz de usuario de obtención de imágenes por flujo de color puede tener controles de formato de imagen que pueden incluir selección de modo de flujo de color (p.ej., velocidad de flujo de color, Doppler de Potencia, Doppler tisular); bola de seguimiento; ángulo de dirección; selección de tamaño/posición de cuadro de color (después de la selección la bola de seguimiento se utiliza para ajustar la posición o el tamaño); invocación de valor preestablecido; menú de valor preestablecido y mapa de color invertido. Los controles de transmisión pueden incluir potencia de transmisión (amplitud de transmisión); ubicación de zona focal de transmisión y frecuencia de transmisión. Los controles de optimización de imagen pueden incluir; ganancia de flujo de color, tamaño de puerta, PRF (altera la escala de velocidad); selección de filtro de desorden; control de resolución/velocidad de tramas; selección de preprocesamiento; persistencia; intervalo dinámico (para Doppler de Potencia solamente) y selección de mapa de color.
Otro ejemplo de interfaz de usuario es una interfaz de usuario de Doppler PW que puede tener controles de formato Doppler PW que pueden incluir selección de modo de Doppler PW; bola de desplazamiento; cursor de activación PW (la bola de desplazamiento se utiliza para ajustar la posición de volumen de muestra); tamaño de volumen de muestra; ángulo de dirección Doppler; velocidad de barrido; actualización (selecciona ya sea obtención de imagen de actualización simultánea o a intervalos); control de volumen de audio y ángulo vectorial de flujo. Los controles de transmisión pueden incluir potencia de transmisión (amplitud de transmisión) y frecuencia de transmisión. Los controles de optimización de exposición espectral pueden incluir, ganancia de Doppler PW; tamaño de exposición espectral; PRF (altera la escala de velocidad); selección de filtro de desorden; preprocesamiento e intervalo dinámico.
Un ejemplo de interfaz de usuario de modo M puede tener controles de formato de imagen incluidos la activación de cursor de Modo M; bola de seguimiento (que se utiliza para colocar el cursor); tamaño de banda y velocidad de barrido. Los controles de transmisión pueden incluir potencia de transmisión (amplitud de transmisión); ubicación de zona focal de transmisión; frecuencia de transmisión y número de ciclos. Los controles de optimización de imagen pueden incluir ganancia de Modo M; preprocesamiento; intervalo dinámico y postprocesamiento.
Un ejemplo de interfaz de usuario de modo de RF puede tener, por ejemplo, controles de adquisición de líneas de RF que pueden incluir posición de línea de RF; puerta de RF; número de líneas de RF adquiridas; activación de región de RF; ubicación de región de RF; tamaño de región de RF; número de líneas de RF en la región; promedio; y desactivación de entrelazado de Modo B. Los controles de transmisión pueden incluir potencia de transmisión (amplitud de transmisión); ubicación de zona focal de transmisión; número f de transmisión; frecuencia de transmisión; número de ciclos; PRF de adquisición y ángulo de dirección. Los controles de procesamiento de recepción pueden incluir ganancias de modo de RF; tipo de filtro, orden; tipo de ventana y número de líneas promedio.
Las muestras digitales de la señal recibida se procesan a una velocidad que es diferente, en general, de la velocidad a la que se adquieren los datos. En esta memoria se hace referencia a dicho procesamiento como “procesamiento asincrónico de señal”. La velocidad de procesamiento es la velocidad a la que se exponen los datos, típicamente unas 30 tramas por segundo (fps). Tal y como se reconocerá, sin embargo, los datos se pueden exponer a una velocidad de hasta la velocidad de adquisición o puede exponerse a menos de aproximadamente 30 fps. Los datos se pueden adquirir a velocidades de tramas mucho más rápidas, a aproximadamente 300 tramas por segundo, o a una velocidad necesaria para adquirir la información de diagnóstico deseada. Por ejemplo, los datos de imagen de estructuras anatómicas en movimiento rápido tales como una válvula de corazón pueden ser adquiridos utilizando una mayor velocidad de tramas y, a continuación, pueden ser expuestos con una velocidad más lenta de tramas. Las velocidades de adquisición de datos pueden ser inferiores a 30 fps, 30 fps, o más de 30 fps. Por ejemplo, las velocidades de adquisición de datos pueden ser 50, 100, 200, o 300 o más fps.
La velocidad de exposición se puede establecer de tal modo que no supere lo que el ojo humano puede procesar. Algunas de las tramas, que pueden ser adquiridas, pueden ser omitidas durante la exposición, aunque todos los datos del conformador de haces de recepción salida se almacenan en un búfer de datos RF como el búfer cine RF 1713. Los datos se conocen a veces como datos de RF o por el método de muestreo utilizado para adquirir los datos, (por ejemplo, en el caso de muestreo en cuadratura, los datos también pueden denominarse como datos de cuadratura en banda base). Los datos en cuadratura o RF se procesan antes de la exposición. El procesamiento puede ser computacionalmente intensivo, por lo que hay ventajas si se reduce la cantidad de procesamiento utilizado, lo que se consigue procesando sólo las tramas que se van a exponer a la velocidad de exposición, no a la velocidad de adquisición. Las tramas que se han omitido durante la exposición se pueden ver cuando se detiene la
obtención de imágenes en vivo o el sistema está “congelado”. Las tramas en el búfer de RF 1713 pueden ser recuperadas, procesadas y reproducidas con una velocidad más lenta, por ejemplo, si la velocidad de adquisición es de 300 tramas por segundo, la calidad de reproducción de cada trama a 30 tramas por segundo sería 10 veces más lenta de lo normal, pero permitiría al operador ver cambios rápidos en la imagen. La función de reproducción usualmente es denominada como la característica "Cineloop" por los expertos en la técnica. Las imágenes se pueden reproducir a distintas velocidades, o trama a trama, hacia atrás y hacia delante.
El sistema 1600 mostrado en la FIG. 17 también puede comprender varios elementos que un experto en la técnica reconocerá como deseables para el funcionamiento del sistema, tales como relojes 1712, memoria, tarjeta de sonido y altavoces, tarjeta de vídeo y pantalla, etc. y otros bloques funcionales como se muestra en la FIG. 17.
Las FIGS. 18a y 18b proporcionan detalles adicionales del MUX/electrónica de extremo delantero 1702, 1703, 1704, 1708 y 1707 el conformador de haces de recepción 1707 y el conformador de haces de transmisión 1709 funciona según una realización de la presente invención. En la realización mostrada en la FIG. 18a, un canal, por ejemplo, un canal de recepción, puede conectarse a un nodo y ese nodo se conecta a, por ejemplo, cuatro (4) elementos del transductor con distribución 1601 a través de un circuito de conmutación o circuito de multiplexado, como se muestra en la FIG. 18a. Por ejemplo, el canal 1 1801 puede conectarse de manera conmutable a elementos numerados 1, 65, 129 y 193 en la FIG. 18a de modo que sólo uno de los cuatro elementos esté conectado al canal 1 1801 en un momento dado. Esto es, en esencia, las prestaciones de la función de multiplexado del MUX/Electrónica de extremo delantero 1702, 1703, 1704, 1708 durante el ciclo de recepción del sistema 1600. La asignación de cuatro elementos conectados de manera conmutable a un canal se hace de tal manera que unos elementos contiguos de cualquier subconjunto de elementos pueden comprender la abertura activa. Por ejemplo, si el transductor con distribución comprende 256 elementos, entonces 64 o menos elementos pueden formar el subconjunto que comprende la abertura activa.
El multiplexado de los elementos del transductor con distribución 1601 para el ciclo de recepción puede ser realizado por un conmutador RX 1817 como se muestra en un ejemplo de esquema (Fig. 18b) del extremo delantero 1802. Una señal de control 1818 desde el control 1711 de conformador de haces determina qué conmutador RX 1817 es activado, conectando de ese modo el elemento elegido de los cuatro (4) elementos disponibles para ese módulo 1802 con el canal de recepción. Como apreciará un experto en la técnica, el esquema de multiplexado ilustrado en las FIGS. 18a y 18b se puede aplicar a transductores de número variable de elementos (aparte de 256 elementos) y de diferentes tamaños máximos de abertura activa (aparte de 64 elementos).
El ejemplo de extremo delantero 1816 mostrado en la FIG. 18b comprende también el transformador 1819 y el generador de impulsos 1820, que se describen con detalle más adelante. En un aspecto, el extremo delantero 1816 proporciona aislamiento del canal de recepción desde el frente de onda de transmisión, tratado anteriormente en esta memoria.
La señal recibida desde elemento seleccionado del transductor con distribución pasa al amplificador de bajo ruido (LNA) 1804. Desde el LNA 1804, la señal amplificada pasa luego al control de ganancia en el tiempo (TCG) 1805. Dado que el tiempo transcurrido es proporcional a la profundidad de las señales recibidas reflejadas, esto también se conoce como un control de ganancia dependiente de la profundidad. En un sistema de ultrasonidos, a medida que pasa el tiempo desde la transmisión de una onda de ultrasonidos, la señal pasa a más profundidad en el tejido y se atenuada cada vez más; la señal reflejada también sufre esta atenuación. El TGC 1805 amplifica la señal recibida según una función variable en el tiempo con el fin de compensar esta atenuación. Los factores que pueden ser utilizados para determinar la ganancia TGC variable en el tiempo son tiempo de vuelo, características del tejido del sujeto o tejido del sujeto bajo estudio, y la aplicación (por ejemplo modalidad de imágenes). El usuario también puede especificar la ganancia como una función de la profundidad mediante el ajuste de controles de TGC en el panel 1607 de interfaz de usuario. Unas realizaciones pueden utilizar, por ejemplo, Dispositivos Analógicos (Norwood, MA) AD8332 o un dispositivo similar para realizar las funciones LNA 1804 y TGC 1805. Desde el TGC 1805, la señal de recepción pasa al conformador de haces de recepción 1803 donde es muestreada por un muestreador, en esta realización, los convertidores analógico a digital 1807 y 1808. En otras realizaciones según la invención sólo se utiliza un convertidor de analógico a digital si el muestreo se realiza a una velocidad mayor que la velocidad de Nyquist; por ejemplo, en 2 o 3 veces la velocidad de Nyquist, donde la velocidad de Nyquist incluye muestreo de las señales de ultrasonidos de los elementos individuales a una velocidad que es por lo menos dos veces más alta que la mayor frecuencia de la señal.
En otros ejemplos, se emplea muestreo en cuadratura y se utilizan dos convertidores de analógico a digital es decir, el muestreador T y “Q”. En el ejemplo de conformador de haces de recepción 1803, la señal de recepción se digitaliza en bloques 1807 y 1808 utilizando convertidores analógico a digital (ADC) de muestreo en cuadratura; se necesitan dos ADC por canal, con relojes de muestreo desfasados 90°. La velocidad de muestreo utilizada puede ser la frecuencia central de la señal de recepción. Como comparación, el muestreo directo utilizaría una velocidad de muestreo en teoría de por lo menos dos veces la mayor frecuencia componente de la señal de recepción, pero prácticamente hablando se prefiere por lo menos tres veces la velocidad de muestreo. El muestreo directo utilizaría un ADC por canal.
Una vez muestreada, la señal recibida ahora digitalizada pasa a una FPGA (Field Programmable Gate Array) en la que se implementan diversas funciones asociadas con la conformación de haces de recepción. Dentro de la FPGA, la señal recibida digitalizada puede someterse a una corrección de la desviación de CC (DC offset) del ADC. Esto se implementa mediante la sustracción de un valor igual a la desviación de CC medida en la salida del ADC. Cada ADC puede tener diferentes valores de corrección de desviación de CC. La desviación de CC puede ser determinada promediando un número de muestras digitales que aparecen en la salida del ADC sin ninguna señal presente en la entrada del canal de recepción, por ejemplo, durante un período de calibración en la puesta en marcha del sistema. La señal digitalizada pasa a continuación a un búfer FIFO 1822 en el que cada muestra es almacenada durante un tiempo adecuado de modo que se puede implementar el perfil apropiado de retrasos. El retraso puede ser implementado de manera gruesa y fina. Un retraso grueso puede ser implementado mediante el cambio de la señal en uno o más puntos de muestra para obtener el retraso deseado. Por ejemplo, si el retraso deseado es un periodo de muestreo, entonces el desplazamiento con un valor de una muestra en la dirección apropiada proporciona una señal con el retraso apropiado. Sin embargo, si se desea un retraso de un valor que no sea igual al periodo de muestreo, puede implementarse un retraso fino utilizando un filtro de interpolación 1809.
Desde el búfer FIFO 1822, la señal recibida digitalizada pasa al filtro de interpolación 1809 para el cálculo de cualquier retraso fino. El filtro de interpolación 1809 se utiliza en un sistema en el que el período de muestreo es superior a la correspondiente resolución apropiada de retraso fino. Por ejemplo, si la velocidad de muestreo es la frecuencia central de la señal de ultrasonidos y es de 50 MHz, la velocidad de muestreo es una muestra cada 20 nanosegundos. Sin embargo, en algunas realizaciones se utiliza una resolución de retraso de 1,25 nanosegundos (1/16 de 20 nanosegundos) para proporcionar la calidad de imagen deseada, aunque se contemplan otras resoluciones de retraso dentro del alcance de esta invención. El filtro de interpolación 1809 se utiliza para calcular un valor para la señal en puntos en el tiempo distintos del punto muestreado. El filtro de interpolación 1809 se aplica a las partes en fase y en cuadratura de la señal muestreada. Unas realizaciones del filtro de interpolación 1809 comprenden un filtro de respuesta finita de impulsos (FIR). Los coeficientes de cada filtro pueden ser actualizados dinámicamente por el módulo de control de conformador de haces basándose en el tiempo de vuelo, muestra a muestra. Después del procesamiento por parte del filtro de interpolación, puede aplicarse una rotación de fase por parte de un multiplicador 1811 que multiplica los componentes en fase y en cuadratura por los coeficientes adecuados. La rotación de fase se usa para incorporar en la muestra interpolada la fase correcta con respecto a la frecuencia de muestreo del ADC. El controlador RX 1810 controla los módulos FIFO y los filtros de interpolación. El retraso de recepción se actualiza dinámicamente, de modo que los coeficientes del filtro de interpolación en cada canal deben cambiar a intervalos determinados. El retraso implementado por los FIFO también debe cambiar a intervalos determinados. Además, el tamaño de abertura de recepción se ajusta dinámicamente, por lo que cada canal se vuelve activo en un momento específico durante la recepción de la señal de ultrasonidos; un canal es activado multiplicando por 1 en lugar de por 0 en el módulo de “multiplicación” 1811. El módulo de multiplicación 1811 también puede aplicar un “peso” que es un valor entre 0 y 1, independientemente para cada canal en la abertura de recepción. Este proceso, que se conoce como apodización, es conocido por un experto en la técnica. El valor por el que se multiplica la muestra interpolada puede variar con el tiempo, con el fin de implementar una abertura apodizada que se expande dinámicamente durante la recepción de la señal de ultrasonidos.
La FIG. 18c es un ejemplo de un controlador de recepción (controlador RX). El controlador de recepción 1810 se usa para programar el perfil correcto de retraso, tamaño de abertura y datos de apodización de recepción en el bloque de procesamiento 1809 que implementa la interpolación y rotación de fase y apodización. El controlador de recepción 1810 de la FIG. 18C establece los parámetros iniciales (Retraso Inicial Grueso, Fase Inicial) una vez por disparador de inicio de línea (SOL, del ingles start-of-line) y establece los parámetros dinámicos (Enfoque Dinámico, Apodización Dinámica) una vez por periodo de reloj de recepción (RXCLK). El perfil de retrasos inicial de recepción se almacena en una Memoria de Abertura Inicial RX 1822. El perfil de retrasos de recepción dinámica se almacena en la Memoria de Abertura Dinámica RX 1824. El perfil de retrasos es cargado en el búfer RXBF 1826 a través del Conmutador de Cruce 64:16 1828 antes del disparador SOL. El conmutador de cruce 1828 selecciona 16 de las 64 configuraciones de canal de abertura. Estos se usan para programar los 16 canales de recepción que se encuentran en un solo canal a bordo.
La configuración para cada línea de recepción se almacena en la Memoria de Líneas 1830. Cada configuración delínea en la Memoria de Líneas 1830 contiene el Índice de Selección de Abertura, la Selección de Modo, y la Habilitación de Abertura. El índice de Selección de Abertura se usa para determinar la Abertura para asignación de Canal. La Selección de Modo se utiliza para tener acceso a múltiples perfiles de retraso. El índice de Habilitación de Abertura controla el tamaño inicial de la abertura. La tabla de búsqueda (AP_SEL LUT) 1832 de selección de abertura es un método para reducir el número de posibles configuraciones y por lo tanto el número de bits necesarios para almacenar en la memoria de líneas. La LUT AP_SEL 1832 se puede programar.
El Control de Memoria 1834 es una máquina de estado que decodifica la configuración de línea. La máquina de estado está configurada por la memoria 1836 de Control y Estado. Se configura de forma distinta para los diferentes modos (p. ej. Modo B, Modo de Flujo de Color, Modo de Doppler PW, etc.). El Control de Memoria 1834 controla la carga de la memoria de abertura en el Búfer RXBF 1826 y genera las señales SOL_delayed y FIFO_WEN. El impulso SOL_delayed se usa para transferir los parámetros iniciales de retraso al bloque 1809 de Rotación de Fase RX y apodización RX en un único período RXCLK. Los parámetros de recepción dinámica son transferidos luego en
cada período subsiguiente RXCLK. La señal FIFO_WEN comienza la adquisición de datos del ADC de recepción al FIFO para el filtro de interpolación RX.
La Memoria de Control y Estado 1836 también contiene parámetros comunes tales como la Longitud de Recepción. El parámetro de Longitud de Recepción determina cuántas muestras de recepción recoger por cada línea.
Se apreciará que aumentar el número de canales de recepción permite aberturas de recepción más grandes, que pueden beneficiar la obtención de imágenes profundas al mejorar la resolución lateral y la penetración. El modo de abertura sintética permite que se puedan utilizar aberturas mayores a 64, pero a expensas de una reducción de la velocidad de tramas. Con un aumento del número de canales de recepción, esto se puede hacer sin una penalización en la velocidad de tramas.
En un ejemplo, el conformador de haces de recepción 1803 permite conformación de haces en múltiples líneas. La conformación de haces en múltiples líneas permite mayores velocidades de tramas mediante el procesamiento de múltiples líneas de recepción en paralelo. La velocidad de tramas aumenta en un factor igual al número de líneas de recepción paralelas. Dado que la conformación de haces se produce al mismo tiempo para múltiples aberturas de recepción, se utilizan mayores velocidades de procesamiento de datos a través de los filtros de interpolación 1809. La cantidad de datos transferidos desde el conformador de haces de recepción a una CPU anfitriona aumenta por un factor igual al número de líneas de recepción paralelas. El haz de transmisión es ampliado de forma que se superpone a múltiples líneas de recepción.
La señal desde cada conformador de haces de recepción 1803 es sumada por los sumadores 1815. La señal sumada representa una señal recibida en un momento dado que se refleja desde una profundidad dada. La señal sumada recibida se dirige entonces, a través de los módulos descritos anteriormente y que se muestran en la FIG. 17, al correspondiente módulo de procesamiento para el modo de operación seleccionado por el usuario.
Durante el ciclo de operación de transmisión del sistema 1600, las etapas de salida seleccionadas de transmisión se conectan al canal de transmisión con el fin de formar la abertura activa. En este aspecto, el multiplexado se hace antes de la etapa de salida de transmisión. Por ejemplo, como se describió anteriormente, el canal de transmisión 1 1801 puede ser conectado de manera conmutable a las etapas de salida de temporizador correspondientes a los elementos numerados 1, 65, 129 y 193 en las FIGS. 18a y 18b de forma que sólo una de esas cuatro fases de salida de temporizador están conectadas al canal de transmisión 1 1801 en algún momento dado. También se puede ver en las FIGS. 18a y 18b que el canal de transmisión 2 puede conectarse de manera conmutable a las etapas de salida de transmisión correspondientes a los elementos 2, 66, 130 y 194, y así sucesivamente. Esto es, las prestaciones de la función de multiplexado del MUX/Electrónica de extremo delantero 1702, 1703, 1704, 1708 durante el ciclo de transmisión del sistema.
Haciendo referencia a la FIG. 20, La señal de transmisión que está multiplexada es el par de señales designadas por TXA 2002 y TXB 2004, que impulsan las puertas del generador de impulsos de transmisión MOSFET QTDN 2006 y QTDP 2008 como se muestra en la FIG. 20. Estas señales 2002, 2004 son señales unipolo de un nivel lo suficientemente bajo como para que se pueda utilizar multiplexado por conmutadores tipo MOSFET. La asignación de cuatro etapas de salida de transmisión conectadas de manera conmutable a un canal de transmisión se realiza de tal manera que los elementos contiguos de cualquier subconjunto dado de elementos puede comprender la abertura de transmisión activa. Por ejemplo, en un transductor con distribución que comprende 256 elementos, 64 o menos elementos pueden formar el subconjunto que comprende la abertura de transmisión activa.
Opcionalmente, el multiplexado de transmisión se puede hacer después de la etapa de salida de transmisión utilizando circuitos de multiplexado capaces de dar cabida a una señal bipolar de mayor voltaje.
Volviendo a FIGS. 18a-18d, el conformador de haces de transmisión 1812 genera la forma de onda de transmisión con el retraso especificado presente en la forma de onda en la que la forma de onda no es enviada hasta el momento adecuado según el perfil de retrasos. La forma de onda de transmisión puede ser una señal de bajo voltaje, incluida una señal digital. Opcionalmente, la forma de onda de transmisión puede ser una señal de alto voltaje utilizada por el transductor con distribución para convertir la energía eléctrica a energía ultrasónica. El funcionamiento del transformador 1819 y el generador de impulsos 1820 se describe con más detalle más adelante.
Durante el proceso de conformación de haces de transmisión, uno o más de cada uno de los canales de transmisión dentro de la abertura activa de transmisión puede producir una forma de onda de transmisión que a veces puede retrasarse con respecto a una señal de control de referencia. El número de canales de transmisión determina el tamaño de la abertura máxima de transmisión. El beneficio de aumentar el número de canales de transmisión es una mayor resolución lateral y penetración para obtención de imágenes profundas. En diversas realizaciones, el transductor con distribución tiene 64 canales de transmisión o puede tener 96 o 128 canales de transmisión. Los retrasos pueden variar de canal a canal, y colectivamente los retrasos se conocen como el perfil de retrasos de transmisión. La conformación de haces de transmisión también puede incluir la aplicación de una función de ponderación a las formas de onda de transmisión, un proceso conocido por los expertos en la técnica como “apodización”. La apodización de transmisión utiliza un control independiente de la amplitud de la forma de onda transmitida en cada canal. El beneficio para la calidad de la imagen es una mejorada resolución del contraste debido
a una reducción de lóbulos espurios en el perfil del haz de recepción, que pueden ser lóbulos laterales o lóbulos emparrillados. Cada etapa de salida de transmisor puede tener un voltaje de suministro controlado de manera independiente, y hardware de control.
Las formas de onda de transmisión implican la generación de formas de onda arbitrarias como la señal de transmisión, es decir, la modulación de amplitud y fase dentro de la forma de onda de transmisión. El beneficio es una mejora de resolución axial a través de la conformación del espectro de señal de transmisión. Pueden utilizarse técnicas como excitación codificada para mejorar la penetración sin pérdida de resolución axial.
El conformador de haces de transmisión 1812 descrito en esta memoria se implementa en la realización con un dispositivo FPGA. Una implementación típica de un conformador de haces de transmisión 1812 que proporciona una resolución de retraso de, por ejemplo, 1/16 del período del reloj de transmisión puede requerir un reloj que es 16 veces la frecuencia del reloj de transmisión. Para el intervalo de frecuencias del sistema que se describe en esta memoria, esto implicaría una máxima frecuencia de reloj de 16 veces 50 MHz, u 800 MHz, y un dispositivo típico FPGA no puede soportar las frecuencias de reloj a esa velocidad. Sin embargo, la implementación de conformador de haces de transmisión 1812 que se describe a continuación utiliza una frecuencia de reloj dentro de la FPGA de sólo ocho (8) veces la frecuencia de reloj de transmisión.
Cada canal del conformador de haces de transmisión comprende un controlador TX 1814 y un generador de impulsos TX 1813. El controlador TX 1814 utiliza un parámetro llamado, por ejemplo, un número de líneas de ultrasonidos (también conocido como un número de rayos), para seleccionar la abertura activa de transmisión a través de la configuración apropiada del multiplexor de transmisión. El valor de número de rayos identifica el origen de la línea de exploración por ultrasonidos con respecto a la distribución física. Basado en el número de rayos, se asigna un valor de retraso a cada canal de transmisión en la abertura activa de transmisión. El generador de impulsos TX 1813 genera una forma de onda de transmisión para cada canal de transmisión utilizando parámetros de forma de onda y controla las señales como se describe en esta memoria.
La FIG. 18D es una ilustración de un ejemplo de controlador transmisor (controlador TX) en una realización según la presente invención. El controlador de transmisión 1814 se usa para programar el generador de impulsos TX 1813 con el correcto perfil de retrasos (retraso grueso y retraso fino para cada canal) y transmitir la forma de onda para cada línea. Éste reprograma el generador de impulsos TX 1813 antes de cada línea. La secuencia de líneas se utiliza para producir una imagen 2-D. Cada línea requiere que un determinado subconjunto de los elementos de la distribución sea utilizado para formar la abertura de transmisión. Cada elemento de la distribución dentro de la abertura debe estar conectado a un canal en el generador de impulsos TX 1813, y los canales de transmisión se deben configurar para producir las formas de onda deseadas de transmisión con retrasos según el perfil deseado de retrasos de transmisión.
El perfil de retrasos y la forma de onda de transmisión para toda la abertura se almacenan en la Memoria de Aberturas TX 1838. En la Memoria de Aberturas TX 1838 pueden almacenarse múltiples perfiles de retrasos. Se necesitan múltiples perfiles de retrasos para la obtención de imágenes de Modo B en las que se utilizan varias zonas focales, y modo de Doppler PW y modo de obtención de imágenes de Flujo de Color en los que la profundidad focal de modo Doppler y las formas de onda de transmisión son diferentes de las utilizadas para el modo B. En este ejemplo de realización, la Memoria de Aberturas TX 1838 contiene datos de perfil de retrasos y de forma de onda de impulsos de transmisión para una abertura de 64 canales. En cada Panel de Canales hay 16 canales de transmisión, cada uno de los cuales puede conectarse a uno de cuatro diferentes elementos de la distribución a través de una etapa de salida de transmisión. Se utiliza un conmutador de cruce 64:16 1840 para dirigir los conjuntos correctos de datos de forma de onda de transmisión para cada uno de los 16 canales. El control de los otros 48 canales se implementa en las otras 3 placas de canales. El búfer TXBF 1842 almacena temporalmente los datos del generador de impulsos TX antes del disparador de inicio de la línea (SOL). El disparador TX_TRG mueve datos desde el Búfer TXBF 1842 al generador de impulsos TX 1813 en un período TXCLK.
La configuración para cada línea de transmisión se almacena en la Memoria de Líneas 1844. Cada configuración delínea en la Memoria de Líneas 1844 contiene la siguiente información: Índice de Selección de Abertura, Selección de Modo, Índice de Habilitación de Abertura e Índice de Selección de Elementos. El índice de Selección de Abertura se usa para determinar la Abertura para asignación de Canal. La Selección de Modo se utiliza para tener acceso a múltiples perfiles de retraso. El índice de Habilitación de Abertura controla el tamaño de la abertura. El índice de Selección de Elementos controla qué elemento está activo en el caso que haya más elementos de la distribución que canales de transmisión o canales de recepción. La indización de las tablas de búsqueda de Selección de Abertura, Habilitación de Abertura y Selección de Elementos (LUT AP_SEL 1846, AP_EN LUT 1848, ES LUT 1850) es un método para reducir el número de posibles configuraciones y por lo tanto el número de bits necesarios para almacenarse en la memoria de líneas 1844. Todas las tablas de búsqueda se pueden reprogramar.
La memoria de Control y Estado 1852 contiene parámetros comunes tales como el número de ciclos de transmisión (ciclos TX), el número de líneas en el tramas y también configura la máquina de estado en el bloque de Control de Memoria 1854. El Control de Memoria 1854 es una máquina de estado que decodifica la información de línea de Selección de Abertura, Habilitación de Abertura y Selección de Elementos.
Haciendo referencia a la FIG. 20, se puede ver que la forma de onda de transmisión son en realidad dos señales, se conocen como señales “A” y “B”, una de las cuales se aplica a la puerta de una unidad generadora de impulsos MOSFET QTDN 62006 y la otra se aplica a la puerta de la unidad generadora de impulsos MOSFET QTDP 2008. La señal B puede ser idéntica a la señal “A” excepto porque se retrase por J4 el período del reloj de transmisión. El retraso aplicado a cada forma de onda de transmisión se divide en dos componentes, el “retraso grueso” y el “retraso fino”. El retraso grueso puede ser en unidades de 1/2 del período de la frecuencia de transmisión y el retraso fino puede ser en unidades de 1/16 el período de la frecuencia de transmisión, aunque dentro del alcance de esta invención se contemplan otras unidades de retraso fino. Otros aspectos de la forma de onda de transmisión que pueden ser ajustados son la frecuencia central de transmisión, anchura de impulso, número de ciclos y el “tiempo muerto”. El “tiempo muerto” es el intervalo de tiempo después de la primera mitad de ciclo del impulso de salida en el que ninguno de los dos MOSFET, QTDN 2006 y QTDP 2008 de etapa de salida se encienden. La alteración de la frecuencia central de transmisión, anchura de impulso y tiempo muerto pueden utilizarse para alterar el contenido de frecuencia de la señal de transmisión final al elemento de transductor.
Haciendo referencia ahora a las FIGS. 22-22C, en una realización según la presente invención, se usa un circuito 2200 de generación de impulsos de transmisión para cada canal de conformador de haces de transmisión. Se utiliza una palabra 2202 de forma de onda A de 16 bits para codificar el retraso fino, la anchura de impulso y el tiempo muerto para la señal A. Se utiliza una palabra 2203 de forma de onda B de 16 bits para codificar el retraso fino, la anchura de impulso y el tiempo muerto para la señal B. Las palabras 2202, 2203 de forma de onda pueden almacenarse en memoria, por ejemplo, dentro de una FPGA. La frecuencia de la señal de salida de transmisión es determinada por la frecuencia del reloj de transmisión. Las entradas de control provienen del controlador de transmisión 1814, que se puede implementar dentro de la FPGA. Estas pueden ser la cuenta de impulsos de 2204, el TXTRG 2206 y diversos relojes, tal como se describe más adelante, y se muestra en las FIGS. 22-22C.
La generación de impulsos de transmisión comienza cuando se recibe un impulso TXTRG 2206 desde la placa 1814 de control canales. La señal TXTRG 2206 es enviada a los canales de conformador de haces de transmisión, y es la señal a la que hacen referencia los retrasos del conformador de haces de transmisión. El impulso TXTRG 2206 comienza el conteo de 14 intervalos de la frecuencia del ciclo de reloj de transmisión denotado por TXCLKX2 2246. La implementación actual utiliza un reloj de 2 veces el reloj de transmisión. El retraso grueso 2210 es implementado por un contador 2248 de Retrasos Gruesos que es sincronizado por un reloj TXCLKX2 2246. La señal TXTRG 2206 hace que empiece la cuenta.
Cuando el número de ciclos de reloj de TXCLKX2 2246 ha alcanzado el valor variable de entrada 2210 de retrasos gruesos se genera una señal 2208 de GRUESO HECHO (COARSE DON). La señal 2208 de GRUESO HECHO (COARSE DONE) habilita el circuito de selección de bytes compuesto por multiplexores 2250 y 2252, Circuito de selección de Impulsos Invertidos compuesto por multiplexores 2254 y 2256, y los circuitos 8:1 paralelo a serie 2212 y 2213. Las palabras 2202 y 2203 de forma de onda de 16 bits se transfieren a unos registros de 16 bits 2216 y 2217. La salida de un registro 2216 de forma de onda A está compuesta por las Formas de onda Parciales (Partial Waveshapes): Partial_Waveshape_A(7:0) 2260 y Partial_Waveshape_A(15:8) 2261. Partial_Waveshape_A(7:0) 2260 es transferida bien al circuito 8:1 de paralelo a serie 2212 o bien al circuito 8:1 paralelo a serie 2213 a través del Circuito de Inversión de Impulsos compuesto de multiplexores 2254 y 2256. A continuación la transferencia de Partial_Waveshape_A(7:0) 2260, Partial_Waveshape_A(15:8) 2261 es transferida bien al circuito 8:1 de paralelo a serie 2212 o bien al circuito 8:1 paralelo a serie 2213 a través del Circuito de Inversión de Impulsos compuesto de multiplexores 2254 y 2256. La señal 2214 de Selección de Bytes controla que Partial_Waveshape_A(7:0) 2260 o Partial_Waveshape_A(15:8) 2261 sean multiplexadas a través del Circuito de Inversión de Impulsos. De esta manera, los 16 bits de Waveshape_A 2202 son transferidos a los circuitos 8:1 paralelo a serie para la transformación a serie en un flujo de datos de bits.
Como puede verse en la Figura 22, la transferencia de la Waveshape_B 2203 se realiza en una manera similar.
El circuito 8:1 paralelo a serie 2212 y 2213 tienen salidas de doble velocidad de datos (DDR). GRUESO HECHO 2208 comienza el conteo del número de impulsos de salida. Cuando el contador del número de impulsos termina de contar el número de impulsos, la señal de Habilitación 224 se va abajo haciendo que los registros 2216 y 2217 detengan la salida de Formas de onda Parciales. La forma de onda de 16 bits de la fase “A” 2202 se convierte a 1 bits en serie en dos ciclos TXCLKX2 2246. La forma de onda de 16 bits de la fase “B” 2203 se convierte también a 1 bits en serie en dos ciclos TXCLKX2 2246. La inversión de impulsos puede lograrse mediante el canje de las fases “A” y “B” antes de que las señales sean envidas a los circuitos de paralelo a serie. El canje de señal se produce si la señal 2258 de Inversión de Impulsos está habilitada en el circuito MUX 2254 y 2256 de Inversión de Impulsos.
El circuito 8:1 de paralelo a serie con salida de doble velocidad datos (DDR) se sincroniza con TXCLKX8 2266 que se encuentra en una frecuencia de 8 veces el reloj de transmisión. Con la salida DDR, la forma de onda es desplazada con una velocidad 16 veces la frecuencia del reloj de transmisión. Las señales desde el circuito 8:1 de paralelo a serie 2212 o circuito 8:1 de paralelo a serie 2213 son transferidas fuera de la FPGA usando el estándar LVDS antes de que sean resincronizados por el reloj TXCLKX16 2236.
La señal de fase A es re-sincronizada por un biestable (flip-flop) 2234 de lógica acoplada a emisor positivo (PECL) de baja variabilidad temporal (Uitter) y un reloj de baja variabilidad temporal, TXCLKX16 2236, con 16 veces la frecuencia de transmisión. Esto puede eliminar la variabilidad temporal añadida por el circuito dentro de la FPGA. La señal de fase “B” también es re-sincronizada por el biestable 2235.
Las dos señales “A” y “B” llegan a respectivos circuitos impulsores 2238, 2240 para aumentar su capacidad impulsora actual. La salida de los impulsores se convierte en señales TXB 2004 y TXA 2002 y se conecta a los multiplexores de transmisión en el circuito de extremo delantero 2000.
El reenvío de los datos de forma de onda 2202 y 2203 continúa hasta que el contador 2242 de número de impulsos ha alcanzado el número especificado por la variable de entrada 2204 de contador de impulsos y la señal de activación 2244 cambia de estado.
La palabra de 16 bits que constituye Waveshape_A 2202 puede cambiar de un ciclo de transmisión al siguiente. Lo mismo se aplica a Waveshape_B 2203. Esto permite la generación de formas de onda de transmisión con anchuras de impulso especificadas arbitrariamente desde un ciclo al siguiente. Waveshape_A 2202 y Waveshape_B 2203 se especifican de manera independiente. Por ejemplo, se pueden generar formas de ondas pares o impares.
Las FIGS. 22A-22C ilustran cómo se pueden utilizar los datos de forma de onda para cambiar el retraso fino, la anchura de impulso y el tiempo muerto para las señales “A” y “B”. En este ejemplo, la salida de “B” es idéntica a la salida de “A” excepto que está retrasada ½ del periodo de la frecuencia de transmisión. La Figura 22C ilustra formas de onda arbitrarias que pueden ser generadas en la fase “A” y la fase “B”. Waveshape_A puede ser diferente de la precedente, y cualquier Waveshape_B puede ser diferente de una precedente. En el ejemplo de la Figura 22C, las formas de onda de 16 bits utilizadas para Waveshape_A1(15:0), Waveshape_A2(15:0) y Waveshape_A3(15:0) son diferentes entre sí. En este ejemplo, Waveshape_B(15:0) se repite dos veces, pero sería posible especificar que una Waveshape_B fuera diferente de la anterior Waveshape_B. Las formas de onda A y B son independientes y se pueden utilizar para implementar formas de onda de transmisión utilizadas para métodos de excitación codificada, por ejemplo en aplicaciones que implican la obtención de imágenes con agente de contraste y la obtención no lineal de imágenes.
La señal TXPower (se muestra como “Alto Voltaje TX” en la FIG. 18B) puede controlar la amplitud de la salida del generador de impulsos de transmisión. Según se muestra en esta implementación, TXPower es común a todos los canales de transmisión. Opcionalmente, la amplitud del impulso de salida de cada canal de transmisión puede ser controlada de forma individual.
La FIG. 19 es un diagrama en bloques de procesamiento de señal de sistema que ilustra un ejemplo de placa de control 1900 de conformador de haces. La placa de control 1900 de conformador de haces es un ejemplo de realización del bloque 1716 de procesamiento de señal y de control de conformador de haces. El diseño y el funcionamiento de la placa de control 1900 de conformador de haces generalmente son conocidos por un experto en la técnica. Unas realizaciones del ejemplo de sistema pueden tener la capacidad para adquirir, procesar y exponer fuentes 1901 de señales fisiológicas de uno o varios de entre, por ejemplo, ECG, respiración, temperatura corporal del sujeto o presión arterial. El bloque 1902 de adquisición de señales fisiológicas puede contener módulos de adquisición de señales que adquieren esos tipos de señales fisiológicas.
La transferencia de datos a la unidad informática 1903 transfiere datos desde la placa de control 1900 de conformador de haces a la unidad informática 1905. Unas realizaciones pueden utilizar un bus PCI Express 1904, conocido en la técnica, para esta transferencia, o buses similares.
La FIG. 20 es un ejemplo de esquema 2000 del circuito transformador de extremo delantero 1702, etapa de salida de transmisión 1703 y el MUX de recepción 1704 y el MUX de transmisión 1708. También se pueden utilizar otros ejemplos de circuitos de extremo delantero con el sistema descrito. Por ejemplo, circuitos de extremo delantero como se describen en la patente de EE.UU. nº 6.083.164, titulada “Ultrasound F ront-End Circ uit Co mbining th e Transmitter an d Auto matic T ransmittReceive Sw itch”. El ejemplo de circuito 2000 representado en la FIG. 20 proporciona la función de multiplexado para la conexión de un elemento al canal de recepción si ese elemento es parte de la abertura activa. El circuito de extremo delantero también proporciona aislamiento para el canal de recepción respecto el canal de transmisión, como se describe en la presente memoria. La etapa de salida de transmisión recibe una forma de onda del generador de impulsos de transmisión 1813 y, a su vez combina la información de impulsos de transmisión con alto voltaje para crear una forma de onda de algo voltaje en un elemento que forma parte de la abertura activa de transmisión.
En el ejemplo de esquema mostrado en la FIG. 20, los impulsos de transmisión son efectuados por D1 2010, D2 2012, QTDP 2008, QTDN 2006, QTXMUXP 2014, QTXMUXN 2016 y T1 2018. Durante la transmisión, la etapa de salida de transmisión que está incluida en la abertura activa de transmisión se conecta mediante la activación de QTXMUXP 2014 y QTXMUXN 2016 para permitir que las señales impulsoras de puerta, TXA 2002 y TXB 2004, lleguen a QTDN 2006 y QTDP 2008. Durante los impulsos de transmisión, ya sea QTDN 2006 o QTDP 2008 se activan por separado, con la secuencia temporal según sea necesario para producir la forma de onda de transmisión. La salida del generador de impulsos aparece en el extremo izquierdo del transformador secundario,
LTXS 2038, mientras que el extremo derecho es sujetado cerca de 0 V por Dl 2010 y D2 2012, que pueden ser, por ejemplo, diodos ordinarios de silicio de conmutación rápida. Durante los impulsos activos, el conmutador de multiplexado de recepción SW1 2020 también se puede desactivar para proporcionar un aislamiento adicional. La amplitud de la salida del generador de impulsos de transmisión está determinada por el voltaje de suministro de transmisión aplicado a la toma central del primario de T1 2018 a R1 2022. Hay disponibles dos suministros de energía, V1 2024 y V2 2026, donde V1 2024 es más grande que V2 2026. Están conectados a un nodo común en R1 2022 como se muestra a través de los conmutadores FET QLSH 2028, QLSL 2030 y el diodo D3 2032. Uno u otro de los voltajes de suministro se seleccionan mediante la activación de QLSH 2028 o QLSL 2030 utilizando señales de control V1 NE 2034 y V2 NE 2036. El diodo D3 2032 ayuda a evitar que la corriente fluya de V1 2024 a V2 2026 cuando V1 2024 está conectado a R1 2022. Esta configuración permite la rápida conmutación del voltaje de suministro de transmisión entre dos niveles, ya que evita la necesidad de cargar o descargar el voltaje de suministro, contenido en los condensadores de almacenamiento de voltaje C4 y C5.
La conmutación de recepción es efectuada por QTDP 2008, QTDN 2006, QLSH 2028, QLSL 2030 y SW1 2020. SW1 2020 es un conmutador de multiplexado de recepción que puede ser un conmutador de un circuito y una posición (single pole single throw) (SPST) o un circuito y dos posiciones (single pole double throw) (SPDT) de un tipo tal como GaAs PHEMT (transistor seudomórfico de arseniuro de galio con alta movilidad de electrones). Como alternativa, el conmutador de multiplexado de recepción se puede implementar con otros tipos de transistores de efecto de campo o transistores bipolares. Si SW1 2020 es un conmutador SPDT se configura como se muestra en la FIG. 20, en donde un terminal se conecta a una resistencia de cierre y el otro se conecta a la entrada de canal de recepción. Si SW1 2020 es un conmutador SPST, el terminal conectado a la resistencia de cierre y la resistencia de cierre se eliminan.
Durante intervalos de recepción, el conmutador de multiplexado de recepción está configurado de tal manera que existe una conexión entre el elemento de la distribución y el canal de recepción. Los MOSFET impulsores de generadores de impulsos, QTDN 2006 y QTDP 2008, son activados durante la recepción, mientras QLSH 2028, QLSL 2030, QTXMUXN 2016 y QTXMUXP 2014 se mantienen desactivados. Esto provoca que LTXS 2038 presente principalmente su inductancia de fugas como una impedancia en serie con la señal de recepción. Para las señales recibidas demasiado pequeñas para polarización directa D1 2010 o D2 2012, estos diodos presentan una alta impedancia de derivación, dominado por su capacitancia de unión. L1 2040 y la inductancia de fugas LTXS 2038 se utilizan para nivelar impedancia de entrada del modo de recepción, compensando la capacitancia de unión D1 2010, D2 2012 y la capacitancia de los conmutadores agrupados formando el multiplexor de recepción.
En una implementación alternativa del circuito de extremo delantero, y según se muestra en la FIG. 21, la señal RXCLMP es eliminada y su función es realizada por TXA y TXB. La función de transmisión en este circuito es idéntica al circuito de la FIG.20 con QTXMUXP y QTXMUXN señales de puerta TxDriveN y TXDriveP. En el modo de recepción QTXMUXN y QTXMUXP están desactivados bloqueando de este modo las señales TXA y TXB. Las resistencias R8 y R9 derivan QTXMUXN y QTXMUXP de modo que cuando TXA y TXB son impulsados en alto para la duración de modo de recepción el voltaje en las puertas de QTDN y QTDP aumenta lentamente dando lugar a una ligera activación de estos conmutadores MOSFET. La ligera activación de QTDN y QTDP para el modo de recepción es controlada por la señal RXCLMP en el circuito de la FIG.20. En la FIG.21, las resistencias R5 y R6 tiran del voltaje en las puertas de QTDN y QTDP hacia tierra cuando los conmutadores de multiplexado de transmisión se desactivan después de una operación de transmisión.
El generador de impulsos emplea a un transformador de toma central y NMOSFET, junto con un suministro de nivel seleccionable por conmutador, para generar impulsos nominalmente cuadrados. Con el fin de controlar el espectro entregado cuando está conectado al elemento del transductor a través de un cable coaxial de impedancia controlada, emplea resistencias en serie y de derivación. Estos sirven para reducir la variación en el tiempo de la impedancia de la fuente durante el funcionamiento del generador de impulsos y proporcionar terminación posterior del transductor durante el intervalo inmediatamente siguiente a los impulsos de transmisión. No se muestra en el esquema el circuito de impulso para los MOSFET de etapa final. Este circuito, (que se encuentra en el lateral alejado de un multiplexor como se describe a continuación), puede ser un amplificador de impulsos de MOSFET discreto de conmutación o una colección de búferes CMOS suficiente para proporcionar el impulso necesario.
El transformador necesario para el generador de impulsos está construido como devanados impresos en la PCB aumentada por pequeñas losas de ferrita sujetas en ambos lados de la PCB alrededor los devanados. Esta técnica es susceptible de ensamblaje automático siempre que las losas de ferrita puedan ser empaquetadas apropiadamente.
Ejemplos
Ejemplo�
La FIG. 23 es un diagrama de bloques que muestra un ejemplo de sistema según una realización de la presente invención. El ejemplo de sistema 2300 tiene una interfaz con una distribución lineal 2302 que tiene, por ejemplo, hasta 256 elementos. Un manojo de micro-cables coaxiales 2304 proporciona la transmisión de las señales entre la
distribución 2302 y la unidad de procesamiento 2306. El ejemplo de sistema comprende además una unidad de procesamiento.
La unidad de procesamiento 2306 se divide en dos subsistemas principales. El primero es el extremo delantero 2308, que incluye el conformador de haces, la electrónica de extremo delantero, el controlador de conformador de 5 haces y el módulo de procesamiento de señal. El segundo es la unidad informática 2310, o extremo posterior. El subsistema de extremo delantero 2308 se ocupa de la generación de señales de transmisión, adquisición de señal de recepción y procesamiento de señales. El extremo posterior 2310, que puede ser una placa base de PC disponible en el comercio, se ocupa del control del sistema, procesamiento de imágenes y señales, exposición de imágenes, gestión de datos y de la interfaz de usuario. Los datos pueden ser transferidos entre los subsistemas de
10 extremo delantero y trasero, por ejemplo, un bus PCI Express, como conoce un experto en la técnica.
El módulo que procesa las señales de recepción es el conformador de haces de recepción, como se describió anteriormente en esta memoria. El subsistema que genera las señales de transmisión es el conformador de haces de transmisión, también como se describió anteriormente en esta memoria. Cada canal de los conformadores de haces de transmisión y recepción está conectado a un elemento independiente en la distribución 2302. Al alterar el
15 retraso y la amplitud de las señales individuales de transmisión y recepción en cada elemento, el conformador de haces es capaz de ajustar la profundidad focal, tamaño de abertura y ventana de abertura en función de la profundidad. El ejemplo de sistema de la FIG. 23 puede soportar uno o varios modos diversos de funcionamiento de ultrasonidos conocidos por un experto en la técnica. Estos modos se enumeran en la Tabla 2 a continuación:
Tabla 2
20 Modos Soportados
Modo B
Modo M
Doppler PW
Doppler de Flujo de Color (Velocidad)
Doppler de Potencia
Doppler Tisular
2º armónico
Tríplex
EKV
Obtención de imágenes provocada por ECG
Obtención de imágenes 3-D
3-D en tiempo real (4 Hz)
Modo de RF
Modo M Anatómico
Especificaciones�del�sistema
Ejemplos de especificaciones del sistema mostrado en la FIG. 23 pueden incluir, por ejemplo, las especificaciones enumeradas en la Tablas 3 a continuación:
Tabla 3 Especificaciones del sistema
Número de elementos de transductor soportados
Hasta 256
Canales de transmisión (abertura activa)
64
Canales de recepción
64
Transductores soportados
Lineal, lineal curvada
Intervalo de frecuencia central
15 a 55 MHz
Método de adquisición de datos
Muestreo en cuadratura
Intervalo de frecuencia de muestreo BF
15 a 62 MHz
Implementación de retrasos finos BF
Filtro de interpolación
Resolución de retrasos de recepción
T/16
Número de bits de ADC
10
Resolución de retrasos de transmisión
T/16
TGC
Abertura sintética
Máximo voltaje de transmisión
80 Vpp
Control de potencia de transmisión
Múltiples zonas de enfoque de transmisión
Ajuste de ciclo de transmisión
1-32
Máxima velocidad de tramas de Modo B
200
Máxima velocidad de tramas de CFI
160
Máxima PFR de Doppler PW
150 KHz
Máxima PRF de CFI
75 KHz
Dirección de haz Doppler
Tamaño de búfer cine
300 tramas
Adquisición de señal fisiológica
Conectores de transductor
Uno o más
Carro�de�sistema
5 El sistema, o partes del mismo, puede ser alojado en una configuración portátil tal como, por ejemplo, un carro, que incluye electrónica 2316 de conformador de haces, una unidad informática 2310 y una unidad de suministro de energía 2312. La interfaz de usuario incluye un teclado integrado 2318 con controles personalizados, rueda de desplazamiento, monitor, altavoces y unidad de DVD. El panel frontal 2320 del carro tiene unos conectores 2322 para conectar un transductor 2302 basado en distribución e información fisiológica de ratón como el ECG, presión
10 arterial y temperatura. El panel trasero periférico 2314 del carro permite la conexión de diferentes dispositivos periféricos, tal como monitor remoto, pedal y red 2324. El carro tiene un sistema de ventiladores de refrigeración 2326, guías de aire y respiraderos de aire para controlar el calor de los diversos componentes electrónicos.
En una realización la unidad informática 2310 puede ser un procesador de arquitectura Intel disponible comercialmente que ejecuta un sistema operativo tal como, por ejemplo, Microsoft Windows XP. La unidad informática 2310 puede comprender, por ejemplo, una CPU Intel de 3 GHz (Dual Xeon Processor o P4 con Hyperthreading); 2 GB de memoria DDR; PCI Express x4 con conector de cable, Ethernet de 100 Mbps, USB 2.0; controlador de gráficos capaz de 1024x768x32bpp @ 100 Hz; salida de audio (estéreo); 2 Discos duros de 120 GB A
7.200 RPM (uno para el SO + software; uno para los datos de usuario) y fuente de alimentación ATX de 300 W con corrección del factor de potencia
En una realización la unidad de suministro de energía 2312 puede comprender lo siguiente: una entrada universal de línea de CA (100, 120, 220-240 VCA, 50 o 60 Hz), en la que la entrada de CA es proporcionada por un cable desmontable que se conecta a un bloque de terminales de entrada de CA al sistema y tiene una distribución de CA que utiliza bloques de terminales IEC. En una realización, la corriente de arranque está limitada a 6 A o menos en los primeros 100 ms de la puesta en marcha. El carro del sistema de la FIG. 23, y otras realizaciones de la invención, comprenden además el cableado 2328 de sistema. El cableado 2328 del sistema incluye un cable de línea de CA principal; cable de CA para filtro de línea, disyuntor de circuito, unidad de suministro de energía; cable de CA dentro de la unidad de suministro de energía 2312; cable de suministro de energía de unidad informática 2310, cable de suministro de energía del monitor; cable de suministro de energía de la unidad de DVD, cable de suministro de energía de la bandeja de ventiladores 2326 y otros cables de suministro de energía tal como se usa en las realizaciones según la invención. El cableado 2328 de sistema comprende además cables de la electrónica de instrumentos, que incluyen cable de suministro del bastidor de la electrónica de instrumentos; cable PCI Express; cable del conector del transductor; cable del sistema de información del ratón (MIS); cable de fase 3D; cable de conmutador de espera; etc. El cableado 2328 de sistema comprende además cables informáticos, que pueden incluir el cable alargador de vídeo(s) (VGA, DVI, S-vídeo, etc.); cable alargador de teclado/ratón; divisor de teclado; divisor de ratón; cable de ratón a distancia, cable de teclado a distancia; cable de vídeo a distancia; cable alargador de USB; cable alargador de Ethernet; cable alargador de impresora; cable alargador de altavoz, etc.
Refrieeracion
El aire ambiente filtrado se proporciona, mediante el uso de ventiladores 2326, a los componentes electrónicos del carro del sistema, que incluyen, por ejemplo, la electrónica del conformador de haces (es decir, la jaula 2316 de tarjetas de conformador de haces, unidad de suministro de energía 2312 y unidad informática 2310. El sistema de refrigeración soporta, por ejemplo, en una realización un intervalo de temperatura ambiente de funcionamiento de +10 a +35 °C, y la temperatura del aire de escape se mantiene por debajo de 20 °C por encima de la temperatura del aire ambiente, aunque se contemplan otros intervalos de funcionamiento ambientales dentro del alcance de la presente invención.
Blindaje�contra �interferencias�electromaeneticas�EEMI�
En una realización, el ejemplo de sistema está provisto de un blindaje contiguo contra EMI con el fin de evitar que la energía electromagnética externa interfiera con el funcionamiento del sistema, y para evitar que la energía electromagnética generada por el sistema emane del sistema.
El blindaje del sistema se extiende al cable 2304 del transductor y a la distribución 2302, y el conector 2322 de transductor. El ordenador 2310 y las unidades de suministro de energía 2312 pueden ser alojados en distintos recintos blindados dentro del sistema. Todos los blindajes se mantienen aproximadamente al potencial de tierra, con muy baja impedancia entre ellos. Hay una conexión sustancialmente directa entre la tierra del chasis del sistema y la toma de tierra. También, en una realización el suministro de CA puede estar aislado del suministro de energía del sistema por un transformador de aislamiento como parte de la unidad de suministro de energía 2312.
Vision eeneral�de�la�electronica
En la FIG.24 se muestra una visión general de una realización de la electrónica para un ejemplo de sistema según la invención. En este punto de vista, el ejemplo de sistema comprende una unidad de suministro de energía 2402, subbastidor de electrónica de instrumentos y unidad informática. La unidad de suministro de energía 2402 distribuye energía de CA y CC por todo el carro. Se suministra un voltaje de CC de, por ejemplo, 48 V al sub-bastidor de electrónica de instrumentos aunque se contemplan otros voltajes dentro del alcance de la presente invención. El sub-bastidor de electrónica de instrumentos aloja una placa de control 2404 de conformador de haces, cuatro placas idénticas 2406 de canal y un plano posterior 2408. Las placas 2406 se emparejan con el plano posterior 2408 mediante, por ejemplo, conectores de acoplamiento ciego. La electrónica de instrumentos se comunica con la unidad informática a través, por ejemplo, de una conexión PCI Express 2410.
Placa�de�canal
Se muestran ejemplos de placas de canal y han sido descritos anteriormente haciendo referencia a las FIGS. 18a18d. Las placas 2406 de canal generan las señales de transmisión con la secuencia temporal apropiada para la conformación de haces de transmisión, y adquisición, digitalización y conformación de haces para recibir señales. En este ejemplo de realización de la FIG. 24, hay cuatro placas 2406 de canales, cada una contiene 16 canales de
transmisión y 16 canales de recepción. Cada placa 2406 de canal también contiene 64 circuitos de extremo delantero, que incluyen las etapas de salida de transmisión, circuitos de suministro de energía, una FPGA para el conformador de haces de transmisión, una FPGA para proporcionar la suma parcial del conformador de haces de recepción, bus de conformador de haces y conexiones al plano posterior.
Como se puede ver en la FIG. 18a, cuatro circuitos de extremo delantero son multiplexados para cada canal de transmisión y recepción. Hay un circuito de extremo delantero para cada elemento de la distribución, y cada circuito de extremo delantero comprende una etapa de salida de transmisión, conmutadores de multiplexor de transmisión y recepción, un limitador de diodo y componentes para recibir filtrado, como se ha descrito anteriormente haciendo referencia a FIGS. 18a-18d.
Los canales de transmisión y las etapas de salida de transmisión generan impulsos bipolares en una frecuencia específica que va desde aproximadamente 15 a aproximadamente 55 MHz, con una amplitud y cuenta de ciclos especificados. Las formas de onda de transmisión generadas por cada canal tienen un retraso específico relativo a los otros canales con una resolución igual a 1/16 del periodo de la frecuencia de transmisión. El perfil de retrasos por la abertura activa de transmisión es controlado por el conformador de haces de controlador de transmisión. Se utiliza un reloj principal de baja variabilidad temporal para generar las señales de ráfagas de transmisión. La etapa de salida de transmisión incluye unos medios para ajustar el voltaje de pico a pico en base al canal, a fin de crear una abertura de transmisión apodizada.
Los canales de recepción proporcionan ajuste variable de ganancia, filtrado y digitalización de las señales de recepción, y conformación de haces de recepción. La ganancia se implementa con un amplificador de ganancia variable que también actúa como el preamplificador. La ganancia es variada por toda la adquisición de la línea de ultrasonidos según un perfil predeterminado de ganancia conocido como la curva de TGC. Los filtros anti-alias (antialiasing) preceden al ADC (convertidor de analógico a digital) para evitar el alias (aliasing) y para limitar el ancho de banda del ruido.
Como se muestra en la FIG. 18A, para cada canal se utilizan ADC dobles 1807,1808, puesto que la señal se adquiere como una señal en cuadratura. Los relojes de ADC están en fase a 90° entre sí. La frecuencia de muestreo se establece según la frecuencia central de la distribución que se esté utilizando. La salida de 10 bits del ADC se envía a una RAM de doble puerto. El conformador de haces de recepción lee las muestras en cuadratura y lleva a cabo el filtrado de interpolación en función de la planificación de enfoque de recepción dinámica que es controlada por el controlador de conformador de haces de recepción. Después del filtrado de interpolación, las salidas de cada canal de recepción se suman y luego se envían a la CPU a través del bus de transferencia de datos a alta velocidad.
El conformador de haces de recepción se configura a través del Bus de Control RX. El conformador de haces de transmisión se configura a través del Bus de Control TX. Los parámetros de control se actualizan antes del inicio de cada línea de ultrasonidos. Los parámetros de control son abertura TX, perfil de retrasos TX (retraso grueso y fino), abertura RX, perfil de retrasos RX (retraso inicial, grueso y fino), fase RX y apodización RX. Cuando todos los parámetros de control están establecidos y el sistema está preparado, se envía una señal de inicio de línea (SOL) para comenzar un ciclo de transmisión y recepción.
Etapa�de�salida�de�transmision
El multiplexado de los canales de transmisión se produce antes de transmitir la etapa de salida. Dado que el conformador de haces de transmisión puede trabajar con distribuciones con un máximo de 256 elementos, hay 256 etapas de salida de transmisión, una por cada elemento. Según se muestra y describe en referencia a las FIGS. 20 y 21, cada etapa de salida consiste dos MOSFET que impulsan un transformador con toma central, con el voltaje de suministro en la toma central controlando la amplitud del impulso. La forma de onda de salida es aproximadamente un impulso cuadrado con un número variable de ciclos. Un extremo del secundario del transformador conduce al elemento de la distribución, y el otro al circuito de protección de recepción. Los elementos de impedancia reactiva proporcionan coincidencia de impedancia y filtrado. Un conmutador FET en serie con la puerta de cada MOSFET proporciona el multiplexado. El transformador y los inductores se implementan, por ejemplo, como pistas en la placa de circuito impreso. Hay un núcleo de ferrita para el transformador que se inserta en una abertura en la placa.
Canal�de�transmision
Cada canal de transmisión es multiplexado a cuatro etapas de salida como se puede ver en la FIG. 18. Hay dos señales de transmisión por canal, una para impulsar cada etapa de la etapa de salida de empujar-tirar (push-pull). Como puede verse en las FIGS. 20 y 21, la sección analógica de los canales de transmisión consiste en un circuito impulsor de tipo empujar-tirar capaz de impulsar la capacitancia de puerta de los MOSFET de etapa de salida con los apropiados tiempos de subida y bajada. Estas son multiplexadas a las etapas de salida mediante conmutadores analógicos.
Conformador�de��aces�de�transmision
Como se puede ver en la FIG. 22, el conformador de haces de transmisión utiliza memoria DDR para producir formas de onda de transmisión con una frecuencia de un máximo de aproximadamente 800 MHz. Cada canal utiliza una salida independiente de memoria DDR. La velocidad de reloj de salida es aproximadamente 16X la frecuencia central (fc), proporcionando de ese modo la capacidad para la resolución apropiada de retraso. La variabilidad temporal es reducida por re-sincronización de la salida DDR con PECL. Como puede verse haciendo referencia a la FIG. 22 A, con una velocidad de reloj de aproximadamente 16 x fc, las formas de onda de transmisión pueden efectuarse ajustando la anchura de la mitad positiva o negativa de los ciclos. Esta capacidad puede introducir un “tiempo muerto” entre las mitades positivas y negativas de ciclos para mejorar la forma del impulso de salida.
Circuito�de�ertremo�delantero
Con una distribución de transductor que comprende 256 elementos, hay 256 secciones de circuito de extremo delantero, una dedicada para cada elemento de la distribución. Como puede verse haciendo referencia a la FIG. 17, cada circuito de extremo delantero comprende un transformador de extremo delantero 1702, una etapa de salida de transmisión 1703, MUX de transmisión 1708, MUX de recepción 1704, un limitador de diodo y componentes para el filtrado de recepción.
Canal�de�recepcion
También como puede verse haciendo referencia a la FIG. 17, cada canal de recepción comprende los elementos de circuito que están involucrados con la adquisición de la señal de recepción. El multiplexor de recepción 1704 conecta los 64 canales de recepción a los elementos dentro de la abertura activa, que es un subconjunto de hasta 64 elementos contiguos en la distribución de 256 elementos.
Conformador�de��aces�de�recepcion
El conformador de haces de recepción, como el que se muestra en la FIG. 17, es un módulo que procesa de forma independiente y suma los datos digitales adquiridos por cada canal en la abertura de recepción. Sus funciones pueden incluir, por ejemplo: control dinámico del tamaño de abertura de recepción, es decir, el número de canales que se utilizan durante la adquisición de cada muestra de recepción; control dinámico de apodización de recepción, es decir, la ventana aplicada a la abertura de recepción; enfoque dinámico de recepción, es decir, un muestreo de la señal de recepción y el ajuste del retraso aplicado a cada canal de recepción durante la adquisición de cada muestra, a través del uso de filtros de interpolación y variación de posición de abertura dentro de la distribución.
Confieuracion�de �la�placa�de�canal
Como se muestra en el ejemplo de sistema de la FIG. 24, hay cuatro placas 2406 de canal, cada una contiene 16 canales de transmisión y 16 de recepción, todos enchufados en un plano posterior. Cada placa de canal tiene asignada una dirección basada en su posición en el plano posterior para permitir un control independiente de cada placa.
Placa�de�control�de�conformador�de��aces
La placa de control 2404 de conformador de haces del ejemplo de sistema de la FIG. 24 proporciona enlace ascendente de los datos a la CPU anfitriona (extremo posterior) y secuencia temporal centralizada y control para la electrónica del hardware. El enlace a la CPU anfitriona es a través de un bus PCI Express 2410, que permite una velocidad de bits de datos de aproximadamente 250 MB/s en cada sentido por carril. Un enlace PCI Express de anchura de carril x8 proporciona un pico de ancho de banda completamente dúplex de aproximadamente 4 GB/s.
El controlador TX/RX 2412 proporciona secuencia temporal maestra utilizando señales de sincronización de inicio de trama e inicio de línea al conformador de haces de transmisión y conformador de haces de recepción. Configura los parámetros del conformador de haces en la memoria a través de un bus local personalizado. Todas las frecuencias de reloj de baja variabilidad temporal para la conformación de haces se generan en la placa de control 2404 de conformador de haces.
Los datos de suma parcial de RF de cada placa 2406 de canal se suman 2414 junto con los datos 2416 de abertura sintética. A continuación, los datos de línea de rayo entran en una memoria (FIFO) primero en entrar-primero en salir (first-in-first-out) 2418 donde se asienta temporalmente antes de ser copiada en el búfer de Cine de RF 2420. El búfer Cine de RF 2420 almacena tramas completas de datos de RF y es accesible de forma aleatoria. Los datos se leen desde el búfer Cine de RF 2020 y se copian a la CPU anfitriona a través del enlace PCI Express 2410. Como alternativa, los datos pueden ser procesados por el módulo 2422 procesador de señales antes de ser enviados a la unidad informática principal. A continuación, los datos se almacenan en búfer, se procesan aún más y son expuestos por el software de aplicación y la interfaz de usuario de aplicación que se ejecuta en la unidad informática principal.
El control de tráfico de datos y la lectura y escritura de parámetros de control se ve facilitado por la CPU integrada 2424. La propia CPU integrada 2424 es accesible por la CPU anfitriona a través del enlace PCI Express 2410. Otras funciones que proporciona la placa de control 2404 de conformador de haces es el sistema de adquisición fisiológica
y monitorización del suministro de energía. La FIG. 19, a la que se hace referencia anteriormente en esta memoria, es un diagrama de bloques de una realización de una placa de control 1900 de conformador de haces.
Controlador�TlRR�
Control�de�conformador�de��aces�de�transmision:
El control de conformador de haces de transmisión (TX) actualiza los parámetros de conformador de haces de transmisión en cada línea de transmisión. Los parámetros incluyen el número de ciclos de retraso grueso en la frecuencia central (fc) de transmisión, el número de ciclos de retraso fino (a 16 x fc), formas de onda de transmisión (a 16 x fc), el número de ciclos de transmisión, selección de transmisión y voltaje de transmisión. El control de conformador de haces de transmisión también planifica la actualización de los parámetros para modo dúplex, modo tríplex o múltiples zonas focales.
Control�de�conformador�de��aces�de�recepcion:
El control de conformador de haces de recepción controla el perfil de retrasos de recepción, el tamaño de abertura y la apodización para cada canal. El control de retrasos consiste en retrasos gruesos y finos, que son controlados por puntero de lectura RAM de doble puerto y el bit selector de coeficiente de filtro de interpolación, respectivamente.
La señal de control de abertura controla dinámicamente el tamaño de la abertura mediante la especificación de cuándo se activa la salida de cada canal. Esto se hace controlando la señal clara del registro de salida final de los filtros de interpolación. La apodización dinámica de recepción es controlada por cinco bits de datos de apodización con los que se multiplica la señal de cada canal. Las señales de control de recepción se leen en una RAM de control a la velocidad de reloj de muestreo de entrada como se muestra en la FIG. 26.
Sincronizacion�de�transmisionRrecepcion:
En la FIG.27 se muestra un diagrama de bloques de sincronización de transmisión/recepción. Para obtención de imágenes en Modo B y Modo M se pueden utilizar diferentes frecuencias de transmisión y recepción. Sin embargo, pueden introducirse diferencias de secuencia temporal (Uitter) de línea a línea entre el ciclo de transmisión y el ciclo de recepción porque los relojes son asíncronos. Un método para sincronizar los relojes de transmisión y recepción es el uso de un divisor programable (TX_Divider) 2714 para generar el reloj de recepción (RXCLK_B) a partir del reloj de transmisión (TXCLKx16) como se muestra en la realización de la FIG. 27. La frecuencia de recepción es una relación fija de la frecuencia de transmisión. La relación es la frecuencia de reloj de transmisión 16 veces dividida por N, donde N es un entero. Por ejemplo, con el fin de generar una frecuencia de reloj de transmisión de 30 MHz y una frecuencia de reloj de recepción (RXCLK_B) de 26,7 MHz, el TX_Divider 2714 se establece para dividir por 18. Debido a la naturaleza del divisor, RXCLK_B está alineada en fase con TXCLKx16, y los dos relojes siempre tienen una mínima diferencia de fase. RXCLK_B se usa para sincronizar el inicio del disparador de línea (SOL) 2702. El disparador de inicio de línea sincronizado (SOL_S) 2704 genera TX_TRG. TX_TRG es sincronizado con TXCLKx8 por TX_TRG SYNC 2716. Si se necesita puede añadirse un retraso entre SOL_S y TX_TRG. TX_TRG señala al conformador de haces de transmisión para comenzar un ciclo de transmisión. RXGATE está sincronizado con RXCLK_B y señala al conformador de haces de recepción para comenzar la adquisición de datos. Un multiplicador (RX PLL) 2718 proporciona la frecuencia de reloj RXCLKx4 que es necesaria para que el Generador de Reloj I/Q 2720 genere los relojes I y Q.
La FIG. 27A ilustra un método alternativo para mantener una sincronización consistente entre el ciclo de transmisión y el ciclo de recepción mediante el retraso del disparador de inicio de la línea (SOL) 2702 a un punto en el que la diferencia de fase entre el reloj de transmisión y el reloj de recepción se encuentra en un estado conocido. El disparador de SOL 2702 es sincronizado por el impulso TX_RX_SYNC. El impulso TX_RX_SYNC es generado por el temporizador TX_Sync 2722. El disparador sincronizado de inicio de la línea (SOL_S) 2704 puede comenzar ahora las señales de secuencia temporal para el conformador de haces de transmisión 2706 y la adquisición de datos del conformador de haces de recepción. TX_TRG es una versión retrasada de SOL_S que señala al conformador de haces de transmisión para comenzar un ciclo de transmisión. TX_TRG está sincronizado con TXCLK. El conformador de haces de transmisión 2706 genera las señales de control del multiplexor TXGATE e impulsos de transmisión TXA/TXB al módulo de extremo delantero. RXGATE señala al conformador de haces de recepción para empezar la adquisición de datos. RXGATE está sincronizado con RXCLK 2710.
La diferencia de fase entre los relojes de transmisión 2708 y recepción 2710 es fija, siempre y cuando TX_Sync_Period 2712 sea calculado correctamente. TX_Sync_Period 2712 es el número mínimo de ciclos de reloj de transmisión necesarios para lograr la sincronización. Por ejemplo, si la frecuencia de reloj de transmisión 30 MHz y la frecuencia de reloj de recepción es de 25 MHz, TX_Sync_Period 2712 será 6 ciclos del reloj de transmisión.
Generador�de�reloj
El generador 2428 de reloj proporciona las frecuencias de reloj apropiadas para la conformación de haces detransmisión y recepción. Él comprende un reloj principal de baja variabilidad temporal, un divisor programable,
búferes de reloj y circuitos de re-sincronización. Las frecuencias son: frecuencia de transmisión (fc) - 25 a 50 MHz; frecuencia de recepción: de 20 a 50 MHz en fase y en cuadratura; relojes digitales - fc x2, x4, x8, x16. El reloj más rápido utilizado en este ejemplo de realización puede ser de 800 MHz (50 MHz x 16).
Puente�PCI�Erpress
El puente PCI Express 2426 conecta la CPU anfitriona y la CPU integrada 2424 mediante un bus PCI 2410. Esto permite transferencias DMA desde el búfer cine de RF 2420 a la memoria del procesador anfitrión y viceversa. PCI Express se basa en modelos de comunicación de buses PCI y PCI-X. PCI Express utiliza el mismo espacio de direcciones asignadas de memoria que PCI y PCI-X con uno solo o una ráfaga de comandos de lectura/escritura. Sin embargo, PCI Express es una interconexión en serie de punto a punto que utiliza un conmutador para conectar diferentes dispositivos, mientras que PCI y PCI-X son buses de múltiple goteo en paralelo (parallel multi-drop buses). PCI Express puede utilizarse como un enlace de comunicación chip-a-chip o placa-a-placa mediante conectores de orilla de placa o por un cable.
El ancho de banda del enlace PCI Express puede ser, por ejemplo: Enlace ascendente - ráfaga de 210 MB/s y velocidad sostenida de 140 MB/s para datos de RF, datos MIS y diagnóstico; enlace descendente - ráfaga de 20 MB/s y velocidad sostenida <1 MB/s para escritura de parámetros de control.
�PGA �de�acertura�sintetica
Los datos de RF parcialmente sumados de conformador de haces de las placas 2416 de canal se procesan primero en la FPGA de abertura sintética. El procesamiento comprende el sumatorio final de conformador de haces, abertura sintética y escritura en FIFO.
Bufer�Cine�de�R�
Funcionalmente, el búfer cine de RF 2420 es, por ejemplo, una RAM de 1 GB de puerto doble. El búfer cine de RF 2420 es un bloque de memoria de acceso aleatorio que almacena datos orgánicos de RF en líneas y tramas. Los datos pueden ser introducidos y sacados a diferentes velocidades para soportar el procesado de señal asíncrona. El flujo de datos está compuesto de datos con forma de haz entrelazados I y Q. El búfer FIFO proporciona almacenamiento de los datos de conformador de haces mientras la memoria está siendo leída por la CPU para el siguiente periodo de exposición.
En una realización, las especificaciones de búfer pueden incluir, por ejemplo: Almacenamiento - 300 tramas de tamaño completo (512 líneas de rayos x 1024 muestras/línea x datos I y Q 32 bits); Tamaño de búfer > 629 M bytes; velocidad de entrada - 140 Mb/s; velocidad de salida: 140 Mb/s (Velocidad de datos de RF) 32 Mbytes/s (velocidad de vídeo).
Procesamiento�asnncrono de �seaales
Según un ejemplo del sistema de ultrasonidos descrito, es capaz de muy altas velocidades de adquisición de tramas en algunos modos de funcionamiento, en el intervalo de varios cientos de tramas por segundo. Las velocidades de exposición no tienen que ser equivalentes a las velocidades de adquisición. El ojo humano tiene un tiempo de respuesta limitado, y actúa como un filtro de paso bajo para rápidos cambios en movimiento. Se ha demostrado que las velocidades de tramas por encima de los 30 fps tienen poco beneficio añadido a la información de movimiento percibido. Por esta razón, la información expuesta de imagen por ultrasonidos puede ser procesada a una velocidad de 30 fps o inferior, incluso cuando las velocidades de adquisición son mucho más elevadas. Para desacoplar la adquisición del procesamiento de señales, se utiliza una gran memoria búfer de RF para almacenar datos de salida del conformador de haces. Un ejemplo de estructura para almacenamiento en búfer de la salida del conformador de haces se muestra en la FIG. 28. Como se muestra en la FIG. 28, el búfer de memoria 2800 puede contener muchas tramas de datos de RF. Para una profundidad de 512 longitudes de onda, el almacenamiento de una línea completa de RF de 16 bits muestreada en cuadratura utiliza 4K bytes (1024 muestras I,Q * 32 bits/par). Con 512 líneas de rayos por trama, un búfer de memoria de 1G byte puede entonces contener 512 tramas 2D. Para rastrear tramas en el búfer, el controlador de escritura mantiene punteros de “primera trama” y “última trama”, que pueden ser leídas por la tarea de procesamiento de señal y apuntar respectivamente a la primera trama del búfer disponible para lectura, y a la última trama disponible para lectura.
Durante la adquisición activa, la salida del sumatorio del conformador de haces es escrita por el Controlador de Escritura 2802 en la siguiente zona disponible de almacenamiento de tramas, que típicamente es la zona de almacenamiento inmediatamente después de la que apunta el puntero de “última trama”. A medida que se adquieren los datos de cada nueva trama, los punteros de “primera trama” y “última trama” se actualizan de modo que los datos se escriben en la dirección correcta en el búfer. Cuando la adquisición se detiene (congelación), el búfer, a continuación, contiene las últimas N tramas, con el puntero “primera trama” indicando la trama más antigua en el búfer.
El módulo 2422 de procesamiento de señales tiene acceso al búfer de memoria de RF 2420. Accede a una trama de adquisición cada vez, a la velocidad de exposición de tramas para producir los datos expuestos estimados. Mientras el sistema está explorando, un temporizador señala al módulo de procesamiento de señales que se necesita una trama de exposición. En ese momento, el módulo 2422 de procesamiento de señales realizará una comprobación para ver si hay disponible una nueva trama de adquisición de RF, y si es así, leerá los datos y comenzará a procesarla. Si la velocidad de adquisición es más rápida que la velocidad de exposición, las tramas de adquisición se destruirán antes del procesamiento y exposición. Después de que el sistema se ha puesto en congelación, las tramas de RF almacenadas en el búfer de memoria pueden ser procesadas con cualquier velocidad deseada, hasta la velocidad de adquisición original.
Modulo�de�procesamiento�de�seaales
La placa de control 2404 de conformador de haces comprende un procesador 2422 de señales en el recorrido de datos para reducir la carga de datos y/o la carga de cómputo de la CPU anfitriona. El procesador 2422 puede ser, por ejemplo, una FPGA con un número suficiente de multiplicadores y memoria, o una CPU tal como, por ejemplo, un PPC 970 o un DSP de uso general. Las funciones de procesamiento de señales realizadas se dividen entre el módulo 2422 de procesamiento de señales en la placa de control 2404 de conformador de haces, y la unidad informática principal (es decir, el ordenador anfitrión). Incluyen control de ganancia post-conformación de haces, detección de amplitud de Modo B y compresión de registros, estimación espectral de Doppler PW, filtro de desorden de flujo de color y estimación de frecuencia/potencia, procesamiento asíncrono de señal o promedio de tramas. Factores que pueden considerarse al decidir donde tiene lugar el procesamiento incluyen la velocidad de procesamiento requerida, la complejidad del proceso y las velocidades de transferencia de datos necesarias.
Procesamiento �de�seaales�en �ModoB
Para la obtención de imágenes en Modo B, el módulo 2422 de procesamiento de señales realiza procesos que pueden incluir interpolación de línea, detección y compresión.
Procesamiento�de�seaales�para�octencion�de�imeeenes�por��lujo �de �Color�EC�I�
En un ejemplo, la obtención de imágenes por flujo de color Doppler es combinada con obtención de imágenes con Modo B de tal manera que los bloques comunes del recorrido de señal en Modo B y el recorrido de señal en flujo de color Doppler son multiplexados en el tiempo para proporcionar ambos tipos de procesamiento. Típicamente, las líneas de Modo B se adquieren en las líneas entre los grupos CFI a una velocidad de 1 o 2 líneas por cada grupo, dependiendo de la densidad relativa de líneas de rayos de Modo B y CFI (las imágenes típicas CFI utilizan la mitad de la densidad de líneas de rayos de Modo B), como sabe un experto en la técnica.
Para CFI, el Módulo 2422 de Procesamiento de Señales realiza procesos que pueden incluir: almacenamiento en búfer de grupos, filtro de desorden; cálculo de estimado de velocidad; cálculo estimado de potencia y cálculo estimado de varianza.
Después de que las formas de onda I y Q desde la salida sumada del conformador de haces han pasado por el filtro de desorden, los diversos parámetros de la señal Doppler son estimados por un estimador Doppler de frecuencia y potencia para el ordenador anfitrión o la CPU 2424 en el panel de control de conformador de haces. Los parámetros estimados para cada profundidad de muestra en el grupo pueden incluir frecuencia Doppler, Doppler de potencia y la varianza de las estimaciones de frecuencias. Estos parámetros pueden ser utilizados en una matriz de decisión para determinar la probabilidad de que la estimación de frecuencia sea una estimación real del espectro Doppler, en lugar de una estimación de señal de desorden o ruido. Las estimaciones de velocidad de flujo de color se derivan de las estimaciones de frecuencia Doppler. Todas las estimaciones se derivan con un método de autocorrelación 2-D como conoce un experto en la técnica.
Procesamiento �de�seaal�Doppler�P�
La adquisición Doppler PW puede ser sí mismo, en modo dúplex o en modo tríplex. En el modo dúplex, los impulsos de transmisión de Doppler PW se intercalan con los impulsos de transmisión de Modo B de manera que la imagen de Modo B se actualiza en tiempo real mientras que se adquiere la señal Doppler PW. El método de intercalado depende de la PRF Doppler seleccionada. Los componentes compartidos entre la obtención de imágenes con Modo B y el procesamiento con Doppler Pulsado son multiplexados para conseguir ambos tipos de procesamiento.
En modo tríplex, el Doppler Pulsado es combinado con obtención de imágenes de Modo B y de flujo de color. La implementación más sencilla de modo tríplex es una intercalación en el tiempo de una línea de Modo B o línea CFI, en una secuencia fija que finalmente tiene como resultado una trama completa de líneas de imagen CFI y Modo B. En esta implementación, las PRF para Doppler Pulsado y CFI se reducen a la mitad, en comparación con sus modos normales individuales de funcionamiento.
Se toma un trozo por intervalo de las muestras I y Q para cada línea de rayos (un intervalo determinado de las señales I o Q se separan de todo el intervalo disponible y se promedian para producir un solo par I, Q), para
seleccionar la región de interés para el volumen de muestra Doppler. La longitud de la puerta de intervalo puede ser variada, si se desea, por parte del usuario para cubrir un intervalo de profundidad. Los pares promedio resultantes I, Q son enviados a un procesador espectral, así como a un procesador de audio, que convierte los datos de frecuencia Doppler de I, Q en dos flujos de salida de audio, uno hacia el transductor (adelante) y el otro para fluir lejos del transductor (inverso).
Para la obtención de imágenes por Doppler PW, el módulo 2422 de procesamiento de señales realiza procesos que incluyen la toma de trozos por intervalos (integración digital).
Procesamiento �de�seaales�en �ModoM
Para la obtención de imágenes en Modo M, el módulo 2422 de procesamiento de señales realiza procesos que incluyen detección y compresión.
Procesamiento �de�seaales�EVV
EKV es un método de adquisición en el que se generan imágenes con muy alta velocidad de tramas (1000 tramas por segundo y más) como una operación de post-procesamiento utilizando señales de ECG (electrocardiógrafo) utilizadas como eventos de secuencia temporal. La obtención de imágenes por EKV puede ser implementada con un transductor explorado mecánicamente de un solo elemento, o con una distribución de transductor. La obtención de imágenes por EKV consiste en la adquisición de líneas de ultrasonidos a una PRF de 1000 Hz o más en cada posición de línea en la imagen 2-D en un periodo de tiempo. El período de tiempo durante el que se adquieren las líneas de ultrasonidos en cada posición de línea, que se conoce como Período de Tiempo EKV, puede ser por ejemplo 1 segundo, que es lo suficientemente largo como para capturar varios ciclos cardíacos en un ratón u otros animales pequeños. La adquisición de cada línea de ultrasonidos implica el disparo de un solo impulso de transmisión seguido por la adquisición de los datos de ultrasonidos de retorno. Por ejemplo, si hay 250 líneas en la imagen 2-D, se adquiere un total de 250.000 líneas de ultrasonidos en el conjunto de datos de EKV. Cada trama de la imagen EKV es reconstruida mediante el ensamblaje de las líneas de ultrasonidos que fueron adquiridas al mismo tiempo durante el ciclo cardiaco.
En un ejemplo, la secuencia de adquisición del conjunto de datos EKV puede ser de tal manera que la posición de línea de ultrasonidos permanece estática mientras que las líneas de ultrasonidos son adquiridas en el período de tiempo. Por ejemplo, si el período de tiempo es de 1 segundo, y la PRF es de 1 kHz, se adquirirán 1.000 líneas de ultrasonidos en la primera posición de líneas de ultrasonidos. La posición de línea se puede aumentar y luego repetir el proceso. De este modo, se adquirirán todos los datos EKV para las 250 líneas en la imagen 2-D. La desventaja de este método de secuenciación es que la longitud del tiempo necesario para completar todo el conjunto de datos EKV puede ser relativamente larga. En este ejemplo, el tiempo sería 250 x 1 segundos = 250 segundos.
En un ejemplo que hace uso de una distribución, el método de intercalado permite una reducción de la longitud de tiempo necesario para completar el conjunto de datos EKV. Por ejemplo, si el PRF es de 1 kHz hay un periodo de tiempo de 1 ms entre impulsos durante el que se pueden adquirir otras líneas. El número de líneas de ultrasonidos que pueden ser adquiridas está determinado por el tiempo de tránsito en dos sentidos de los ultrasonidos a la máxima profundidad en el tejido del que se detectan las señales. Por ejemplo, si el tiempo de tránsito en doble sentido es de 20 μs, se pueden intercalar 50 líneas de ultrasonidos en diferentes posiciones de línea durante el intervalo de PRF. Si se etiquetan las posiciones de línea L1, L2,... L50, un ejemplo de método de intercalado puede implementarse de la siguiente manera:
Tiempo
Posición de línea de ultrasonidos adquirida
0 !s
L1
20 !s
L2
40 !s
L3
...
...
980 !s
L50
1000 !s
L1
1020 !s
L2
1040 !s
L3
...
...
1980 !s
L50
2000 !s
L1
...
...
La secuencia en la tabla anterior se repite hasta que ha transcurrido el Período de Tiempo EKV, y entonces habrá un bloque de datos que consiste en 1.000 líneas de ultrasonidos adquiridas en 50 diferentes posiciones de línea, de la
5 línea 1 a la línea 50. La adquisición del bloque de datos se repite a continuación de esta manera para las siguientes 50 líneas en la imagen 2-D, línea 51 a línea 100, seguido de adquisición sobre las líneas 101 y 150, etc., hasta que se completa todo el conjunto de datos de 250 líneas.
El tiempo total necesario para el conjunto de datos completo de 250 líneas se reduce por un factor igual al número de líneas intercaladas, que en este ejemplo es 50. Por lo tanto, la longitud total de tiempo necesario sería de 5
10 segundos.
CPU�inteerada
La CPU integrada 2422 en la placa de control 2404 de conformador de haces es, en una realización, un microprocesador de 32-bit con una interfaz PCI 2426 e interfaz de memoria DDR. La función principal de la CPU integrada 2424 es el control del tráfico de datos. Controla el flujo de datos desde el conformador de haces de
15 recepción FIFO 2418 al búfer cine de RF 2420, desde el búfer cine de RF 2420 al módulo 2422 de procesamiento de señales, y desde el módulo 2422 de procesamiento de señales al PC anfitrión.
La información de diagnóstico y el control de conformador de haces se asignan en la memoria en el dispositivo PCI de objetivos como registros. La CPU integrada 2424 decodifica la ubicación de los registros y transmite la información adecuada por el bus local apropiado. El bus local puede ser, por ejemplo, PCI, paralelo personalizado
20 (usando GPIO), serie CE o serie UART, como se conocen en la técnica.
Sistema�de�adquisicion�fisioloeica
El sistema de adquisición fisiológica 2430 (o “sistema de adquisición de ratón”) filtra y convierte las señales analógicas desde los aportes 2438 del sistema de información del ratón. Estas señales pueden incluir ECG, temperatura, respiración y presión arterial del sujeto. Después de la conversión de datos, los datos se transfieren a
25 la memoria de la CPU integrada 2424 a través de buses, y luego a la CPU anfitriona para la exposición a través del enlace PCI Express 2410.
Monitorizacion�del�suministro�de�enerena
La placa de control 2404 de conformador de haces monitoriza el suministro de energía 2432 del bastidor y disminuye los voltajes generados en cada placa. Por ejemplo, la fuente de suministro de energía 2432 del bastidor puede 30 proporcionar +48 V CC al plano posterior 2408. En una realización, dos postreguladores de alto voltaje 2436 en cada placa 2406 de canal suministran a la parte de transmisión del circuito de extremo delantero. La placa de control 2404
de conformador de haces monitoriza estos reguladores por si se producen situaciones de exceso de corriente o exceso de voltaje.
Plano�posterior
El plano posterior 2408 se monta en la jaula de tarjetas de electrónica de instrumentos. En una realización tiene conectores ciegos de orilla de emparejamiento para permitir que se conecten cada una de las placas, aunque se contemplan otros esquemas de conexión dentro del alcance de la presente invención. Proporciona interconexiones entre placas, y conectores de entrada/salida para señales fuera de la jaula de tarjetas. En una realización, el tamaño de la placa posterior es de 8U de alto por 84HP de ancho de modo que pueda encajar en una jaula de tarjetas tipo VME de bastidor de 8Ux19". En una realización la profundidad de la jaula de tarjetas puede ser de 280 mm.
Softtare�de�sistema
En la FIG. 29 se muestra una visión general de una realización del software 2330 de sistema. Por lo general, el software 2330 de sistema funciona sobre una plataforma de procesadores tal como, por ejemplo, una plataforma de procesador Intel con sistema operativo Windows XP. La plataforma de procesador de una realización del sistema es proporcionada por la unidad informática 2310, anteriormente descrita en esta memoria. Como alternativa, el software de sistema 2330 puede ser cargado en una estación de trabajo autónoma para revisar estudios. La estación no contiene hardware conformador de haces ni tiene un transductor para la adquisición de nuevos datos. Puede revisar datos de estudio previamente adquiridos y realizar un conjunto limitado de funciones de procesamiento. Por ejemplo, el usuario puede agregar mediciones, reproducción a diferentes velocidades de tramas, o cambiar el mapa de colores.
La FIG. 30 es una realización de una aplicación principal de software que puede ser utilizada para poner en práctica uno o más aspectos de la presente invención. El software 3000 de sistema, tal como se muestra en la FIG. 30, se puede cargar cuando el sistema se pone en marcha y puede proporcionar una interfaz para el operario del sistema.
El marco 3018 que determina la estructura general de los componentes se puede utilizar para producir una aplicación ejecutable por el sistema operativo de la plataforma de procesamiento de la unidad informática 2310 y crear una interfaz con el sistema operativo. Por ejemplo, el marco 3018 puede producir una aplicación para Windows y crear una interfaz con el sistema operativo Windows.
El componente de software de controlador 3020 de aplicación puede ser la máquina de estado para el software 3000 de sistema. Puede controlar la interacción entre el operario, el software 3000 de sistema y en el extremo delantero 2308.
El componente de software de vista 3022 de la aplicación puede proporcionar una base para soportar la presentación del software 3000 de sistema basándose en la máquina de estado en el componente de software de controlador 3020 de aplicación como se describió anteriormente en esta memoria.
El componente 3002 de estudios puede permitir al operario realizar estudios, revisar los datos del estudio, editar el contenido e importar o exportar datos de estudio. Como ya se ha descrito en esta memora, puede haber varios modos de funcionamiento soportados por el sistema para la adquisición de datos y pueden ser gestionado por un componente de software de modos 3004 del software 3000 de sistema. Los modos admitidos pueden incluir, por ejemplo, Modo B, Modo 3D, Modo M, Doppler PW, Doppler de Flujo de Color, etc. Cada modo tiene parámetros ajustables, adquisición de bucle cine y zona principal de exposición de imagen, que pueden ser administrados por el componente de software de modos 3004. Algunos modos pueden funcionar simultáneamente, por ejemplo, Doppler PW y Modo B.
El componente de software de control 3024 de conformador de haces puede generar los parámetros de obtención de imágenes para el extremo delantero basándose en la configuración del software 3000 de sistema.
El componente de software de gestor 3006 de datos de usuario puede mantener preferencias de usuario relativas a cómo se configura el sistema.
El componente de software de mediciones 3026 puede permitir que el operario realice mediciones y anotaciones acerca de los datos de modo.
El componente de software de cálculos 3028 puede permitir al operario realizar cálculos de las mediciones.
El componente de software de capa de utilidades 3008 contiene utilidades comunes que son utilizadas en la aplicación, así como bibliotecas de terceros.
El componente de software de capa de hardware 3012 se utiliza para comunicar el hardware conformador de haces a través del bus PCI Express, como anteriormente se ha descrito en esta memoria.
El componente de software fisiológico 3030 puede utilizarse para controlar la colección de datos fisiológicos a través de la capa de hardware 3012 como anteriormente se ha descrito en esta memoria.
La capa de datos 3010 puede contener una base de datos de todos los conjuntos diferentes de los parámetros necesarios para el funcionamiento. Los parámetros se pueden establecer dependiendo de la configuración actual de usuario y el modo de funcionamiento.
El registro 3014 de mensajes y la configuración 3016 de ingeniería pueden utilizarse para los informes de diagnóstico y la solución de problemas.
Panel�de�seleccion�de �transductor
Volviendo a la FIG. 24, se puede ver en esta realización según la presente invención que el sistema puede tener un conector 2438 de transductor en la parte delantera del carro y el usuario puede desenchufar físicamente el primer transductor y luego enchufar otro cuando se cambian los transductores. En una realización este puede ser un conector 2438 de transductor de 360 pines. En otra realización, también se puede utilizar un panel de selección de transductor con dos conectores de transductor en el panel frontal y permite cambiar entre los transductores sin manipular físicamente los transductores.
Ejemplo�
Otro ejemplo de realización del sistema de ultrasonidos de alta frecuencia comprende una arquitectura modular basada en software que se describe a continuación y como se muestra en la FIG. 31.
La realización de la FIG. 31 comprende cuatro módulos, que son parte de una unidad de procesamiento, para el ejemplo de sistema; un módulo 3102 de conformador de haces; una memoria de búfer de RF 3104; un módulo 3106 de procesamiento de señales; y una CPU 3108 de sistema. El módulo 3102 de conformador de haces comprende los circuitos para transmitir y recibir impulsos desde el transductor, así como el procesamiento de retrasos utilizado para la conformación de haces. Su salida puede ser datos sumados de RF u opcionalmente datos I y Q convertidos en descenso a partir de técnicas de muestreo en cuadratura. La salida del módulo 3102 de conformador de haces puede ser escrita en una memoria grande de búfer RF 3104, como se describe en la presente memoria.
La CPU/módulo 3106 de procesamiento de señales es responsable de procesar los datos de RF del conformador de haces 3102 para la formación de imágenes, o detección Doppler. El módulo 3106 de procesamiento de señales puede incluir un módulo de CPU con las tareas de procesamiento implementadas en el software que se ejecuta en un entorno informático de uso general. Como alternativa, el módulo 3106 de procesamiento de señales puede implementarse con algunas funciones de procesamiento de señales en hardware o en software que se ejecuta en procesadores dedicados, en cuyo caso puede implementarse un módulo adicional de procesamiento de señales como una tarjeta de complemento en la CPU 3108 del sistema.
Si una solución de hardware dedicada se elige para el módulo 3106 de procesamiento de señales, se puede implementar con varias CPU de alto rendimiento. Opcionalmente se puede implementar con chips de procesamiento de señales digitales (DSP). Un tipo de DSP, que se puede utilizar es de la variedad de coma flotante, según se conocen en la técnica, y puede ser controlado por la CPU anfitriona, así como ser “datos impulsados”.
La CPU 3108 del sistema puede ser tanto una interfaz de usuario/sistema de control como un subsistema de procesamiento de imagen/señal. La información de control de sistema puede ser distribuida utilizando E/S asignada en memoria, en donde los módulos tienen una interfaz con el bus periférico del módulo de CPU. Opcionalmente, la CPU 3108 del sistema puede estar físicamente separada del módulo 3102 de conformador de haces y se puede conectar a través de un cable PCI Express (o equivalente) 3110. Un ejemplo de cable PCI Express 3110 es uno que soporta transferencias de hasta 1 GB/s y longitudes de tres metros. Parte o toda la memoria que existe en diversos módulos se pueden asignar en el espacio de memoria de la CPU 3108, lo que permite acceder a parámetros y datos.
La CPU 3108 del sistema en el ejemplo de arquitectura puede realizar una serie de tareas de procesamiento en tiempo real, incluido procesamiento de señales, conversión de exploración, procesamiento de exposición. Estas tareas pueden ser gestionadas de manera que no requiere un sistema operativo “duro” en tiempo real, lo que permite latencias de sistema esperadas en la planificación de rutinas de procesamiento. Además, la CPU 3108 del sistema puede ser responsable de la gestión de la interfaz de usuario para el sistema, y de proporcionar funciones de configuración y de control a los otros módulos en respuesta a las acciones del usuario. La placa base de la CPU y el sistema operativo pueden soportar varias CPU, con acceso rápido a un bus de sistema de alta velocidad, y gestión de tareas casi en tiempo real.
Conformador�de��aces�de�transmision
El módulo 3102 de conformador de haces de este ejemplo de sistema comprende un conformador de haces de transmisión. El conformador de haces de transmisión puede proporcionar funciones que pueden incluir, por ejemplo, control de abertura mediante la selección de un subconjunto de elementos de la distribución, secuencia temporal de
retrasos para iniciar impulsos de transmisión, generación de formas de onda de transmisión, y control de apodización de transmisión. Para este ejemplo de realización, se utiliza una distribución 3112 de transductor. En una realización, esta distribución 3112 de transductor contiene hasta 256 elementos. Para eliminar la necesidad de conmutación de alto voltaje de los impulsores de impulsos de transmisión a los elementos de transductor, el componente del conformador de haces de transmisión del módulo 3102 de conformador de haces puede comprender varios transmisores equivalentes al número de elementos de distribución de transductor. Por ejemplo, en un ejemplo de transductor de distribución que tiene 256 elementos el conformador de haces de transmisión comprende 256 transmisores. Opcionalmente, el conformador de haces de transmisión puede comprender menos de 256 transmisores y un método de conmutación de alto voltaje para conectar un transmisor individual con un elemento específico. Se utilizan multiplexores de alto voltaje para seleccionar un subconjunto lineal de elementos de una distribución de 256 elementos.
Opcionalmente, el componente de conformador de haces de transmisión del módulo 3102 de conformador de haces puede comprender impulsores de generador de impulsos de alto voltaje para los 256 elementos del ejemplo de distribución, y un mecanismo de conmutación que se conecta un subconjunto de generadores de forma de onda de transmisión a los apropiados elementos de impulsores/distribución. Esta realización opcional utiliza 256 conmutadores TX/RX para la protección de entradas del receptor con multiplexado de bajo nivel para seleccionar el subconjunto de elementos de la distribución para la abertura de recepción. El multiplexado de bajo nivel puede, opcionalmente, ser combinado con los conmutadores TX/RX y en algunos casos tiene menos atenuación de las señales de recepción y más rápida conmutación, cuando se compara con un esquema MUX de alto voltaje.
Pueden utilizarse retrasos de transmisión de 1/16 de longitud de onda y proporcionar un adecuado enfoque y reducción de lóbulos laterales en el haz de transmisión. Para un control deseado de la dirección y el enfoque, el máximo tiempo de retraso, si se mide en longitudes de onda, puede ser por lo menos 0,7 veces la mayor abertura de transmisión. Por ejemplo, con 128 transmisores y un espaciamiento de distribución de 1,5 longitudes de onda, la abertura más grande es de 192 longitudes de onda. Con una frecuencia central de 20 MHz, el máximo de tiempo de retraso puede ser por lo menos 6,72 microsegundos.
Para una precisión de 1/16 de longitud de onda, la mayor frecuencia central de interés especifica la resolución de retraso. A 50 MHz, esto da una precisión retraso de 1,25 ns, que utiliza el equivalente a un reloj de 800 MHz y un contador de 13 bits para alcanzar el tiempo de retraso de 6,72 !s. Opcionalmente, en lugar de un reloj de alta frecuencia se puede utilizar un reloj en cuatro fases a 200 MHz. Esto permitiría seleccionar un retraso específico de transmisión al seleccionar una de las cuatro fases del reloj de 200 MHz como entrada a un contador de 11 bits, que está precargado con el número de relojes de 200 MHz en el tiempo de retraso.
El componente de conformador de haces de transmisión del módulo 3102 de conformador de haces comprende además un generador de impulsos bi-polar de transmisión. Este tipo de unidad generadora de impulsos se especifica típicamente con tres parámetros: T1, que es una frecuencia de transmisión (duración de medio ciclo); T2, que es un medio ciclo en el tiempo (duración de impulso de medio ciclo positivo o negativo); y T3, que es una duración de impulso (número de impulsos en medio ciclo en la transmisión total). Estas duraciones se muestran en la FIG. 32.
El control de la duración de impulso de medio ciclo, T2, permite una mayor aproximación a una unidad de onda sinusoidal, con una mejor salida del transductor. También se puede utilizar para obtener un poco de apodización en crudo de la energía impulsos de transmisión, siempre que se proporcione el suficientemente control fino de la duración.
Se puede utilizar apodización de transmisión para reducir lóbulos falsos en el haz de transmisión, que pueden ser lóbulos laterales o lóbulos de emparrillado. La apodización de la abertura de transmisión tiene como resultado la reducción de potencia de salida y peor resolución lateral, por lo que no siempre es deseable. A menudo, una pequeña cantidad de capacidad de apodización, tal como el suministro de sólo unos cuantos niveles de potencia de salida, es suficiente para lograr un buen compromiso entre reducción de lóbulos falsos y la resolución lateral. El esquema de modulación de anchura de impulso antes mencionado para generación de forma de onda de transmisión es una posible forma de proporcionar una limitada apodización de transmisión. Un segundo método consiste en proporcionar no uno, sino posiblemente cuatro o más niveles de alto voltaje para los impulsores de generador de impulsos, con unos medios para seleccionar uno de estos niveles en cada generador de impulsos.
Conformador�de��aces�de�recepcion
El módulo 3102 de conformador de haces también comprende un componente de conformador de haces de recepción. Hay varias implementaciones diferentes de conformación de haces de recepción que se pueden utilizar en el ejemplo de sistema. Los métodos digitales explicados más adelante tienen por lo menos un convertidor A/D para cada elemento de la abertura de recepción. En este ejemplo de realización, la profundidad de bits del convertidor A/D es de 10 bits, que da la precisión deseada de conformación de haces en niveles de señal de -50 dB. El intervalo dinámico A/D se elige para reducir lóbulos falsos y proporcionar de este modo una resolución de contraste según se desee. Se pueden utilizar convertidores A/D de ocho bits si procede. Ejemplos de sistema utilizan 64 canales de recepción, combinados utilizan abertura sintética para implementar una abertura de recepción
de 128 canales para aplicaciones en las que no se necesita la máxima velocidad de tramas. Un método opcional para implementación de conformación de haces digital de recepción muestrea las señales de ultrasonidos de los elementos individuales a una velocidad que es por lo menos dos veces más alta que la mayor frecuencia en la señal (a menudo denominada velocidad Nyquist). Por ejemplo, con un transductor de 50MHz de ancho de banda al 100% la velocidad de muestreo Nyquist es de 150 MHz o más.
Muestreo�de�anc�o�de canda
Otro método de muestreo opcional para el componente de conformador de haces de recepción del módulo 3102 de conformador de haces es el muestreo de ancho de banda. Teoría del muestreo, tal como conoce un experto en la técnica, permite que si una función continua sólo contiene frecuencias dentro de un ancho de banda, B Hercios, es completamente determinado por su valor en una serie de puntos espaciados a menos de 1/(2*B) segundos de distancia. El muestreo de una señal de banda limitada tiene como resultado varias copias del espectro de señal apareciendo en una relación fija con el espectro de muestreo. Siempre que estos espectros replica no se superpongan, es posible reconstruir la señal original a partir de los datos con defecto de muestreo. Por ejemplo, considere una señal con un ancho de banda máximo de 20 MHz centrado en 30 MHz, y muestreado a una velocidad de 40 MHz. En esta situación, el espectro se replica como se muestra en la FIG. 32. El espectro original se replica en la parte de 0 - 20 MHz del espectro de frecuencias (que también se ve reflejado a aproximadamente la frecuencia fs/2, pero esto puede considerarse en su posterior procesamiento de señal), donde la velocidad de muestreo de 40 MHz es adecuada para preservar toda la información en la señal.
La FIG. 33 ilustra el muestreo de ancho de banda de espectro de señal de 30 MHz, que puede ser utilizado en una realización del componente de conformador de haces de recepción del módulo 3102 de conformador de haces. El muestreo del espectro de señal de la FIG. 33 que utiliza muestreo Nyquist normal requiere una velocidad de muestreo de 80 MHz o superior. Utilizando el muestreo de ancho de banda a 3/4 de la longitud de onda, como se describe más arriba, las frecuencias centrales de transductor de hasta 60 MHz pueden ser gestionadas con convertidores A/D de 80 mega muestras por segundo (MSPS) de 10 bits, que son conocidos en la técnica y están disponibles en varios proveedores. En el ejemplo anterior, el espectro de frecuencia no tenía componentes de frecuencia fuera de la región de ancho de banda de 20 MHz (el 66,7 % de la frecuencia central). En la práctica, un espectro de transductor a menudo tiene faldas que pueden extenderse más allá de la región del 66,7 % del ancho de banda, creando espectros superpuestos y reconstrucción inexacta de señal. Estas faldas se pueden tratar utilizando un filtro anti-alias de paso de banda antes del convertidor A/D que mantiene la potencia en las faldas espectrales más allá de los límites del ancho de banda en un nivel deseado, tal como un 5-10%.
Muestreo�en �cuadratura
Otra forma de muestreo de ancho de banda, conocido como muestreo en cuadratura, se puede usar opcionalmente en un ejemplo del componente de conformador de haces de recepción del módulo 3102 de conformador de haces. En este método de muestreo, se toman dos muestras en fase de 90° con respecto a la frecuencia central. Estas muestras se pueden repetir en un intervalo que es coherente con el ancho de banda de la señal. Por ejemplo, si las muestras en cuadratura se toman en cada período de la frecuencia central, la velocidad de muestreo soporta una señal de ancho de banda del 100%. Los pares de muestras resultantes del muestreo en cuadratura no son en realidad un par complementario, dado que las muestras se toman en momentos diferentes, sin embargo, son muestras verdaderas de la forma de onda analítica, y se pueden encontrar muestras concurrentes en cuadratura interpolando las muestras de las dos formas de onda I y Q muestreadas al mismo punto en el tiempo. El muestreo en cuadratura puede implementarse con un convertidor de alta velocidad de muestreo que muestrea a cuatro veces la frecuencia central o con dos convertidores de frecuencia inferior que funcionan a la frecuencia central pero con relojes que difieren en fase 90° con respecto a la frecuencia central
Muestreo�N�quist
Opcionalmente, en el conformador de haces de recepción se puede utilizar otra forma de muestreo. Esta forma de muestreo es muestreo Nyquist combinado con muestreo de ancho de banda. El muestreo Nyquist normal se utiliza para inferiores frecuencias centrales de transductor y muestreo de ancho de banda para las frecuencias más altas. Hay disponibles en el mercado convertidores A/D de 10 bit con máximas velocidades de muestreo de 105 MSPS. Con esta capacidad de velocidad de muestreo, un transductor de frecuencia central de 30 MHz con ancho de banda del 100% puede ser muestreado adecuadamente a velocidades Nyquist. Puede utilizarse muestreo Nyquist a 40 MHz para transductores con anchos de banda de hasta aproximadamente un 60%, por lo tanto, para esta frecuencia central o superior, se puede utilizar muestreo de ancho de banda. Si se utilizan estas velocidades de muestreo más altas, los circuitos de procesamiento de conformador de haces también se adaptan a las mayores velocidades de reloj y al aumento de las necesidades de almacenamiento.
Se puede utilizar una variación de muestreo en cuadratura para proporcionar una capacidad de conformación de haces de mayor ancho de banda para aquellas aplicaciones que puedan beneficiarse de ello (por ejemplo, obtención de imágenes de forma armónica). En este método, se pueden adquirir dos pares de muestras en cuadratura por cada ciclo de la frecuencia central. Por ejemplo, considere el muestreo de una señal que tiene una frecuencia central de 30 MHz y significativo contenido espectral por encima de ancho de banda del 100%, de tal manera que el
espectro se extiende a frecuencias inferiores a 15 MHz y/o superiores a 45 MHz. Se pueden utilizar dos convertidores A/D por canal para adquirir la señal de RF en ese canal, cada uno muestrea periódicamente a dos veces la frecuencia central, 60 MHz. El reloj de muestreo del segundo convertidor A/D se retrasa un % del período de la frecuencia central de 30 MHz relativa al reloj de muestreo del primer convertidor A/D. Cada segunda muestra adquirida por los convertidores A/D se multiplicará por -1. El flujo de muestras procedentes del primer convertidor A/D será entonces el flujo de muestras convertido en descenso en cuadratura (Q), y el procedente del segundo convertidor A/D se convierte en el flujo de muestras convertido en descenso en fase (I). El retraso fino necesario para conformación de haces de recepción puede ser implementado por interpolación de las muestras en cuadratura. Este método permite el muestreo preciso de la señal RF sobre ancho de banda del 200% de la frecuencia central.
En un método alternativo para proporcionar una capacidad de conformación de haces de mayor ancho de banda que requiere un convertidor A/D por canal de recepción, la salida de RF del conformador de haces puede formarse utilizando dos impulsos de adquisición, similar a un enfoque de abertura sintética. Por ejemplo, considerando un espectro de señal de 30 MHz, con ancho de banda del 100%, por lo que el espectro de -6dB va de 15 a 45 MHz. En este caso, la señal puede ser muestreada a una velocidad de muestreo de 60 MHz y, el signo de cada muestra alterna puede ser cambiado, para proporcionar un flujo de muestras convertidas en descenso que puede tomarse como el canal Q de un esquema de conversión descendente en cuadratura. En la próxima adquisición, el reloj de muestreo se retrasa 1/4 del período de 30 MHz, proporcionando (después voltear el signo de muestras alternas) la forma de onda en cuadratura I. Estas dos formas de onda en cuadratura a continuación, se desplazan en el tiempo y se combinan después de la conformación de haces para reconstruir una señal de RF que es precisa para ancho de banda del 200% de la frecuencia central de 30 MHz. Esto es adecuado para capturar toda la información desde un transductor de ultrasonidos con ancho de banda del 100%. La velocidad de tramas se reduce a la mitad en comparación con una adquisición de línea de rayo de un solo impulso. Se pueden conseguir mayores velocidades de tramas en una región de interés al reducir el número de líneas de la imagen.
En el ejemplo de la FIG. 31, la implementación de retraso de conformador de haces se realiza utilizando el método de interpolación. En este planteamiento de conformación de haces, los convertidores A/D muestrean todos al mismo tiempo, con una velocidad constante de muestras (utilizando muestreo de ancho de banda o en cuadratura). Los retrasos para la dirección y el enfoque dinámico se implementarán en dos etapas: 1) una etapa de retraso grueso que implementa un retraso que es un número entero de ciclos de reloj de muestreo y 2) un filtro de interpolación que interpola a 1/16 de la longitud de onda posiciones de tiempo entre las muestras gruesas. La etapa de retraso grueso realiza la función de un registro de desplazamiento programable, cuya longitud máxima es equivalente al tiempo de retraso máximo deseado en periodos de muestreo. El orden de estas dos etapas se puede invertir si se desea, dependiendo de consideraciones acerca de la implementación.
La interpolación de muestreo de ancho de banda se puede describir con el siguiente ejemplo. Para un ejemplo de distribución de 30 MHz que utiliza muestreo de ancho de banda, la velocidad de muestreo de todos los convertidores A/D se puede establecer en 40 MHz, que proporciona un ancho de banda del 66,7%. Con 128 canales de recepción, se desea un retraso máximo de aproximadamente 10 microsegundos, de este modo la implementación utiliza un registro de desplazamiento programable de aproximadamente de 400 etapas. A 40 MHz, los interpoladores programables sólo necesitan calcular uno de once valores intermedios de muestra (para una precisión de 1/16 de longitud de onda), espaciados igualmente entre muestras adyacentes de 40 MHz. Los interpoladores pueden ser diseñados específicamente para el muestreo de ancho de banda para proporcionar reconstrucción precisa de señal. Se pueden tomar muestras de la salida de todos los interpoladores de canales, y se suman para producir la forma de onda de RF muestreada para la dirección deseada con conformación de haces.
El proceso de reconstrucción de señal para interpolar entre puntos de datos muestreados por ancho de banda se simplifica para el ejemplo de distribución de 30 MHz dado anteriormente. En este caso, cada muestra impar puede ser tomada como muestras del componente Q de la representación de banda base en cuadratura de la señal (con signo alterno), mientras que las muestras pares pueden ser consideradas muestras del componente I. Se puede utilizar un simple interpolador de banda limitada para buscar valores de señal I y Q en el punto de tiempo intermedio apropiado, que luego se pueden combinar para reconstruir el valor de RF. Si se desea, todos los puntos de datos muestreados por ancho de banda pueden ser convertidos en descenso por los filtros de interpolación, lo que se traduce en una salida de conformador de haces muestreada en cuadratura de banda base, que puede simplificar el procesamiento de señal aguas abajo.
La interpolación de muestreo en cuadratura se puede describir con el siguiente ejemplo. En este ejemplo, las señales de entrada de cada canal se supone que están muestreadas en cuadratura, con un par en cuadratura por ciclo de la frecuencia central del transductor, proporcionando un ancho de banda de entrada del 100% en torno a la frecuencia central. Las dos muestras del par se toman con una diferencia de fase de 90 grados con respecto a la frecuencia central, que proporciona muestras reales de las señales de banda base Q e I, pero las formas de onda se muestrean en diferentes puntos del tiempo. Antes de que se pueden combinar los datos de Q e I, esta desviación de muestreo se corrige utilizando filtros de interpolación. La interpolación necesaria para corregir las desviaciones de muestras se pueden incorporar opcionalmente en los filtros de interpolación utilizados para la conformación de haces.
Dado que el método de muestreo en cuadratura propuesto genera señales I y Q de banda base, los filtros de interpolación están funcionando en esas señales, en lugar de las formas de onda de RF. Las muestras para todos los canales se toman al mismo tiempo, lo que conduce a formas de onda I y Q con la misma fase relativa a una forma de onda RF común para a todos los canales. Esto es equivalente a utilizar mezcladores en todos los canales para derivar señales I o Q, en los que la frecuencia de portadora para los mezcladores tienen la misma fase. . Sin embargo, el sumatorio correcto de las muestras I y Q de diferentes canales puede proporcionarse mediante el ajuste de la fase de portadora de cada canal para coincidir con la fase de las formas de onda retrasadas de eco. Esto equivale a una rotación de fase de las muestras I, Q interpoladas según el punto de interpolación con respecto a fase de 0 grados del período de frecuencia central RF. Esta rotación también se puede incorporar en coeficientes de un filtro de interpolación FIR, para producir una salida corregida de I y Q de cada canal que se puede sumar de manera coherente.
Como forma de explicación del método de conformación de haces con interpolación de muestreo en cuadratura, se puede considerar en primer lugar un modelo conceptual simple, en lugar de una implementación real. En este modelo, la interpolación se implementará en 16 puntos independientes durante el período de la frecuencia central, proporcionando precisión de 1/16 de longitud de onda para conformación de haces. Este nivel de precisión ha demostrado ser suficiente para proporcionar una degradación de perfiles de haces que no es significativa. Considerando una forma de onda muestreada en cuadratura como se muestra en la FIG. 34, la señal es una onda sinusoidal cuya frecuencia es 0,9 veces la frecuencia de muestreo (que es, por ejemplo, 1 Hz en este ejemplo). Las muestras Q se muestran como ‘o’ de 3402, mientras que las muestras I se muestran como ‘x’ 3404. Como puede verse en la figura, las muestras Q e I son muestras de formas de onda que cambian mucho más despacio, que representan formas de onda Q e I de banda base. Los filtros de interpolación funcionan sobre estas formas de onda, para calcular 16 puntos de interpolación por cada periodo de la frecuencia de muestreo.
Haciendo referencia a la FIG. 34, que muestra una onda sinusoidal muestreada en cuadratura a 0,9 veces la frecuencia de muestreo. Los puntos de interpolación se eligen de modo que los valores reales de muestras no caigan en un punto de interpolación. Esto permite que la función de filtro inherente en el filtro de interpolación se aplique a todos los puntos. Las posiciones de los 16 puntos interpolados con respecto a los puntos de muestreo Q e I se muestra en la FIG. 34A.
Típicamente, un filtro FIR de cuatro puntos es suficiente para una interpolación precisa. Para interpolar los puntos 03, entre muestras Q e I, se puede utilizar una ventana de ocho muestras, como se muestra en la FIG. 34B.
Para interpolar los puntos 4-15, la ventana se mueve hacia adelante una muestra, tal como se muestra en la FIG. 34C.
Utilizando estas ventanas, puede calcularse un conjunto de ocho coeficientes para cada posición de interpolación, que cuando se multiplica veces los valores de muestra en la ventana, se producen los valores interpolados de I y Q. En el caso de la primera ventana, el valor interpolado I sería la suma de los productos con números pares (0, 2, 4, 6) mientras que los valores Q serían la suma de los productos con números impares (1, 3, 5, 7). En el caso de la segunda ventana, los valores I y Q serían al revés.
La FIG. 35 es un trazado de los valores interpolados para el ejemplo de onda sinusoidal que se da en la FIG. 34 por la onda sinusoidal de la FIG. 34. En la figura, los puntos interpolados se muestran como las líneas de puntos y empiezan después del cuarto punto de muestreo, que es la primera posición en la que se puede aplicar una ventana (en este caso, ventana # 2).
La FIG. 36 es una ilustración de un conjunto de datos para la adquisición de una única línea de rayo de información de eco de una distribución lineal, que consiste en señales muestreadas en cuadratura de cada uno de los elementos de un transductor en un intervalo de profundidad. Este conjunto de datos puede verse como una distribución con profundidad 3602 a lo largo de un eje y número de canal 3604 a lo largo del otro. Para reconstruir un solo punto de intervalo a lo largo de la línea de rayo de los datos establecidos anteriormente, una ventana de ocho muestras se coloca en cada fila de datos de canal en el número de muestras apropiado, que corresponde a la profundidad, y se eligen 16 puntos de interpolación que proporcionan el retraso necesario. Como se muestra en la FIG. 36, las diversas ventanas de canales están situados a lo largo de un arco parabólico 3606, que corresponde a la curvatura del enfoque necesario para reconstruir el punto de intervalo. Los parámetros de conformación de haces para el punto de intervalo se definen luego al proporcionar un número de muestras de partida y número de interpolación para cada canal incluido en la abertura.
Después de aplicar los filtros de interpolación apropiados para cada uno de los datos de canal que se muestran más arriba, y la muestra I y Q se obtiene para cada canal que corresponde al retraso para el punto de intervalo. Como ya se ha descrito anteriormente en esta memoria, estos pares de muestras I y Q no se pueden sumar simplemente para derivar un par I, Q conformado en haces, ya que la fase de la muestra I, Q de cada canal es diferente. Antes de que los pares I, Q de cada canal se pueden sumar, cada par I, Q de canal se encuentra rotado en fase a la correspondiente misma fase con respecto al tiempo de retraso implementado. Por ejemplo, si dos canales están recibiendo un retorno de eco, en el que la diferencia de longitud de recorrido al punto de intervalo corresponde a exactamente a ½ de longitud de onda de la frecuencia del eco, y estos retornos de echo se muestrean al mismo
tiempo por nuestro esquema de muestreo en cuadratura, las muestras caerán en diferentes puntos de las señales de RF, y las formas de onda I, Q resultantes serán desfasadas 180 grados. Esta situación se ilustra en las FIGS. 37A y 37B, en las que los puntos de reconstrucción en las formas de onda de los dos canales están indicados por las líneas verticales. Cuando los valores I y Q en el punto de reconstrucción a partir de las formas de onda de los dos canales se suman en el conformador de haces deben agregarse constructivamente, sin embargo, es evidente que los valores son muy diferentes y no se agregarán constructivamente. Para sumar los dos valores I y Q primero se debe realizar una rotación de vector. La cantidad de rotación se calcula mediante la determinación de la distancia del punto de reconstrucción desde el inicio de un período de muestreo, que es de hecho el punto de interpolación un número de veces 1/16 de la longitud de onda (más 1/32 del periodo, para ser precisos). Esta distancia puede ser convertida en un ángulo tomando la fracción del período total y multiplicando por 2*pi. Las ecuaciones de rotación se dan entonces a continuación:
(1)
Qr = I*sen(ángulo) + Q*cos(ángulo)
(2)
Ir = I*cos(ángulo) - Q*sin(ángulo)
Utilizando estas ecuaciones de rotación en las muestras I y Q interpoladas se permite que la rotación de I y Q se sumen de manera coherente. La rotación de las muestras I y Q puede ser incorporada en los 8 coeficientes para la interpolación. Por ejemplo, cuando se utiliza la primera ventana de interpolación, en la que las muestras pares son las muestras I, el sen(ángulo) en la ecuación (1), anterior, puede multiplicarse por cada uno de los coeficientes de I, y el término cos(ángulo) ser multiplicado por cada uno de los coeficientes de Q. El filtro FIR resultante proporciona entonces el valor Q rotado, cuando todos los términos de productos se añaden juntos. Similarmente, se puede utilizar otro conjunto de coeficientes para calcular el valor I rotado. En este esquema, el filtro FIR funciona dos veces por período de muestra, utilizando diferentes coeficientes, para producir un flujo de salida de valores I y Q rotados. Este flujo puede sumarse con el flujo de valores I y Q rotados de otros canales para producir la salida de conformador de haces, que en este caso son datos I, Q intercalados que representan RF convertida en descenso sumada. Como alternativa, la interpolación de los valores Q e I puede implementarse con filtros FIR independientes, cada uno con 4 coeficientes. En este esquema, la rotación de fase se implementa en una etapa siguiente a la interpolación.
El esquema de muestreo en el que se adquieren dos pares en cuadratura por cada período de la frecuencia central también requiere una rotación de fase después de la interpolación de las muestras en cuadratura. En el esquema, se pueden utilizar dos convertidores A/D por canal para adquirir la señal de RF en ese canal, cada uno muestrea periódicamente a dos veces la frecuencia central. El reloj de muestreo del segundo convertidor A/D está retrasado con respecto al reloj de muestreo del primer convertidor A/D en ¼ del período de la frecuencia central. Cada segunda muestra adquirida por los convertidores A/D se multiplicará por -1. Los valores interpolados pueden calcularse para 16 puntos separados durante el período de la frecuencia central, o para 8 puntos durante el período del reloj de muestreo. Los puntos de interpolación calculados en un lapso de dos períodos de reloj de muestreo pueden ser numerados de 0 a 15. La cantidad de rotación de fase necesaria es el número de puntos de interpolación multiplicado por 2*pi/16. Por ejemplo, cuando los puntos de interpolación se encuentran a 1/8 de período de reloj de muestreo después del inicio de los ciclos de reloj de muestreo con número impar, la cantidad de rotación de fase será 2*pi/16. Cuando los puntos de interpolación se encuentran a 1/8 de período de reloj de muestreo después del inicio de los ciclos de reloj de muestreo con número par, la cantidad de rotación de fase será 2*pi*(9/16). Los puntos de interpolación pueden ser desplazados 1/32 de la frecuencia central de modo que los valores reales de muestras no caigan en un punto de interpolación para asegurar que la función de filtro inherente en el filtro de interpolación sea aplicada a todos los puntos. Después de la rotación de fase, los valores pueden ser sumados para proporcionar la salida del conformador de haces. La amplitud de la envolvente de la salida de señal recibida desde un conformador de haces en cuadratura puede determinarse calculando la raíz cuadrada de la suma de los cuadrados de las muestras I y Q. A continuación puede aplicarse una curva de compresión a los valores de amplitud de envolvente. El procesamiento Doppler puede utilizar el flujo de muestras I y Q sumadas directamente para derivar las estimaciones de frecuencia Doppler y/o calcular datos espectrales FFT.
A continuación se describe una posible implementación de filtros de interpolación que funcionan en muestras en cuadratura. En un ejemplo los filtros de interpolación y la lógica de control pueden implementarse con un dispositivo de FPGA. Como se ha indicado anteriormente haciendo referencia a la FIG. 31, la implementación de retraso de conformador de haces se puede realizar utilizando el método de interpolación. Un diagrama de alto nivel de una implementación de retrasos se muestra en la FIG.25. Este diagrama muestra las funciones después de la conversión A-a-D para un solo canal de conformador de haces. Las salidas de los dos convertidores A/D son multiplexadas en un único flujo de muestras con una velocidad constante de dos veces la frecuencia central. Para convertidores A/D de 10 bits, se tiene una serie de muestras de 10 bits provenientes de los convertidores A/D, con la primera muestra designada como una muestra Q, seguida de la muestra I del par en cuadratura. Este flujo es la entrada a la RAM de dos puertos 2502 que se muestra en la FIG. 25:
Al inicio de una línea de adquisición, un puntero de escritura 2504 y un puntero de lectura 2506 en la RAM de dos puertos se restablecen a la parte superior de la RAM 2502. A medida que viene cada nueva muestra, la muestra se escribe en la RAM 2502 en la dirección del puntero de escritura 2504, que luego se hace avanzar a la siguiente
posición secuencial. Cuando el puntero de escritura 2504 llega al final de la RAM 2502, vuelve al principio de la RAM 2504 para la próxima operación de escritura. La RAM de doble puerto 2502 es suficientemente grande para almacenar muestras para el retraso máximo necesario para la dirección y enfoque necesarios para la línea de adquisición.
El lado de entrada de la RAM de dos puertos 2502, con la escritura de cada nueva muestra y el posterior incremento del puntero de escritura 2504, no necesita un único mecanismo de control de canal, dado que todos los canales pueden escribir sus datos de entrada exactamente al mismo tiempo y en la misma dirección. El lado de salida de la RAM de doble puerto 2502 utiliza control independiente de canales. La FIG. 26 Ilustra un mecanismo para implementar las señales de control necesarias para un solo canal. En el ejemplo de la FIG. 26, una dirección de RAM 2602 de control se incrementa a la velocidad de reloj de muestreo de entrada (2X la frecuencia central, Fc). La RAM 2602 proporciona entonces una salida registrada 2604 en la que cada bit proporciona una señal de control independiente.
Volviendo a la FIG. 25, se muestra y describe cómo se implementan en un ejemplo los retrasos de recepción. Para ecos que regresan de un punto situado a lo largo de la línea central de la abertura de recepción, el eco aparece por primera vez en las señales del elemento o elementos más cerca del centro de la abertura, luego más tarde en los elementos cerca de la parte exterior de la abertura. Esto significa que para alinear los ecos en las señales del centro y la orilla exterior de la abertura, las señales del centro se pueden retrasar un periodo de tiempo antes de que se puedan sumar con las señales de la orilla exterior. En el ejemplo de RAM de dos puertos 2502, se consiguen retrasos más largos dejando que el punto de lectura 2506 se rezague más atrás del punto de escritura 2504. Por lo tanto, el canal central en la abertura tendrá la mayor diferencia entre punto de lectura 2506 y punto de escritura 2504, mientras que los canales exteriores tendrán la menor diferencia.
Para enfoque dinámico, el punto focal se mueve hacia afuera a lo largo de la línea de recepción a la mitad de la velocidad del sonido, de modo que el punto focal siempre está en la ubicación del eco que se está recibiendo. Para una abertura constante, cuando el punto focal se mueve fuera del intervalo, disminuye el retraso entre los canales centrales y exteriores de la abertura. Con abertura dinámica, o funcionamiento con número f constante (es decir, la longitud focal dividida por el tamaño de la abertura), el retraso entre canales interiores y exteriores aumenta hasta que se llega a la abertura máxima, entonces el retraso disminuye.
Con abertura dinámica y enfoque dinámico con esquema de retrasos en RAM de dos puertos, se produce el siguiente funcionamiento de los punteros 2504, 2506 de la RAM de dos puertos: El canal central se retrasa la máxima cantidad de retraso (la cantidad de la abertura total) al dejar que el puntero de escritura 2504 se mueva delante del puntero de lectura 2506 hasta que se consigue el retraso. En ese momento, el puntero de lectura 2506 se mueve adelante a la misma velocidad que el puntero de escritura 2504. Se establece un retraso inicial de canal exterior al dejar que el puntero de escritura 2504 se mueva delante del puntero de lectura 2506 la cantidad apropiada. Esta desviación de retraso inicial puede ser menor que la desviación de los punteros de lectura 2506 y de escritura 2504 del canal central. En este punto, el puntero de lectura 2506 se mueve por delante a la misma velocidad que el puntero de escritura 2504 hasta que el canal se activa en la abertura.
Después de que un canal se activa en la abertura, su retraso aumenta gradualmente con el tiempo para acercarse al del canal central. Esto se consigue no moviendo ocasionalmente el puntero de lectura 2506 por delante cuando el puntero de escritura 2504 se mueve. Esto aumenta la desviación entre el puntero de lectura 2506 y el de escritura 2504 gradualmente con el tiempo.
La operación anterior puede ser dirigida con sólo dos señales binarias de control de estado como se muestra en la FIG. 26A. La primera señal es una habilitación de avance (RPE) de puntero de lectura 2600, que permite que el puntero de lectura 2506 avance simultáneamente con el puntero de escritura 2504. Cuando esta señal es verdad en el momento de reloj de muestreo de Fc * 2, el puntero de escritura se avanza después de que los datos se escriban en la RAM de dos puertos 2502, y el puntero de lectura 2506 se avanza al mismo tiempo. Cuando la señal es falsa, el puntero de escritura 2504 se avanza después de una operación de escritura, pero el puntero de lectura 2502 permanece igual. La señal de control EPR 2606, 2606a se utiliza no sólo para establecer el retraso inicial de un canal, sino también para implementar los retrasos gruesos de enfoque dinámico.
La segunda señal de control (CE) 2608, 2608a simplemente especifica cuando la salida del canal pasa a ser activa, por lo que participa en el sumatorio de todos los canales activos. Esto puede lograrse mediante la señal CE 2608, 2608a que controla la entrada ‘clara’ del registro de salida final de los interpoladores. El canal se activa en la abertura según cuando su patrón de sensibilidad de elemento le permite recibir los ecos de retorno con menos de una cantidad de umbral de atenuación. Este tiempo debe ser coherente con el tiempo de retraso inicial implementado por la primera señal de control. Cabe señalar que la señal CE 2608 especifica el tiempo durante el que un canal se activa en términos del número de muestras en cuadratura desde el inicio de la línea de adquisición. Esto se debe a que cuando un canal participa primero en la suma de canales, debe contribuir con un par en cuadratura. En el caso de reloj de muestreo de Fc * 2, hay dos relojes para cada par de muestras en cuadratura.
La FIG. 26 ilustra las señales de control que podrían aparecer para un elemento central (2606 y 2608), y un elemento en la orilla exterior (2606a y 2608a) de la abertura total. Sin embargo, con un número par de
canales/elementos, no hay ningún elemento central real, dado que el centro de la abertura se encuentra entre dos elementos.
Para el canal central, RPE 2606 se mantiene bajo para el tiempo de retraso máximo necesario. Esto permite al punto de escritura 2504 avanzar mientras que el punto de lectura 2506 permanece quieto. Después de que se alcanza el tiempo de retraso, RPE 2606 se establece alto (verdad) para permitir que el puntero de lectura 2506 avance a la misma velocidad que el puntero de escritura 2504. Dado que no se necesita un enfoque dinámico para el canal central, RPE 2606 sigue siendo alto para el resto de la línea de adquisición. La señal 2608 de canal central CE lleva al canal a ser activo poco después de que se llega al tiempo de retraso. La desviación es para permitir que se llene el registro de desplazamiento y el registro utilizado para el filtro de interpolación. La señal CE 2608, a continuación, elimina el claro en el registro de salida de modo que los datos de canal pueden entrar al bus de sumatorio.
Para el canal exterior, RPE 2606a se mantiene bajo sólo durante un corto período de tiempo, ya que su retraso inicial es mucho más corto que el canal central. Entonces, RPE 2606a se establece alto, permitiendo que este retraso sea mantenido hasta que el canal se activa. En ese momento, la señal 2606a de EPR se establece baja para un solo ciclo de reloj en ocasiones para implementar el patrón de enfoque dinámico. La señal CE 2608a elimina el claro en el registro de salida cuando el canal puede participar en el sumatorio.
Volviendo a la FIG. 25, los filtros de interpolación proporcionan la resolución de retraso fino para conformación de haces. Hay 16 puntos de interpolación por longitud de onda de la frecuencia central, proporcionando una resolución de retraso de 1/16 lambda. Para cada punto de interpolación, se aplican dos filtros FIR de ocho puntos - uno para generar la muestra I de señal analítica, y el otro para generar la muestra Q. Esto significa que el filtro de interpolación funciona dos veces por cada periodo de la frecuencia central, o a la misma velocidad de Clk de muestra (Fc * 2). Las muestras I y Q se envían en sucesión hacia el registro de salida, que si está habilitado, introduce las muestras en el bus de sumatorio.
La entrada para los filtros de interpolación proviene de la dirección de lectura la de la RAM de dos puertos 2502, que típicamente avanza una muestra (I o P) para cada Clk de muestra (Fc * 2). Cuando se realiza una lectura de la RAM de doble puerto 2502, la muestra es introducida en un registro 2508 de desplazamiento de ocho muestras, que contiene las últimas ocho muestras leídas. Si la operación de lectura de la RAM de dos puertos 2502 no está habilitada (RPE baja), entonces no hay datos que entren al registro de desplazamiento 2508, y el puntero de lectura 2506 no se avanza. El registro de desplazamiento 2508 todavía contiene las últimas ocho muestras, y ninguna de las muestras se pierde cuando el puntero de lectura 2506 no avanza; el puntero de lectura 2506 simplemente cae aún más por debajo del puntero de escritura.
Cada dos ciclos de reloj de muestreo, las muestras en el registro de desplazamiento 2508 se transfieren en paralelo a las entradas de los multiplicadores 2510 de filtro de interpolación. Permanecen allí para las dos operaciones de multiplicar/acumular que generan las salidas I y Q. Cuando no se está produciendo ningún enfoque dinámico, las muestras movidas a las entradas de multiplicador se desplazan hacia adelante en el tiempo dos muestras para cada período de frecuencia central. El filtro luego produce una muestra I y Q para cada periodo de la frecuencia central. Con enfoque dinámico, en ocasiones el ciclo de lectura de la RAM de dos puertos está inhabilitado, y las muestras movidas a las entradas del multiplicador se desplazan hacia delante sólo una muestra. Esto permite que el punto de interpolación avance para moverse hacia delante en el tiempo menos de un período completo de la frecuencia central. Con enfoque dinámico en un canal exterior, el punto de interpolación se está moviendo gradualmente hacia atrás en el tiempo, hacia el mismo tiempo que el canal central.
Los coeficientes utilizados por los filtros de interpolación se almacenan en una pequeña RAM 2512, que puede ser cargada por la CPU del sistema. La RAM 2512 puede contener 32 conjuntos de coeficientes, 16 para el punto de interpolación I y 16 para el punto de interpolación Q. Los coeficientes son seleccionados por cinco líneas de direcciones, cuatro de las cuales son líneas de control que provienen de la RAM de control 2602. Estas cuatro líneas deben proporcionar una dirección nueva en cada reloj alterno de muestra (Fc*2). La otra línea selecciona el conjunto de coeficientes I o Q para el punto de interpolación escogido, y puede ser alternado con el funcionamiento del filtro, produciendo una muestra I y Q cada periodo de la frecuencia central. Por último, el registro de salida 2514 para el filtro de interpolación contiene las muestras de salida antes de que entren en el bus de sumatorio. Esta entrada clara del registro es controlada por la línea de control CE. Esto permite que un canal sea inhabilitado de contribuir al bus de suma hasta que la salida de la interpolación sea válida.
Otra forma de implementar los filtros de interpolación, rotación de fase y apodización dinámica se muestra en la FIG. 25B. En esta figura, todos elementos digitales de circuito en el cuadro superior 2520 que requieren un reloj se sincronizan con el reloj frecuencia de recepción. Todos elementos digitales de circuito en el cuadro inferior 2522 que requieren un reloj se sincronizan al doble del reloj de frecuencia de recepción. Los datos de entrada I/Q desde los convertidores analógico a digital (ADC) 2524, 2526 se escriben en FIFO independientes 2528, 2530. La salida de muestras de los ADC 2524, 2526 pueden someterse a una corrección de desviación en la que se añade un valor predeterminado constante. Las muestras de la salida de la etapa 2524, 2526 de corrección de desviación del ADC se almacenan simultáneamente en los FIFO 2528, 2530, por lo que la escritura de la nueva muestra en los FIFO no requiere lógica separada en el tiempo. Todos los canales comparten las mismas señales de habilitación de escritura.
El lado de lectura del FIFO de cada canal I y Q 2528, 2530 utiliza señales independientes de habilitación de lectura 2532, 2534, controladas por señales de retraso de recepción generadas por el Controlador de Conformador de haces.
El inicio de las señales de habilitación de lectura 2532, 2534 de cada FIFO se retrasa un número de ciclos de reloj de recepción igual al valor de retraso grueso inicial 2536 necesario para cada canal. Si la señal de habilitación de lectura 2532, 2534 se mantiene baja mientras se escriben datos en la FIFO 2528, 2530, se suspenderá la lectura fuera de la FIFO y aumentará el retraso grueso 2536. Cuando la señal de habilitación de lectura 2532, 2534 va a alta, el retraso grueso 2536 que es aplicado permanece constante. Para alinear los ecos de las señales del centro y de la orilla externa de la abertura, las señales del centro se retrasarán un período de tiempo antes de que se puedan sumar con las señales de la orilla exterior. El valor de retraso para los datos muestreados en el centro de la abertura es mayor que el de las orillas exteriores.
El enfoque dinámico de recepción requiere una señal de control DF 2538 que va a alta cuando debe ser cambiado el índice 2540 de filtro de interpolación. El índice 2540 de filtro de interpolación es un número de módulo 16 que va de 0 a 15. El índice 2540 de filtro de interpolación disminuirá cuando el punto de interpolación se haya desplazado 1/16 de longitud de onda. Cuando el índice 2540 de filtro de interpolación disminuye de 0 a 15, la señal 2532, 2534 de habilitación de lectura FIFO, irá a bajo para un ciclo de reloj, para aumentar el retraso grueso 2536 en uno.
El retraso fino es implementado por interpolación. En este ejemplo, los filtros de interpolación se implementan como filtros FIR sistólicos con 4 etapas 2542, 2544, 2546, 2548. Hay 16 conjuntos de coeficientes para 16 puntos de interpolación. Cada punto de interpolación tiene 4 coeficientes 2550, 2552, 2554, 2556. Intercalando las muestras I y Q y haciendo funcionar el filtro a dos veces la frecuencia de reloj de recepción, puede utilizarse el mismo filtro de interpolación tanto para muestras I como Q. Se utilizan diferentes conjuntos de coeficientes para la interpolación I y Q, dado que las muestras I y Q adquiridas por los ADC se muestrean en diferentes puntos del tiempo pero se interpolan en el mismo punto en el tiempo. Para corregir la desviación de muestreo, el índice de filtro de interpolación para las muestras Q estará desviado del de las muestras por 4. Los coeficientes utilizados en el filtro de interpolación pueden alternar entre coeficientes I y coeficientes Q mediante conmutación de la dirección de la RAM 2558 que almacena los coeficientes. Los índices de filtro de interpolación están representados por los contadores 2560 de dirección para los coeficientes. Los contadores 2560 de dirección para los coeficientes I y Q disminuyen en uno cuando la señal DF 2538 va a alta para un ciclo de reloj. La salida del filtro de interpolación 2560 es I/Q intercalada.
Las señales interpoladas se introducen en la etapa 2564, 2566 de rotación de fase que se muestra en la figura 25B. Hay dos elementos de multiplicadores/acumulador en el circuito de rotación de fase. Uno se utiliza para generar Qr = I*sen(ángulo) + Q*cos(ángulo) 2568 y otro para generar Qr = I*cos(ángulo) - Q*sen(ángulo) 2570. Los coeficientes seno y coseno se almacenan en la RAM como tablas de búsqueda 2572, 2574. Hay 16 conjuntos de valores de seno y coseno. Las direcciones de las tablas de búsqueda (LUT) de coseno y seno 2572, 2574 se actualizan al mismo tiempo que los coeficientes 2550, 2552, 2554, 2556 de filtro de interpolación. El circuito 2564, 2566 de rotación de fase también funciona a dos veces la frecuencia central. Cada segundo ciclo de funcionamiento produce un par de datos válidos Ir y Qr.
Para apodización dinámica, las salidas de la rotación de fase 2568, 2570 se multiplican por un factor que cambia dinámicamente durante la recepción. Además si el factor de multiplicación en un canal se establece en cero, el canal no contribuye a la abertura. De esta manera, se consigue actualización de abertura dinámica. Las muestras I y Q se intercalan a través de un multiplexor (MUX) 2572 a un multiplicador común, lo que reduce los recursos necesarios de multiplicador.
�ormacion�de ��aces�multi-lnnea�con�filtros�de�interpolacion
El uso de filtros de interpolación para conformación de haces permite exploración multi-línea. En exploración multilínea, se reconstruyen varias líneas de recepción en el mismo haz de transmisión, como se muestra en la FIG. 38. El haz de transmisión es típicamente ampliado con una gran profundidad de campo para cubrir la región en la que se adquirirán las líneas de recepción.
Dado que las líneas adyacentes de exploración de recepción en una exploración multi-línea sólo tienen pequeños cambios en los retrasos individuales para cada canal, la implementación de retraso de filtro de interpolación permite que todas las líneas sean procesadas simultáneamente. Este método funciona con muestreo de ancho de banda, en el que los filtros de interpolación pueden hacerse funcionar a una velocidad superior a la velocidad de muestreo, como se muestra en el ejemplo de implementación conceptual de método de filtro de interpolación para un canal individual en la FIG. 39.
En la FIG. 39, las muestras digitales desde un convertidor A/D de canal de recepción individual son enviadas a través de un registro 3902 de desplazamiento de longitud variable para implementar un retraso grueso de un número entero de muestras. La salida del registro 3902 de desplazamiento de longitud variable se envía a continuación a un segundo registro de desplazamiento 3904, en el que se puede acceder a etapas de desplazamiento individuales. Cuando se llena este segundo registro 3904 de desplazamiento, el filtro de interpolación puede funcionar en un
subconjunto de muestras, que para el ejemplo que se muestra es de ocho muestras. El filtro de interpolación proporciona el retraso fino para 1/16 de longitud de onda o mejor resolución. En el ejemplo anterior, el filtro de interpolación proporciona una muestra interpolada entre las celdas 4 y 5 del registro de desplazamiento de filtro.
Para exploración multi-línea 3-1, como se muestra en la FIG. 40, el filtro de interpolación se hace funcionar tres veces para cada desplazamiento de muestra. En el ejemplo de la FIG. 40, la ventana de filtro es desviada de la posición nominal una muestra hacia atrás para la primera línea de recepción, y una muestra hacia delante para la tercera línea de recepción. En realidad, puede ser menor que la diferencia de muestra en los valores de retraso para las líneas adyacentes, lo que requiere que todas las líneas utilicen la misma ventana de filtro. La posición de las ventanas de filtro para cada línea es programable. En las situaciones en las que las diferencias de retraso son mayores que una muestra, el registro de desplazamiento de filtro puede ser ampliado para permitir una mayor separación entre ventanas. Para muestreo de ancho de banda, en el que sólo hay una o dos muestras por longitud de onda, las ventanas no necesitarían a menudo estar separadas por más de un período de muestreo.
La salida de las operaciones del filtro, como se muestra en la FIG. 40, es multiplexada en el tiempo en un único flujo de salida. Este flujo se suma con las contribuciones de otros canales para producir la salida del conformador de haces. Cabe señalar que para multi-línea 3-1 el circuito de sumatorio es capaz de funcionar a tres veces la velocidad de muestreo. La salida de sumatorio del conformador de haces puede entonces ser demultiplexada para generar las tres líneas de recepción multi-línea para el procesamiento aguas abajo. El procesamiento aguas abajo es capaz de procesar tres líneas en el momento de adquisición de una única línea de rayo.
En los ejemplos de métodos de conformación de haces descritos anteriormente, la salida es un flujo de datos digitales de muestras que representan los datos muestreados de RF a lo largo de una línea de reconstrucción. Este flujo se deriva sumando las muestras de datos de todos los canales de recepción que participan en la abertura activa de recepción. El flujo de datos de RF puede ser capturado en un búfer con suficiente espacio de almacenamiento para contener una línea entera. Este mismo búfer puede ser usado para adquisiciones de abertura sintética, que puede sumarse con los datos de RF de la segunda mitad de la abertura de recepción, cuando sale del circuito de sumatorio.
Para esquemas de muestreo Nyquist o de ancho de banda sin conversión descendente, el flujo de datos de RF sumados sale del conformador de haces como flujo de RF sin procesar. Este flujo de datos se puede convertir a un formato diferente utilizando un par de filtros complementarios con una diferencia de fase de 90 grados, a menudo denominados filtros de transformación Hilbert. Estos filtros hacen un paso-banda efectivo de la señal de RF y la convierten en descenso al mismo tiempo que los flujos de datos en cuadratura de banda base. Estos flujos de datos I y Q de banda base pueden combinarse para proporcionar datos de amplitud de eco para obtención de imágenes en 2D, o ser procesados aún más para detección Doppler de flujo sanguíneo. Los filtros de transformación Hilbert también se pueden utilizar para filtrar selectivamente y procesar una parte del espectro de señal recibida, puesto que es necesario para la obtención de imágenes armónicas, o composición de frecuencias. En el caso de composición de frecuencias, los filtros pueden ser multiplexados en el tiempo para producir muestras de salida intercaladas a partir de distintas bandas de frecuencia del espectro.
Volviendo nuevamente a la FIG. 31, el módulo 3102 de conformador de haces también puede comprender un control de conformador de haces. Para organizar los eventos para formar una trama completa de imagen, el conformador de haces utiliza algún tipo de controlador. El controlador puede ser implementado como una simple máquina de estado, que especifica una serie de eventos de conformador de haces. Cada evento de conformador de haces puede especificar una acción de transmisión, una acción de recepción y/o una acción de procesamiento de señal. Las acciones de transmisión especifican todos los parámetros asociados a los impulsos de transmisión a partir de la distribución. Estos incluyen la duración de la conexión de los generadores de impulsos a los elementos deseados dentro de la distribución, los tiempos de retraso de cada generador de impulsos, las características de forma de onda de transmisión y la función de apodización de abertura de transmisión. Las acciones de recepción especifican todos los parámetros asociados con la recepción y conformación de haces de los ecos recibidos. Estos incluyen las especificaciones de los elementos conectados a los canales de recepción, el TGC onda utilizadas para cada canal, el convertidor A/D velocidades de muestreo, y la abertura dinámica, la dirección y/o patrones de enfoque dinámico para ser utilizados en el proceso de reconstrucción. Por último, las acciones de procesamiento de señales especifican qué hacer con la salida de sumatorio, tal como el almacenamiento en búfer de ella para la abertura sintética o el envío a los filtros de transformación Hilbert. Los filtros de transformación Hilbert son específicos para realizar cualquier acción que sea necesaria para el evento de conformador de haces.
Como se desprende de la descripción anterior, el control del proceso de conformación de haces puede ser complejo y un método para manejar esta complejidad es codificar toda la información antes de la exploración en tiempo real en bloques de memoria utilizados para controlar el hardware. La tarea del controlador de conformador de haces se reduce después a ‘apuntar’ a la parte apropiada del bloque de memoria para recuperar la información necesaria para un evento de conformador de haces. La configuración del conformador de haces para un modo específico de funcionamiento se logra entonces por carga de todos los bloques de memoria con información de parámetros, luego se programan los diversos eventos de conformador de haces con sus respectivos punteros en la máquina de estado del controlador. Para realizar el modo de exploración se le dice al controlador que ejecute y pase a través de los
eventos para toda una tramas de datos de adquisición. Al final de la trama, el controlador busca una señal de parada, y si no se encuentra ninguna, repite otra vez toda la secuencia.
Un ejemplo del sistema de ultrasonidos es capaz de muy altas velocidades de adquisición de tramas en algunos modos de funcionamiento, en el intervalo de varios cientos de tramas por segundo o más. Al igual que con otras realizaciones según la presente invención, unos ejemplos de realizaciones procesan información de imágenes de ultrasonidos expuestas a 30 fps o menos, incluso si las velocidades de adquisición son muy superiores mediante el uso de procesamiento asíncrono como se describe haciendo referencia a la FIG. 28. Se apreciará, sin embargo, que para datos muestreados por Nyquist, el almacenamiento se aumenta en un 50 - 100%.
También como se describió anteriormente, el hardware/software de procesamiento de señal tiene acceso aleatorio a los búfer de memoria de RF, y accede a los datos de RF desde una única trama de adquisición para producir los datos estimados expuestos. En este ejemplo, la máxima velocidad de tramas para el procesamiento de señales y exposición es de 30 fps, que típicamente es establecida por un temporizador, que señala a la tarea de procesamiento de señales cada 1/30 de segundo. Cuando se ha completado el procesamiento de una nueva trama de exposición, la tarea de procesamiento/exposición de señal espera a la próxima a 1/30 de una marca de segundo. En ese momento, la tarea de procesamiento de señales lee el puntero de ‘última trama’ del Controlador de Escritura para ver si hay disponible una nueva trama. Si el puntero ‘última trama’ no ha avanzado desde la trama procesada anteriormente, el procesamiento de señal no hace nada, y espera a la siguiente a 1/30 de una marca de segundo. Si el puntero ‘última trama’ ha cambiado, el procesamiento de señal comienza en la trama indicada por el puntero. De esta manera, el procesamiento de señales siempre comienza en una marca de 1/30 de segundo, y siempre trabaja en la trama adquirida más recientemente. Si la adquisición está en marcha mucho más rápida que 30 fps, a continuación, el puntero de ‘última trama’ hará avanzar varias tramas con cada acción de procesamiento de señal.
Después de que el sistema se ha puesto en congelación, las tramas de RF almacenadas en el búfer de memoria pueden ser procesadas con cualquier velocidad deseada, hasta la velocidad de adquisición original. Uno simplemente calcula cuántas tramas de RF avanzar en 1/1730 de un segundo, que se calcula como un valor de coma flotante que puede variar desde una fracción de menos de una a varias tramas como ocurrió en 1/30 de segundo durante la adquisición en tiempo real. Con cada 1/30 de marca de segundo, el procesamiento de señales acumula el valor de avance de trama, hasta que se cruza un límite de entero. En ese momento, el procesamiento de señal procesa la trama que es un número entero de límite de tramas por delante de la última trama que procesó.
La conformación de haces de abertura sintética también está soportada por este esquema de búfer de memoria. En este caso, las varias líneas que conforman la abertura sintética se adquieren en el búfer de memoria secuencialmente, de modo que aumenta el tamaño de una trama de almacenamiento RF. Esto es simplemente un parámetro diferente para el Controlador de Escritura, que hace un seguimiento de cuántas líneas son escritas por trama de adquisición. Para lectura, el procesado de señal combina a continuación las múltiples líneas de RF en una abertura sintética para producir el resultado final.
Los datos de RF para reproducción cineloop también permiten el volver a procesar los datos de diferentes maneras, lanzando nueva información. Por ejemplo, los filtros para obtención de imágenes por flujo de color se pueden cambiar durante la reproducción, lo que permite la optimización de las condiciones específicas del flujo. En segundo lugar, para el investigador que quiera trabajar con datos de RF, la memoria búfer puede volcarse en un dispositivo de almacenamiento externo, proporcionando múltiples tramas de datos de RF para un análisis. Finalmente, como herramienta de diagnóstico, la memoria de búfer se puede cargar con los datos de prueba de RF desde la CPU, permitiendo la depuración, análisis y verificación de los métodos de procesamiento de señales.
Para el método de conformación de haces de muestreo Nyquist, los datos muestreados en cuadratura convertidos en descenso son derivados de los datos de RF para la detección de amplitud y procesamiento Doppler. Esto puede obtenerse con los filtros complementarios FIR de fase que están diseñados para tener una diferencia de fase de 90 grados en las frecuencias en la banda de paso. Estos filtros también pueden convertir en descenso el flujo de muestras a una menor velocidad de muestreo, siempre que la velocidad de muestreo de salida todavía sea suficiente para muestrear el intervalo de frecuencias en la señal. Para proporcionar muestras de salidas convertidas en descenso, los filtros funcionan sobre datos de RF que están desplazados un número entero de ciclos de la frecuencia central del espectro. Como alternativa, se pueden diseñar distintos filtros para números no enteros de cambios de ciclo para obtener relaciones de destrucción más pequeñas. Un diseño esquemático de un ejemplo de filtro Hilbert, como conoce un experto en la técnica, se muestra en FIG.41.
Los filtros se diseñan calculando primero un filtro de paso bajo diseñado utilizando un método de ventanas. La longitud del filtro debe ser alrededor de 40 etapas para asegurar una buena respuesta en un amplio intervalo de frecuencias, y debe ser un múltiplo del número de muestras en el período de la frecuencia central de los datos RF. Por ejemplo, si la velocidad de muestreo es de 120 MHz y la frecuencia central es de 30 MHz, hay 4 muestras en el período de la frecuencia central y una longitud apropiada de filtro sería 40 etapas (10 periodos). Los coeficientes de paso bajo se multiplican luego por una función de seno y coseno, cuya frecuencia coincide con la frecuencia central. En el ejemplo de 30 MHz, cada periodo de la función seno y coseno tiene 4 muestras.
Para obtener muestras convertidas en descenso, los filtros se aplican a las muestras que se desplazan un número entero de ciclos de la frecuencia central. En el caso de frecuencia central de 30MHz (muestreada a 120 MHz), las muestras de RF se cambian por 4 muestras a la vez, que lleva a una relación de destrucción de 4 a 1. Con esta relación de destrucción, la señal de entrada está restringida a un ancho de banda del 100%, de lo contrario se producirá un alias de las muestras de salida.
Para obtener relaciones más pequeñas de destrucción, los filtros pueden utilizar conjuntos de coeficientes alternativos para preservar la información de fase. En el ejemplo de 30 MHz, para lograr una relación de destrucción de 4 a 2, se utilizan dos juegos de coeficientes - uno para fase de 0 grados y otro para fase de 180 grados. Estos conjuntos alternativos de coeficiente se obtienen mediante el muestreo del seno y coseno en los incrementos apropiados de fase antes de multiplicar con los coeficientes de filtro de paso bajo. En este caso, en el que el cambio entre muestreadores de salida es fc el período de la frecuencia central, un método simple para proporcionar la relación de destrucción es dejar los coeficientes iguales, e invertir la señal del filtro de salida para incrementos de 1/2 de periodo.
Las características de banda de paso de los filtros se puede modificar utilizando funciones con ventanas diferentes. Esto puede ser deseable en aplicaciones tales como obtención de imágenes armónicas o filtros de seguimiento. El compuesto de frecuencia se puede lograr sin filtros adicionales para altas relaciones de destrucción, siempre que los filtros puedan funcionar con la velocidad de muestreo de entrada. Para el ejemplo de 30 MHz, se pueden utilizar dos filtros con diferentes frecuencias centrales que funcionan sobre datos de RF en dos incrementos de desplazamiento de muestras. El bloque de filtro envía un resultado diferente de filtro cada dos muestras. Las dos muestras intercaladas I, Q de los diferentes filtros se detectan luego y se suman juntas para producir una salida detectada destruida de 4 a 1.
Ejemplo�
Para obtener imágenes por ultrasonidos puede usarse un ejemplo de la interfaz del ejemplo de sistema con una distribución de hasta 256 elementos. La Tabla 4 muestra un ejemplo de intervalo de profundidad, campo de visión, velocidad de tramas en modo B y velocidad de tramas en obtención de imágenes por flujo de color (CFI) para adquirir imágenes. Estos parámetros de funcionamiento se pueden utilizar para la aplicación particular de obtención de imágenes de animales pequeños que se describe en la columna que se encuentra más a la izquierda. Como será claro para un experto en la técnica, sin embargo, se pueden utilizar otras combinaciones de parámetros de funcionamiento para obtener imágenes de otras estructuras anatómicas o partes de las mismas, tanto para pequeños animales como para seres humanos.
Se utiliza un animal pequeño y el animal es anestesiado y colocado sobre una plataforma climatizada para animales pequeños. Los electrodos de ECG se colocan sobre el animal para registrar la forma de onda del ECG. Una sonda de temperatura se coloca sobre el animal para registrar la temperatura. Los parámetros fisiológicos importantes de los animales se monitorizan de ese modo durante la obtención de imágenes. La anestesia utilizada puede ser por ejemplo gas isoflurano u anestésico adecuado. La región de la que se van a obtener imágenes es afeitada para eliminar el pelo. Antes de obtener imágenes, se coloca un gel conductor para ultrasonidos sobre la región de la que se van a obtener imágenes. La distribución de ultrasonidos se pone en contacto con el gel, de tal manera que el plano de exploración de la distribución se alinea con la región de interés. La obtención de imágenes puede llevarse a cabo “sin manos” o montando la distribución en un accesorio fijo para mantenerla estable.
Las velocidades de tramas en Modo B para los diferentes campos de visión se indican en la Tabla 4. Se pueden conseguir mayores velocidades de tramas con un reducido campo de visión. Las velocidades de tramas para obtención de imágenes por flujo de color (CFI) se estiman para las anchuras de cuadro de color indicado, con densidad de línea un medio que para Modo B, y con la imagen en Modo B adquirida al mismo tiempo.
Tabla 4
Ejemplo de Alcance de Profundidad, Campo de Visión en Modo B y Velocidad de Tramas en Obtención de Imágenes por Flujo de Color (CFI) para Adquirir Imágenes
Alcance de profundidad
Campo de visión de Modo B Anchura de Cuadro de Color Velocidad de tramas Modo B Trama I CFI
Cardiología de ratón Frecuencia central de 30 MHz
3-10 mm 12 mm 8 Por lo menos 180 fps Por lo menos fps
Ratón abdominal 30 MHz
2-12 mm 19,2 mm 12,8 Por lo menos 100 fps Por lo menos fps
Ratón, regiones poco profundas, Vascular Periférica 40-50 MHz
1-6 mm 12,8 mm 8 Por lo menos 190 fps Por lo menos fps
Cardiología de rata 20 MHz frecuencia central
5-20 mm 24 mm 20 Por lo menos 70 fps Por lo menos fps
Las velocidades sin alias mensurables con una PRF de 150 KHz, para diversas frecuencias centrales y ángulos se muestran en la Tabla 5 para Doppler de Onda por Impulsos (PW). Tabla 5 Velocidades sin alias mensurables con PRF de 150 KHz, para diversas frecuencias centrales y ángulos
Frecuencia central
Máxima velocidad sin alias, ángulo de 0° Máxima velocidad sin alias, ángulo de 30° Máxima velocidad sin alias, ángulo de 60°
20 MHz
2,89 m/s 3,34 m/s 5,77 m/s
30 MHz
1,93 m/s 2,22 m/s 3,85 m/s
40 MHz
1,44 m/s 1,66 m/s 2,89 m/s
50 MHz
1,16 m/s 1,33 m/s 2,31 m/s
10 Una frecuencia cardíaca de ratón puede ser tan alta como 500 latidos por minuto, o alrededor de 8 latidos por segundo. A medida que aumenta el número de tramas adquiridas por ciclo cardíaco, se puede acceder de manera más exacta al movimiento del corazón a través del ciclo cardiaco. La velocidad de tramas debe ser de ser por lo menos 10 tramas por ciclo cardíaco, y preferiblemente 20 para una mejor resolución temporal. Por lo tanto, en un ejemplo las tramas son adquiridas a una velocidad de por lo menos 160 tramas por segundo, con un campo de
15 visión lo suficientemente amplio como para incluir una visión del eje largo del corazón del ratón y a los tejidos circundantes (10-12 mm). Por ejemplo, utilizando una distribución lineal de 30 MHz, la velocidad de tramas para un campo de visión de 12 mm es de unas 180 tramas por segundo. Para campos de visión más pequeños, la velocidad de tramas utilizada es mayor; (p. ej., para un campo de visión de 2 mm, con la distribución lineal 30 MHz se pueden utilizar velocidades de tramas de más de 900 tramas por segundo para ver estructuras que se mueven rápido, tal
20 como una válvula del corazón).
Las velocidades máximas presentes en el sistema circulatorio del ratón (en la aorta) pueden ser de hasta 1 m/s en ratones adultos normales, pero en casos patológicos puede ser de hasta 4 y 5 m/s. Para adquirir y exponer señales Doppler PW sin alias desde la aorta del ratón, la frecuencia de repetición de impulsos (PRF) para Doppler PW debe ser relativamente alta. En el ejemplo de sistema, se utilizan PRF de modo de Doppler PW de hasta 150 KHz, que
25 para una frecuencia central de 30 MHz y un ángulo Doppler de 60°, permite la medición sin alias de velocidades de sangre de 3,8 m/s.
La velocidad de tramas para obtención de imágenes en Modo B está determinada por el tiempo de tránsito en dos sentidos de los ultrasonidos a la máxima profundidad en el tejido de los que se detectan las señales, el número de líneas por trama, el número de zonas focales de transmisión, el número de líneas procesadas para cada impulso de 30 transmisión y el tiempo de procesamiento de sobrecarga entre líneas y tramas. Las imágenes obtenidas con diferentes ubicaciones de las zonas focales de transmisión pueden ser “cosidas” juntas para mejorar la resolución de
la imagen a expensas de velocidad de tramas, que disminuirá por un factor igual al número de zonas. La selección de mayores o menores frecuencias centrales de transmisión para un aumento de la penetración, o el aumento de la resolución, ya sean seleccionables por el usuario o vinculadas automáticamente a la ubicación de zona focal de transmisión. El procesamiento multi-línea, que implica el procesamiento paralelo de líneas de ultrasonidos, se puede utilizar para aumentar la velocidad de tramas.
Las características de Doppler PW incluyen un intervalo de PRF de aproximadamente 500 Hz a aproximadamente 150 KHz, selección de frecuencia de transmisión alternativa, selección de posición y tamaño de puerta de intervalo, selección de corte de filtro de paso alto, y funcionamiento en modo dúplex en el que una imagen en tiempo real de Modo B se expone de forma simultánea con el modo Doppler PW, puede ser la misma que la frecuencia de transmisión utilizada en Modo B, o puede ser diferente. La capacidad para dirigir el haz Doppler PW, depende de la frecuencia y la separación de la distribución utilizada, y la direccionalidad de los elementos de la distribución, como apreciará un experto en la técnica. Para una distribución con una separación de 75 micrómetros y funcionando en modo de Doppler PW a una frecuencia de transmisión de 24 MHz, el haz puede ser dirigido hasta aproximadamente 20°. Para esta distribución, mayores ángulos de dirección tendrían como resultado lóbulos emparrillados inaceptablemente grandes, que contribuirían a la detección de señales artifactuales.
Se puede utilizar obtención de imágenes por flujo de color (CFI) para proporcionar estimaciones de la velocidad media del flujo dentro de una región de tejido. La región en la que se procesan datos de CFI se llama un “cuadro de color”. Los datos de Modo B son adquiridos usualmente casi simultáneamente con datos de Flujo de Color, intercalando líneas de Modo B con líneas de Flujo de Color. Los datos de Flujo de Color se pueden exponer como superposición en la trama de Modo B de tal manera que los dos conjuntos de datos estén alineados espacialmente. CFI incluye un intervalo de PRF desde aproximadamente 500 Hz a aproximadamente 25 a 75 KHz, dependiendo del tipo de distribución. Con frecuencia central de 40 MHz y ángulo de 0° entre eje de haz de ultrasonidos y vector de velocidad, la velocidad máxima sin alias será de unos 0,72 m/s. La dirección del haz puede depender de las características de la distribución, (específicamente la separación de elementos), la frecuencia de transmisión y las capacidades del conformador de haces, por ejemplo, la dirección puede no estar disponible en la frecuencia central primaria, pero puede estar disponible en una frecuencia central alternativa (inferior). . Para una distribución con una separación de 75 micrómetros y funcionando en modo CFI a una frecuencia de transmisión de 24 MHz, el haz puede ser dirigido hasta aproximadamente 20°. Los ángulos más grandes de dirección se traducirían en niveles inaceptables de lóbulos emparrillados. Las características de obtención de imágenes por flujo de color pueden incluir la selección de la posición y tamaño de la caja de color, selección de profundidad focal de transmisión, selección de frecuencia alternativa, selección de tamaño de puerta intervalo y selección del corte de filtro de paso alto. El Doppler de Potencia una variante de CFI que puede ser utilizada para proporcionar estimaciones de la potencia de la señal Doppler que surgen del tejido dentro de la caja de color. El modo Doppler tisular es una variante de CFI en la que se proporcionan estimaciones de velocidad media de tejido en movimiento. El procesamiento multi-línea es un método que puede aplicarse a los modos CFI, en el que se procesa más de una línea de datos de recepción para cada de impulso transmitido.
El conformador de haces puede ser capaz de soportar modos en los que los modos Doppler y de obtención de imágenes 2-D están activos casi simultáneamente, intercalando líneas de Modo B con líneas Doppler. La obtención de imágenes en 3D, tal como sabe un experto en la técnica, utiliza exploración mecánica en la dirección de elevación.

Claims (11)

  1. REIVINDICACIONES
    1. Un conformador de haces de transmisión digital (1605) para un sistema (1600) de obtención de imágenes por ultrasonidos con distribución, que comprende:
    una o más distribuciones de puertas programables en campo, FPGA, cada una con una frecuencia de reloj FPGA, fc de FPGA; y
    un convertidor de paralelo a serie (2212, 2213) que tiene una doble salida de velocidad de datos, en donde dicho conformador de haces de transmisión digital está configurado para transmitir una señal de ultrasonidos con una frecuencia central de transmisión en el intervalo de 15 MHz a 80 MHz con un tiempo de resolución de retraso [1/(2 x fc FPGA)] o menos y que comprende un impulso positivo de trasmisión y un impulso negativo de transmisión, mediante codificación digital de un retraso fino y una anchura de impulso positivo del impulso positivo de transmisión y un retraso fino y una anchura de impulso negativo del impulso negativo de transmisión en respectivas palabras (2202, 2203) de forma de onda, de tal manera que dicha anchura de impulso positivo y dicha anchura de impulso negativo son ajustables de manera independiente en incrementos del tiempo de resolución de retraso; y en donde las palabras de forma de onda son convertidas en una corriente de bits en serie por dicho convertidor de paralelo a serie para producir la señal de ultrasonidos.
  2. 2.
    El conformador de haces de transmisión digital (1605) de la reivindicación 1, en donde la frecuencia central de transmisión es ajustable.
  3. 3.
    El conformador de haces de transmisión digital (1605) de la reivindicación 1, en donde la señal de ultrasonidos transmitida comprende una serie de ciclos transmitidos, y dicho número de ciclos transmitidos es ajustable.
  4. 4.
    El conformador de haces de transmisión digital (1605) de la reivindicación 1, en donde el conformador de haces de transmisión digital está configurado para ser conectado funcionalmente con un transductor ultrasónico con distribución (1601) y dicho transductor ultrasónico con distribución se selecciona del grupo que consiste en un transductor con distribución lineal (2302), un transductor con distribución en fase, un transductor con distribución bidimensional y un transductor con distribución curvada.
  5. 5.
    El conformador de haces de transmisión digital (1605) de reivindicación 1, en donde la frecuencia central de transmisión es de 15 MHz hasta aproximadamente 55 MHz.
  6. 6.
    El conformador de haces de transmisión digital (1605) de la reivindicación 1, en donde dichas palabras (2202, 2203) de bits son palabras de hasta 16 bits.
  7. 7.
    El conformador de haces de transmisión digital (1605) de la reivindicación 1, en donde fc de FPGA es la frecuencia más alta de funcionamiento de la una o más FPGA.
  8. 8.
    Una unidad de procesamiento de señales (1620) para un sistema (1600) de obtención de imágenes por ultrasonidos con distribución, que comprende:
    un subsistema de conformador de haces de transmisión digital que comprende un conformador de haces de transmisión digital (1605) según cualquiera de las reivindicaciones 1 a 7;
    un subsistema (1603) de conformador de haces de recepción digital;
    un módulo (1602) de electrónica de extremo delantero;
    un módulo (1604) de control de conformador de haces;
    un módulo (1715) de procesamiento de señal; y
    una unidad informática (1717),
    en donde dicha unidad de procesamiento de señales está configurada para adquirir una señal de ultrasonidos recibida desde un transductor de ultrasonidos con distribución (1601) que tiene una pluralidad de elementos.
  9. 9.
    La unidad de procesamiento de señales (1620) de la reivindicación 8, en donde dicha señal transmitida de ultrasonidos comprende una pluralidad de secciones positivas de ciclo de media onda y cada sección positiva de ciclo de media onda comprende por lo menos uno de dichos impulsos positivos de transmisión y dicha señal transmitida de ultrasonidos comprende además una pluralidad de secciones negativas de ciclo de media onda y cada sección negativa de ciclo de media onda comprende por lo menos uno de dichos impulsos negativos de transmisión y cada impulso positivo de transmisión es ajustable en cuanto a la duración para cada sección positiva de ciclo de media onda y cada impulso negativo de transmisión es ajustable en cuanto a la duración para cada sección negativa de ciclo de media onda.
  10. 10.
    La unidad de procesamiento de señales (1620) de la reivindicación 9, en donde cada retraso fino de dicho por lo menos uno de dichos impulsos positivos de transmisión dentro de cada ciclo positivo de media onda es ajustable y cada retraso fino de dicho por lo menos uno de dichos impulsos negativos de transmisión dentro de cada ciclo negativo de media onda es ajustable.
    5 11. La unidad de procesamiento de señales (1620) de la reivindicación 8, 9 o 10, en donde dicho módulo (1602) de electrónica de extremo delantero se construye como un módulo de complemento sustituible.
  11. 12. Un sistema (1600) de obtención de imágenes por ultrasonidos, que comprende:
    un transductor ultrasónico con distribución (1601) que tiene una pluralidad de elementos para transmitir a un sujeto una señal de ultrasonidos a una frecuencia central de transmisión; y
    10 una unidad de procesamiento de señales (1620) según cualquiera de las reivindicaciones 8 a 11 conectada funcionalmente con dicho transductor ultrasónico con distribución,
    en donde dicha unidad de procesamiento de señales está adaptada para adquirir una señal recibida de ultrasonidos que tiene una frecuencia de por lo menos 15 MHz desde dicho transductor ultrasónico con distribución que tiene una pluralidad de elementos.
    15 13. El sistema (1600) de obtención de imágenes por ultrasonidos de la reivindicación 12, en donde dicho transductor ultrasónico con distribución (1601) se selecciona del grupo que consiste en un transductor con distribución lineal (2302), un transductor con distribución en fase, un transductor con distribución bidimensional y un transductor con distribución curvada.
ES06827417T 2005-11-02 2006-11-02 Conformador de haces de transmisión digital para un sistema transductor de ultrasonidos con distribución Active ES2402741T3 (es)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US73309105P 2005-11-02 2005-11-02
US73308905P 2005-11-02 2005-11-02
US733089P 2005-11-02
US733091P 2005-11-02
PCT/US2006/042891 WO2007056104A2 (en) 2005-11-02 2006-11-02 High frequency array ultrasound system

Publications (1)

Publication Number Publication Date
ES2402741T3 true ES2402741T3 (es) 2013-05-08

Family

ID=37865774

Family Applications (1)

Application Number Title Priority Date Filing Date
ES06827417T Active ES2402741T3 (es) 2005-11-02 2006-11-02 Conformador de haces de transmisión digital para un sistema transductor de ultrasonidos con distribución

Country Status (8)

Country Link
US (2) US7901358B2 (es)
EP (1) EP1952175B1 (es)
JP (4) JP5630958B2 (es)
CN (1) CN101351724B (es)
CA (2) CA2628100C (es)
ES (1) ES2402741T3 (es)
HK (1) HK1129243A1 (es)
WO (1) WO2007056104A2 (es)

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8213467B2 (en) * 2004-04-08 2012-07-03 Sonosite, Inc. Systems and methods providing ASICs for use in multiple applications
JP4805254B2 (ja) 2004-04-20 2011-11-02 ビジュアルソニックス インコーポレイテッド 配列された超音波トランスデューサ
WO2006044997A2 (en) * 2004-10-15 2006-04-27 The Trustees Of Columbia University In The City Of New York System and method for localized measurement and imaging of viscosity of tissues
US10687785B2 (en) 2005-05-12 2020-06-23 The Trustees Of Columbia Univeristy In The City Of New York System and method for electromechanical activation of arrhythmias
US8858441B2 (en) * 2005-05-12 2014-10-14 The Trustees Of Columbia University In The City Of New York System and method for electromechanical wave imaging of body structures
US10219815B2 (en) 2005-09-22 2019-03-05 The Regents Of The University Of Michigan Histotripsy for thrombolysis
JP5630958B2 (ja) 2005-11-02 2014-11-26 ビジュアルソニックス インコーポレイテッド 高周波数アレイ超音波システム
WO2007058895A2 (en) * 2005-11-11 2007-05-24 Visualsonics Inc. Overlay image contrast enhancement
WO2007067987A2 (en) * 2005-12-09 2007-06-14 The Trustees Of Columbia University In The City Ofnew York Systems and methods for elastography imaging
US7750536B2 (en) 2006-03-02 2010-07-06 Visualsonics Inc. High frequency ultrasonic transducer and matching layer comprising cyanoacrylate
WO2007134051A2 (en) * 2006-05-08 2007-11-22 The Penn State Research Foundation High frequency ultrasound transducers
US8529452B2 (en) 2006-06-02 2013-09-10 Sandra Donnelly Ultrasonic evaluation of venous structures
WO2008027520A2 (en) * 2006-08-30 2008-03-06 The Trustees Of Columbia University In The City Of New York Systems and methods for composite elastography and wave imaging
US9146313B2 (en) 2006-09-14 2015-09-29 Maui Imaging, Inc. Point source transmission and speed-of-sound correction using multi-aperature ultrasound imaging
WO2008051639A2 (en) 2006-10-25 2008-05-02 Maui Imaging, Inc. Method and apparatus to produce ultrasonic images using multiple apertures
US20080114251A1 (en) * 2006-11-10 2008-05-15 Penrith Corporation Transducer array imaging system
US8499634B2 (en) * 2006-11-10 2013-08-06 Siemens Medical Solutions Usa, Inc. Transducer array imaging system
US8490489B2 (en) 2006-11-10 2013-07-23 Siemens Medical Solutions Usa, Inc. Transducer array imaging system
US9295444B2 (en) 2006-11-10 2016-03-29 Siemens Medical Solutions Usa, Inc. Transducer array imaging system
US8312771B2 (en) 2006-11-10 2012-11-20 Siemens Medical Solutions Usa, Inc. Transducer array imaging system
CN101185580A (zh) * 2006-11-15 2008-05-28 深圳迈瑞生物医疗电子股份有限公司 超声诊断系统高速射频回波数据采集方法和装置
US8147409B2 (en) * 2007-03-29 2012-04-03 Supertex, Inc. Method and apparatus for transducer excitation in medical ultrasound imaging
US7673274B2 (en) * 2007-04-19 2010-03-02 L3 Communications Integrated Systems, LP Datapipe interpolation device
US7717154B2 (en) * 2007-06-22 2010-05-18 Li-Ming Cheng Window coverings
JP2009005802A (ja) * 2007-06-27 2009-01-15 Ge Medical Systems Global Technology Co Llc 超音波撮像装置
EP2015105B1 (en) * 2007-07-13 2011-06-08 eZono AG Opto-electrical ultrasound sensor and system
US20100256488A1 (en) * 2007-09-27 2010-10-07 University Of Southern California High frequency ultrasonic convex array transducers and tissue imaging
US9282945B2 (en) 2009-04-14 2016-03-15 Maui Imaging, Inc. Calibration of ultrasound probes
US9788813B2 (en) 2010-10-13 2017-10-17 Maui Imaging, Inc. Multiple aperture probe internal apparatus and cable assemblies
US9247926B2 (en) 2010-04-14 2016-02-02 Maui Imaging, Inc. Concave ultrasound transducers and 3D arrays
JP5555416B2 (ja) * 2007-10-25 2014-07-23 三星メディソン株式会社 超音波診断装置及びスキャンラインデータ形成方法
JP2009219794A (ja) * 2008-03-18 2009-10-01 Olympus Medical Systems Corp 超音波診断装置
WO2009129845A1 (en) 2008-04-22 2009-10-29 Ezono Ag Ultrasound imaging system and method for providing assistance in an ultrasound imaging system
WO2010014977A1 (en) * 2008-08-01 2010-02-04 The Trustees Of Columbia University In The City Of New York Systems and methods for matching and imaging tissue characteristics
JP5666446B2 (ja) 2008-08-08 2015-02-12 マウイ イマギング,インコーポレーテッド マルチアパーチャ方式の医用超音波技術を用いた画像形成方法及びアドオンシステムの同期方法
WO2010021709A1 (en) * 2008-08-18 2010-02-25 Pocketsonics, Inc. Front end circuitry for imaging systems and methods of use
WO2010030819A1 (en) 2008-09-10 2010-03-18 The Trustees Of Columbia University In The City Of New York Systems and methods for opening a tissue
US9184369B2 (en) 2008-09-18 2015-11-10 Fujifilm Sonosite, Inc. Methods for manufacturing ultrasound transducers and other components
US9173047B2 (en) 2008-09-18 2015-10-27 Fujifilm Sonosite, Inc. Methods for manufacturing ultrasound transducers and other components
JP5723775B2 (ja) * 2008-09-18 2015-05-27 ビジュアルソニックス インコーポレイテッド 超音波変換器および他の構成要素の製造方法
US20100171395A1 (en) * 2008-10-24 2010-07-08 University Of Southern California Curved ultrasonic array transducers
JP5514120B2 (ja) * 2008-11-14 2014-06-04 株式会社日立メディコ 超音波診断装置及び超音波画像生成方法
FR2938918B1 (fr) * 2008-11-21 2011-02-11 Commissariat Energie Atomique Procede et dispositif d'analyse acoustique de microporosites dans un materiau tel que le beton a l'aide d'une pluralite de transducteurs cmuts incorpores dans le materiau
US8676290B2 (en) 2010-05-11 2014-03-18 St. Jude Medical, Atrial Fibrillation Division, Inc. Multi-directional catheter control handle
US8556850B2 (en) 2008-12-31 2013-10-15 St. Jude Medical, Atrial Fibrillation Division, Inc. Shaft and handle for a catheter with independently-deflectable segments
US8781201B2 (en) * 2009-03-04 2014-07-15 Robert E. Sandstrom Method of operating a pathology laboratory
WO2010120907A2 (en) 2009-04-14 2010-10-21 Maui Imaging, Inc. Multiple aperture ultrasound array alignment fixture
EP2419023A4 (en) * 2009-04-14 2013-01-16 Maui Imaging Inc UNIVERSAL MEDICAL MULTIAPERTUR ULTRASOUND HEAD
EP2419020A4 (en) * 2009-04-17 2014-01-15 Visualsonics Inc METHOD FOR NONLINEAR IMAGING OF ULTRASONIC CONTRASTING AT HIGH FREQUENCIES
US8157738B2 (en) * 2009-06-02 2012-04-17 Samplify Systems, Inc. Ultrasound signal compression
CN101601594B (zh) * 2009-07-08 2012-01-18 汕头市超声仪器研究所有限公司 一种医用b超前端激励方法
EP2480144B1 (en) 2009-09-21 2024-03-06 The Trustees of Columbia University in the City of New York Systems for opening of a tissue barrier
US20120101384A1 (en) * 2009-09-28 2012-04-26 Panasonic Corporation Ultrasonic diagnostic device
TW201115409A (en) * 2009-10-29 2011-05-01 Hannspree Inc A mouse capable of generating vapor
US20130338498A1 (en) * 2009-11-02 2013-12-19 Board Of Regents, The University Of Texas System Catheter for Intravascular Ultrasound and Photoacoustic Imaging
US9649089B2 (en) * 2009-11-17 2017-05-16 B-K Medical Aps Portable ultrasound scanner and docking system
US8439840B1 (en) * 2010-05-04 2013-05-14 Sonosite, Inc. Ultrasound imaging system and method with automatic adjustment and/or multiple sample volumes
US9289147B2 (en) 2010-05-11 2016-03-22 St. Jude Medical, Atrial Fibrillation Division, Inc. Multi-directional flexible wire harness for medical devices
WO2011148275A1 (en) 2010-05-26 2011-12-01 Koninklijke Philips Electronics N.V. High volume rate 3d ultrasonic diagnostic imaging of the heart
WO2011148274A1 (en) * 2010-05-26 2011-12-01 Koninklijke Philips Electronics N.V. High volume rate 3d ultrasonic diagnostic imaging
EP3406299B1 (en) 2010-06-09 2021-08-04 Regents of the University of Minnesota Dual mode ultrasound transducer (dmut) system for controlling delivery of ultrasound therapy
WO2011163475A1 (en) * 2010-06-23 2011-12-29 Lyric Semiconductor, Inc. Ultrasound imaging with analog processing
US9513368B2 (en) * 2010-06-30 2016-12-06 General Electric Company Method and system for ultrasound data processing
CN101972154A (zh) * 2010-11-22 2011-02-16 中国医学科学院生物医学工程研究所 一种用于高频超声诊断设备中的超声波发射系统
CN102109601B (zh) * 2010-12-06 2013-07-10 王茂森 一种声纳相机
CN102551791B (zh) 2010-12-17 2016-04-27 深圳迈瑞生物医疗电子股份有限公司 一种超声成像方法和装置
WO2012142455A2 (en) 2011-04-14 2012-10-18 Regents Of The University Of Minnesota Vascular characterization using ultrasound imaging
US9320491B2 (en) 2011-04-18 2016-04-26 The Trustees Of Columbia University In The City Of New York Ultrasound devices methods and systems
USD726905S1 (en) 2011-05-11 2015-04-14 St. Jude Medical, Atrial Fibrillation Division, Inc. Control handle for a medical device
WO2012162664A1 (en) 2011-05-26 2012-11-29 The Trustees Of Columbia University In The City Of New York Systems and methods for opening of a tissue barrier in primates
US9775585B2 (en) * 2011-06-15 2017-10-03 Toshiba Medical Systems Corporation Variable power saving processing scheme for ultrasound beamformer functionality
CN103033807B (zh) * 2011-09-30 2014-12-10 中国科学院声学研究所 一种便携式超声成像系统接收前端装置
US20130093901A1 (en) * 2011-10-18 2013-04-18 Riverside Research Institute Synthetic-focusing strategies for real-time annular-array imaging
US20150196279A1 (en) * 2011-10-18 2015-07-16 Riverside Research Institute Synthetic-focusing strategies for real-time annular-array imaging
TWI440878B (zh) * 2011-10-27 2014-06-11 Ind Tech Res Inst 超音波接收模組、方法及系統
CA2852801C (en) 2011-10-28 2020-01-07 Decision Sciences International Corporation Spread spectrum coded waveforms in ultrasound imaging
JP6407719B2 (ja) 2011-12-01 2018-10-17 マウイ イマギング,インコーポレーテッド ピングベース及び多数開口ドップラー超音波を用いた運動の検出
KR101753178B1 (ko) * 2011-12-12 2017-07-03 수퍼 소닉 이매진 초음파 이미징 시스템 및 상기 초음파 이미징 시스템 내에 사용된 처리장치
DE102011088346B4 (de) 2011-12-13 2022-01-05 Robert Bosch Gmbh Vorrichtung zur Erfassung akustischer Signale sowie dazugehöriges Verfahren
US9265484B2 (en) 2011-12-29 2016-02-23 Maui Imaging, Inc. M-mode ultrasound imaging of arbitrary paths
JP6438769B2 (ja) 2012-02-21 2018-12-19 マウイ イマギング,インコーポレーテッド 多数開口超音波を用いた物質の硬度の決定
CN103284753B (zh) * 2012-02-22 2015-12-09 香港理工大学 超声波成像系统及成像方法
US20130242493A1 (en) * 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
CN104203110B (zh) 2012-03-26 2017-06-06 毛伊图像公司 用于通过应用加权因子来提高超声图像品质的系统和方法
EP2809236B1 (en) * 2012-04-09 2019-12-11 St. Jude Medical Atrial Fibrillation Division, Inc. System comprising a medical device and a pair of wire harnesses
ES2525600B1 (es) * 2012-05-25 2015-11-06 Consejo Superior De Investigaciones Científicas (Csic) Método para el control en tiempo real del enfoque dinámico en sistemas de imagen ultrasónica y dispositivo calculador de adelanto de muestreo asociado al mismo
WO2014021402A1 (ja) * 2012-07-31 2014-02-06 株式会社東芝 超音波診断装置及び制御方法
KR102176193B1 (ko) 2012-08-10 2020-11-09 마우이 이미징, 인코포레이티드 다중 어퍼처 초음파 프로브들의 교정
KR102176319B1 (ko) 2012-08-21 2020-11-09 마우이 이미징, 인코포레이티드 초음파 이미징 시스템 메모리 아키텍처
WO2014059170A1 (en) 2012-10-10 2014-04-17 The Trustees Of Columbia University In The City Of New York Systems and methods for mechanical mapping of cardiac rhythm
CA2896513A1 (en) * 2012-12-28 2014-07-03 Volcano Corporation Synthetic aperture image reconstruction system in a patient interface module (pim)
US9717141B1 (en) * 2013-01-03 2017-07-25 St. Jude Medical, Atrial Fibrillation Division, Inc. Flexible printed circuit with removable testing portion
US9232933B2 (en) * 2013-02-01 2016-01-12 Kabushiki Kaisha Toshiba Transformer-based multiplexer for ultrasound imaging system and method
US9510806B2 (en) 2013-03-13 2016-12-06 Maui Imaging, Inc. Alignment of ultrasound transducer arrays and multiple aperture probe assembly
US20140276197A1 (en) * 2013-03-15 2014-09-18 Greer Laboratories, Inc. Apparatus and method for determining treatment endpoints for allergen testing
US9211110B2 (en) 2013-03-15 2015-12-15 The Regents Of The University Of Michigan Lung ventillation measurements using ultrasound
CN103175900B (zh) * 2013-03-19 2016-02-17 中国科学院声学研究所 一种相控阵无损探伤装置和系统
US9188664B2 (en) 2013-05-31 2015-11-17 eagleyemed, Inc. Ultrasound image enhancement and super-resolution
US9247921B2 (en) 2013-06-07 2016-02-02 The Trustees Of Columbia University In The City Of New York Systems and methods of high frame rate streaming for treatment monitoring
US11116474B2 (en) 2013-07-23 2021-09-14 Regents Of The University Of Minnesota Ultrasound image formation and/or reconstruction using multiple frequency waveforms
US10322178B2 (en) 2013-08-09 2019-06-18 The Trustees Of Columbia University In The City Of New York Systems and methods for targeted drug delivery
WO2015027164A1 (en) 2013-08-22 2015-02-26 The Regents Of The University Of Michigan Histotripsy using very short ultrasound pulses
US10028723B2 (en) 2013-09-03 2018-07-24 The Trustees Of Columbia University In The City Of New York Systems and methods for real-time, transcranial monitoring of blood-brain barrier opening
US9883848B2 (en) 2013-09-13 2018-02-06 Maui Imaging, Inc. Ultrasound imaging using apparent point-source transmit transducer
US9844359B2 (en) 2013-09-13 2017-12-19 Decision Sciences Medical Company, LLC Coherent spread-spectrum coded waveforms in synthetic aperture image formation
JP6223783B2 (ja) * 2013-11-07 2017-11-01 三菱日立パワーシステムズ株式会社 超音波探傷センサおよび超音波探傷方法
US20150374334A1 (en) * 2014-01-08 2015-12-31 QT Ultrasound LLC Quantitative transmission ultrasound imaging of dense anatomical structures
EP3116405A4 (en) 2014-03-12 2018-01-03 Fujifilm Sonosite, Inc. High frequency ultrasound transducer having an ultrasonic lens with integral central matching layer
CN104013439A (zh) * 2014-05-05 2014-09-03 苏州森斯凌传感技术有限公司 基于电压校准的超声波叠加检测系统
JP6722656B2 (ja) 2014-08-18 2020-07-15 マウイ イマギング,インコーポレーテッド ネットワークベース超音波イメージングシステム
US9945946B2 (en) 2014-09-11 2018-04-17 Microsoft Technology Licensing, Llc Ultrasonic depth imaging
CN107110959B (zh) * 2014-10-30 2021-05-18 皇家飞利浦有限公司 在形成超声图像中的压缩感测
US10548571B1 (en) 2014-11-21 2020-02-04 Ultrasee Corp Fast 2D blood flow velocity imaging
US10989810B2 (en) * 2015-01-23 2021-04-27 Dalhousie University Systems and methods for beamforming using variable sampling
KR102387708B1 (ko) 2015-01-30 2022-04-19 삼성메디슨 주식회사 향상된 hprf 도플러 영상을 위한 가이드를 제공하는 방법 및 초음파 시스템
KR20160097862A (ko) * 2015-02-10 2016-08-18 삼성전자주식회사 휴대용 초음파 장치 및 그 제어방법
JP6835744B2 (ja) 2015-02-25 2021-02-24 ディスィジョン サイエンシズ メディカル カンパニー,エルエルシー カプラントデバイス
EP3270790A4 (en) * 2015-03-18 2019-03-20 Decision Sciences Medical Company, LLC ULTRASONIC SYSTEM WITH SYNTHETIC APERTURE
TWI536015B (zh) * 2015-03-24 2016-06-01 佳世達科技股份有限公司 超音波掃描系統及超音波掃描方法
US9251781B1 (en) 2015-04-06 2016-02-02 King Saud University Pulser logic method and system for an ultrasound beamformer
US9995638B2 (en) * 2015-04-30 2018-06-12 National Instruments Corporation Cold-junction-compensated input terminal of a thermocouple instrument
US10020783B2 (en) 2015-07-01 2018-07-10 Bei Electronics Llc Class D amplifier using Fs/4 modulation and envelope tracking power supplies
EP3278718A4 (en) * 2015-08-07 2019-01-23 Olympus Corporation IMAGING DEVICE
US10413274B2 (en) * 2015-09-02 2019-09-17 Ningbo Marvoto Intelligent Technology Co., Ltd Method for controlling wireless intelligent ultrasound fetal imaging system
CN105030280B (zh) * 2015-09-02 2019-03-05 宁波美童智能科技有限公司 一种无线智能超声胎儿成像系统
KR20180095796A (ko) 2015-10-08 2018-08-28 디시전 사이선씨즈 메디컬 컴패니, 엘엘씨 음향 정형외과용 추적 시스템 및 방법들
US10813624B2 (en) 2015-10-30 2020-10-27 Carestream Health, Inc. Ultrasound display method
WO2017091632A1 (en) * 2015-11-25 2017-06-01 Fujifilm Sonosite, Inc. High frequency ultrasound transducer and method for manufacture
US11114603B2 (en) * 2015-11-25 2021-09-07 Fujifilm Sonosite, Inc. Medical instrument including high frequency ultrasound transducer array
WO2017132517A1 (en) 2016-01-27 2017-08-03 Maui Imaging, Inc. Ultrasound imaging with sparse array probes
US20170307755A1 (en) 2016-04-20 2017-10-26 YoR Labs Method and System for Determining Signal Direction
EP3446206B1 (en) * 2016-04-22 2022-05-11 Chirp Microsystems, Inc. Ultrasonic input device
US10132924B2 (en) * 2016-04-29 2018-11-20 R2Sonic, Llc Multimission and multispectral sonar
US10401492B2 (en) * 2016-05-31 2019-09-03 yoR Labs, Inc. Methods and systems for phased array returning wave front segmentation
CA3034741A1 (en) * 2016-09-28 2018-04-05 Covidien Lp System and method for parallelization of cpu and gpu processing for ultrasound imaging devices
CA3038573A1 (en) * 2016-09-29 2018-04-05 Exact Imaging Inc. Signal processing pathway for an ultrasonic imaging device
US11911217B2 (en) * 2016-10-03 2024-02-27 Koninklijke Philips N.V. Intraluminal imaging devices with a reduced number of signal channels
JP6822078B2 (ja) * 2016-11-08 2021-01-27 コニカミノルタ株式会社 超音波診断装置の制御装置、及び制御方法
US11419581B2 (en) 2016-11-14 2022-08-23 Koninklijke Philips N.V. Triple mode ultrasound imaging for anatomical, functional, and hemodynamical imaging
JP7090609B2 (ja) * 2016-11-17 2022-06-24 コーニンクレッカ フィリップス エヌ ヴェ ツインクリングアーチファクトを使用して腎臓結石を検出する超音波システム及び方法
EP3336485B1 (en) 2016-12-15 2020-09-23 Safran Landing Systems UK Limited Aircraft assembly including deflection sensor
CN110622034B (zh) * 2017-05-11 2023-10-20 皇家飞利浦有限公司 超声诊断图像中的混响伪影消除
JP6933016B2 (ja) * 2017-06-22 2021-09-08 コニカミノルタ株式会社 放射線画像撮影システム
EP3435116A1 (en) 2017-07-24 2019-01-30 Koninklijke Philips N.V. An ultrasound probe and processing method
CN109381218B (zh) * 2017-08-04 2021-08-20 香港理工大学深圳研究院 一种三维超声成像方法及装置
CN107566029B (zh) * 2017-08-28 2020-04-28 西南电子技术研究所(中国电子科技集团公司第十研究所) 空间网络按需接入系统
TWI743411B (zh) * 2017-11-08 2021-10-21 美商富士膠片索諾聲公司 具有高頻細節的超音波系統
US11458337B2 (en) 2017-11-28 2022-10-04 Regents Of The University Of Minnesota Adaptive refocusing of ultrasound transducer arrays using image data
EP3720349A4 (en) * 2017-12-04 2021-01-20 Bard Access Systems, Inc. SYSTEMS AND METHODS FOR VISUALIZATION OF ANATOMY, LOCATION OF MEDICAL DEVICES, OR POSITIONING OF MEDICAL DEVICES
CN110095778B (zh) * 2018-01-29 2021-05-28 中国石油天然气股份有限公司 储罐缺陷检测装置、系统及方法
US11596812B2 (en) 2018-04-06 2023-03-07 Regents Of The University Of Minnesota Wearable transcranial dual-mode ultrasound transducers for neuromodulation
CN108924353A (zh) * 2018-06-29 2018-11-30 努比亚技术有限公司 抗干扰方法、移动终端和计算机可读存储介质
CN108924955B (zh) * 2018-07-30 2021-12-14 山东大骋医疗科技有限公司 一种基于双链无线通信的ct数据传输与控制方法及装置
KR20200020183A (ko) * 2018-08-16 2020-02-26 삼성메디슨 주식회사 빔포밍 장치, 빔포밍 장치의 제어방법 및 초음파 진단 장치
CN111050060B (zh) 2018-10-12 2021-08-31 华为技术有限公司 一种应用于终端设备的对焦方法、装置和终端设备
AU2019389001A1 (en) 2018-11-28 2021-06-10 Histosonics, Inc. Histotripsy systems and methods
KR20210114497A (ko) * 2019-01-15 2021-09-23 엑소 이미징, 인크. 초음파 이미징 시스템용 합성 렌즈
CN109814110B (zh) * 2019-02-21 2022-05-17 哈尔滨工程大学 深海长基线定位阵形拓扑结构的布阵方法
TWI706641B (zh) * 2019-03-06 2020-10-01 奔騰智慧生醫股份有限公司 具備多線波束與微波束成形的系統架構與處理方法
US11464486B2 (en) 2019-03-19 2022-10-11 Shenzhen Mindray Bio-Medical Electronics Co., Ltd. Wireless transducer charging for handheld ultrasound systems
CN110037741B (zh) * 2019-04-08 2024-02-20 深圳市贝斯曼精密仪器有限公司 血流速度检测系统
WO2020219705A1 (en) 2019-04-23 2020-10-29 Allan Wegner Semi-rigid acoustic coupling articles for ultrasound diagnostic and treatment applications
US11032167B2 (en) * 2019-06-14 2021-06-08 Apple Inc. Precursor rejection filter
CN110327077B (zh) * 2019-07-09 2022-04-15 深圳开立生物医疗科技股份有限公司 一种血流显示方法、装置及超声设备和存储介质
WO2021020043A1 (ja) * 2019-07-26 2021-02-04 富士フイルム株式会社 超音波診断装置および超音波診断装置の制御方法
KR102335321B1 (ko) * 2019-12-10 2021-12-08 한국과학기술연구원 탈부착 가능한 회로보드를 이용하여 복수의 기능들을 구현하는 초음파 치료 및 진단 장치
WO2021155026A1 (en) 2020-01-28 2021-08-05 The Regents Of The University Of Michigan Systems and methods for histotripsy immunosensitization
US11493979B2 (en) * 2020-02-27 2022-11-08 Fujifilm Sonosite, Inc. Dynamic power reduction technique for ultrasound systems
US11547386B1 (en) 2020-04-02 2023-01-10 yoR Labs, Inc. Method and apparatus for multi-zone, multi-frequency ultrasound image reconstruction with sub-zone blending
US10877124B1 (en) * 2020-06-23 2020-12-29 Charles A Uzes System for receiving communications
CN111983629B (zh) * 2020-08-14 2024-03-26 西安应用光学研究所 一种线阵信号目标提取装置及提取方法
US11344281B2 (en) 2020-08-25 2022-05-31 yoR Labs, Inc. Ultrasound visual protocols
US11832991B2 (en) 2020-08-25 2023-12-05 yoR Labs, Inc. Automatic ultrasound feature detection
CN116685847A (zh) 2020-11-13 2023-09-01 决策科学医疗有限责任公司 用于对象的合成孔径超声成像的系统和方法
US11751850B2 (en) 2020-11-19 2023-09-12 yoR Labs, Inc. Ultrasound unified contrast and time gain compensation control
US11704142B2 (en) 2020-11-19 2023-07-18 yoR Labs, Inc. Computer application with built in training capability
US11504093B2 (en) 2021-01-22 2022-11-22 Exo Imaging, Inc. Equalization for matrix based line imagers for ultrasound imaging systems
US11683829B2 (en) * 2021-05-31 2023-06-20 Clarius Mobile Health Corp. Systems and methods for improving quality of service when transmitting ultrasound image data over a wireless connection
EP4351447A1 (en) * 2021-06-07 2024-04-17 The Regents of The University of Michigan All-in-one ultrasound systems and methods including histotripsy
WO2023039210A2 (en) * 2021-09-13 2023-03-16 Cloudstream Medical Imaging, Inc. Ultrasound imaging using focusing beams for reducing mechanical index and thermal index
WO2023075756A1 (en) * 2021-10-26 2023-05-04 Exo Imaging, Inc. Multi-transducer chip ultrasound device
US20230158438A1 (en) * 2021-11-22 2023-05-25 GE Precision Healthcare LLC Method and system for automatically cleaning air filters of a medical imaging system
WO2023239913A1 (en) * 2022-06-09 2023-12-14 Bfly Operations, Inc. Point of care ultrasound interface
CN115237308A (zh) * 2022-06-29 2022-10-25 青岛海信医疗设备股份有限公司 超声图像的放大方法及超声设备
US11881875B1 (en) 2022-08-25 2024-01-23 Stmicroelectronics S.R.L. Waveform generator using a waveform coding scheme for both long states and toggle states

Family Cites Families (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US53748A (en) 1866-04-03 Improved water-can for railroad-cars
US53653A (en) 1866-04-03 Improvement in harvester-rakes
US683168A (en) 1900-12-14 1901-09-24 William V Bleha Hat-hanger.
US683870A (en) 1901-01-17 1901-10-01 James O Wright Dredge-bucket.
US736232A (en) 1903-02-18 1903-08-11 Cambridge Mfg Company Golf-ball.
US2205169A (en) 1937-05-06 1940-06-18 Hallman Abram Signal structure
US3922572A (en) 1974-08-12 1975-11-25 Us Navy Electroacoustical transducer
US4217684A (en) 1979-04-16 1980-08-19 General Electric Company Fabrication of front surface matched ultrasonic transducer array
JPS584640Y2 (ja) 1979-11-02 1983-01-26 日立機電工業株式会社 多段式発酵槽に於ける各発酵室内の被発酵物落下状況検知装置
US4385255A (en) 1979-11-02 1983-05-24 Yokogawa Electric Works, Ltd. Linear array ultrasonic transducer
FR2485858B1 (fr) * 1980-06-25 1986-04-11 Commissariat Energie Atomique Procede de fabrication de transducteurs ultrasonores de formes complexes et application a l'obtention de transducteurs annulaires
US4360007A (en) 1980-08-05 1982-11-23 Yeda Research And Development Co., Ltd. Remote controlled magnetic actuator particularly for an implantable device like a valve
DE3301967A1 (de) * 1983-01-21 1984-07-26 Siemens AG, 1000 Berlin und 8000 München Ultraschallabbildungssystem
DE3435569A1 (de) 1984-09-27 1986-04-10 Siemens AG, 1000 Berlin und 8000 München Verfahren zur herstellung einer array-ultraschall-antenne
US4802099A (en) 1986-01-03 1989-01-31 International Business Machines Corporation Physical parameter balancing of circuit islands in integrated circuit wafers
US4809184A (en) * 1986-10-22 1989-02-28 General Electric Company Method and apparatus for fully digital beam formation in a phased array coherent imaging system
US4841977A (en) * 1987-05-26 1989-06-27 Inter Therapy, Inc. Ultra-thin acoustic transducer and balloon catheter using same in imaging array subassembly
DE3829999A1 (de) 1988-09-01 1990-03-15 Schering Ag Ultraschallverfahren und schaltungen zu deren durchfuehrung
US5410516A (en) 1988-09-01 1995-04-25 Schering Aktiengesellschaft Ultrasonic processes and circuits for performing them
US5014710A (en) * 1988-09-13 1991-05-14 Acuson Corporation Steered linear color doppler imaging
US5759791A (en) 1989-01-17 1998-06-02 The Johns Hopkins University Cancer related antigen
EP0383972B1 (de) 1989-02-22 1993-12-15 Siemens Aktiengesellschaft Ultraschall-Array mit trapezförmigen Schwingerelementen sowie Verfahren und Vorrichtung zu seiner Herstellung
US4945155A (en) 1989-05-11 1990-07-31 Eastman Kodak Company Preparation of low color copoly(arylene sulfide) by heating copoly(arylene sulfide)
US5065068A (en) * 1989-06-07 1991-11-12 Oakley Clyde G Ferroelectric ceramic transducer
EP0410020B1 (de) 1989-07-24 1994-11-17 Palitex Project-Company GmbH Betriebsverfahren und Vorrichtung zum automatisierten Reinigen von Spulentöpfen und gegebenenfalls Ballonbegrenzern der Doppeldraht-Zwirnspindel einer Doppeldraht-Zwirnmaschine
US5014712A (en) * 1989-12-26 1991-05-14 General Electric Company Coded excitation for transmission dynamic focusing of vibratory energy beam
US5160870A (en) 1990-06-25 1992-11-03 Carson Paul L Ultrasonic image sensing array and method
US5123415A (en) 1990-07-19 1992-06-23 Advanced Technology Laboratories, Inc. Ultrasonic imaging by radial scan of trapezoidal sector
US5445155A (en) * 1991-03-13 1995-08-29 Scimed Life Systems Incorporated Intravascular imaging apparatus and methods for use and manufacture
DE4209394C2 (de) * 1991-03-26 1996-07-18 Hitachi Ltd Ultraschallabbildungsgerät
GB2258364A (en) 1991-07-30 1993-02-03 Intravascular Res Ltd Ultrasonic tranducer
US5713363A (en) * 1991-11-08 1998-02-03 Mayo Foundation For Medical Education And Research Ultrasound catheter and method for imaging and hemodynamic monitoring
US5704361A (en) * 1991-11-08 1998-01-06 Mayo Foundation For Medical Education And Research Volumetric image ultrasound transducer underfluid catheter system
US5325860A (en) 1991-11-08 1994-07-05 Mayo Foundation For Medical Education And Research Ultrasonic and interventional catheter and method
US5186177A (en) * 1991-12-05 1993-02-16 General Electric Company Method and apparatus for applying synthetic aperture focusing techniques to a catheter based system for high frequency ultrasound imaging of small vessels
DE4142372A1 (de) 1991-12-20 1993-06-24 Siemens Ag Ultraschall-wandlerarray
US5203335A (en) * 1992-03-02 1993-04-20 General Electric Company Phased array ultrasonic beam forming using oversampled A/D converters
US5318033A (en) * 1992-04-17 1994-06-07 Hewlett-Packard Company Method and apparatus for increasing the frame rate and resolution of a phased array imaging system
US5311095A (en) 1992-05-14 1994-05-10 Duke University Ultrasonic transducer array
US5744898A (en) 1992-05-14 1998-04-28 Duke University Ultrasound transducer array with transmitter/receiver integrated circuitry
US5329496A (en) 1992-10-16 1994-07-12 Duke University Two-dimensional array ultrasonic transducers
DE4226865A1 (de) 1992-08-13 1994-03-10 Siemens Ag Ultraschalldiagnosegerät für die Dermatologie
US5453575A (en) 1993-02-01 1995-09-26 Endosonics Corporation Apparatus and method for detecting blood flow in intravascular ultrasonic imaging
US20070016071A1 (en) 1993-02-01 2007-01-18 Volcano Corporation Ultrasound transducer assembly
US5368037A (en) 1993-02-01 1994-11-29 Endosonics Corporation Ultrasound catheter
US5369624A (en) * 1993-03-26 1994-11-29 Siemens Medical Systems, Inc. Digital beamformer having multi-phase parallel processing
US5388079A (en) * 1993-03-26 1995-02-07 Siemens Medical Systems, Inc. Partial beamforming
US5345426A (en) * 1993-05-12 1994-09-06 Hewlett-Packard Company Delay interpolator for digital phased array ultrasound beamformers
US5465725A (en) 1993-06-15 1995-11-14 Hewlett Packard Company Ultrasonic probe
US5460181A (en) 1994-10-06 1995-10-24 Hewlett Packard Co. Ultrasonic transducer for three dimensional imaging
US5434827A (en) 1993-06-15 1995-07-18 Hewlett-Packard Company Matching layer for front acoustic impedance matching of clinical ultrasonic tranducers
US5553035A (en) 1993-06-15 1996-09-03 Hewlett-Packard Company Method of forming integral transducer and impedance matching layers
US5371717A (en) 1993-06-15 1994-12-06 Hewlett-Packard Company Microgrooves for apodization and focussing of wideband clinical ultrasonic transducers
US5392259A (en) 1993-06-15 1995-02-21 Bolorforosh; Mir S. S. Micro-grooves for the design of wideband clinical ultrasonic transducers
US5505088A (en) 1993-08-27 1996-04-09 Stellartech Research Corp. Ultrasound microscope for imaging living tissues
US5438998A (en) 1993-09-07 1995-08-08 Acuson Corporation Broadband phased array transducer design with frequency controlled two dimension capability and methods for manufacture thereof
US5792058A (en) 1993-09-07 1998-08-11 Acuson Corporation Broadband phased array transducer with wide bandwidth, high sensitivity and reduced cross-talk and method for manufacture thereof
US5743855A (en) 1995-03-03 1998-04-28 Acuson Corporation Broadband phased array transducer design with frequency controlled two dimension capability and methods for manufacture thereof
US5415175A (en) 1993-09-07 1995-05-16 Acuson Corporation Broadband phased array transducer design with frequency controlled two dimension capability and methods for manufacture thereof
US5390674A (en) * 1993-12-30 1995-02-21 Advanced Technology Laboratories, Inc. Ultrasonic imaging system with interpolated scan lines
DE19514307A1 (de) * 1994-05-19 1995-11-23 Siemens Ag Duplexer für ein Ultraschallabbildungssystem
US5685308A (en) * 1994-08-05 1997-11-11 Acuson Corporation Method and apparatus for receive beamformer system
US6029116A (en) * 1994-08-05 2000-02-22 Acuson Corporation Method and apparatus for a baseband processor of a receive beamformer system
DE19581718T5 (de) * 1994-08-05 2013-11-07 Siemens Medical Solutions USA, Inc. (n.d. Ges. d. Staates Delaware) Verfahren und Vorrichtung für Sendestrahlformersystem
US5623928A (en) * 1994-08-05 1997-04-29 Acuson Corporation Method and apparatus for coherent image formation
US5522391A (en) * 1994-08-09 1996-06-04 Hewlett-Packard Company Delay generator for phased array ultrasound beamformer
EP0696435A3 (en) 1994-08-10 1997-03-12 Hewlett Packard Co Ultrasonic probe
US5544655A (en) * 1994-09-16 1996-08-13 Atlantis Diagnostics International, Llc Ultrasonic multiline beamforming with interleaved sampling
US5655276A (en) 1995-02-06 1997-08-12 General Electric Company Method of manufacturing two-dimensional array ultrasonic transducers
GB9504751D0 (en) * 1995-03-09 1995-04-26 Quality Medical Imaging Ltd Apparatus for ultrasonic tissue investigation
DE19514308A1 (de) * 1995-04-18 1996-10-24 Siemens Ag Ultraschallwandlerkopf mit integrierten steuerbaren Verstärkereinrichtungen
US5655538A (en) * 1995-06-19 1997-08-12 General Electric Company Ultrasonic phased array transducer with an ultralow impedance backfill and a method for making
CN1189217A (zh) * 1995-06-29 1998-07-29 垓技术公司 便携式超声成像系统
US5573001A (en) * 1995-09-08 1996-11-12 Acuson Corporation Ultrasonic receive beamformer with phased sub-arrays
US5706819A (en) * 1995-10-10 1998-01-13 Advanced Technology Laboratories, Inc. Ultrasonic diagnostic imaging with harmonic contrast agents
US5629865A (en) 1995-10-23 1997-05-13 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Pulse-echo ultrasonic imaging method for eliminating sample thickness variation effects
US6135971A (en) 1995-11-09 2000-10-24 Brigham And Women's Hospital Apparatus for deposition of ultrasound energy in body tissue
GB9525418D0 (en) 1995-12-13 1996-07-17 Marconi Gec Ltd Acoustic imaging arrays
US6236144B1 (en) 1995-12-13 2001-05-22 Gec-Marconi Limited Acoustic imaging arrays
US5653236A (en) * 1995-12-29 1997-08-05 General Electric Company Apparatus for real-time distributed computation of beamforming delays in ultrasound imaging system
JP3573567B2 (ja) * 1996-04-12 2004-10-06 株式会社日立メディコ 超音波探触子及びそれを用いた超音波検査装置
US5704105A (en) 1996-09-04 1998-01-06 General Electric Company Method of manufacturing multilayer array ultrasonic transducers
US5795297A (en) * 1996-09-12 1998-08-18 Atlantis Diagnostics International, L.L.C. Ultrasonic diagnostic imaging system with personal computer architecture
US5879303A (en) * 1996-09-27 1999-03-09 Atl Ultrasound Ultrasonic diagnostic imaging of response frequency differing from transmit frequency
US6626838B2 (en) 1996-11-07 2003-09-30 Transoma Medical, Inc. Blood flow meter apparatus and method of use
US5865749A (en) 1996-11-07 1999-02-02 Data Sciences International, Inc. Blood flow meter apparatus and method of use
US6530887B1 (en) * 1996-12-24 2003-03-11 Teratech Corporation Ultrasound probe with integrated electronics
US5844139A (en) * 1996-12-30 1998-12-01 General Electric Company Method and apparatus for providing dynamically variable time delays for ultrasound beamformer
US5797847A (en) * 1996-12-30 1998-08-25 General Electric Company Method and apparatus for complex bandpass filtering and decimation in ultrasound beamformer
US5857974A (en) 1997-01-08 1999-01-12 Endosonics Corporation High resolution intravascular ultrasound transducer assembly having a flexible substrate
US5940123A (en) * 1997-02-13 1999-08-17 Atl Ultrasound High resolution ultrasonic imaging through interpolation of received scanline data
US5796207A (en) * 1997-04-28 1998-08-18 Rutgers, The State University Of New Jersey Oriented piezo electric ceramics and ceramic/polymer composites
US5938612A (en) 1997-05-05 1999-08-17 Creare Inc. Multilayer ultrasonic transducer array including very thin layer of transducer elements
US5906580A (en) * 1997-05-05 1999-05-25 Creare Inc. Ultrasound system and method of administering ultrasound including a plurality of multi-layer transducer elements
US5897501A (en) * 1997-05-07 1999-04-27 General Electric Company Imaging system with multiplexer for controlling a multi-row ultrasonic transducer array
US6074346A (en) * 1997-06-27 2000-06-13 Siemens Medical Systems, Inc. Transmit/receive ultrasound front end circuit providing automatic transmit/receive switching
US6050945A (en) * 1997-06-27 2000-04-18 Siemens Medical Systems, Inc. Ultrasound front-end circuit combining the transmitter and automatic transmit/receive switch with agile power level control
US6083164A (en) * 1997-06-27 2000-07-04 Siemens Medical Systems, Inc. Ultrasound front-end circuit combining the transmitter and automatic transmit/receiver switch
JPH1147104A (ja) 1997-08-08 1999-02-23 Nippon Koden Corp 患者監視装置
US6128958A (en) * 1997-09-11 2000-10-10 The Regents Of The University Of Michigan Phased array system architecture
US6586702B2 (en) * 1997-09-25 2003-07-01 Laser Electro Optic Application Technology Company High density pixel array and laser micro-milling method for fabricating array
US6049159A (en) * 1997-10-06 2000-04-11 Albatros Technologies, Inc. Wideband acoustic transducer
FR2772590B1 (fr) 1997-12-18 2000-04-14 Michel Puech Utilisation d'un transducteur ultrasonore pour l'exploration echographique du segment posterieur du globe oculaire
US6262749B1 (en) * 1997-12-31 2001-07-17 Acuson Corporation Ultrasonic system and method for data transfer, storage and/or processing
US5905692A (en) * 1997-12-31 1999-05-18 Analogic Corporation Digital ultrasound beamformer
FR2773459B1 (fr) * 1998-01-12 2000-04-14 Centre Nat Rech Scient Procede d'exploration et de visualisation de tissus d'origine humaine ou animale a partir d'une sonde ultrasonore a haute frequence
CA2319428C (en) 1998-01-28 2004-10-12 Thin Film Electronics Asa A method for generation of electrical conducting or semiconducting structures in three dimensions and methods for erasure of the same structures
US5977691A (en) 1998-02-10 1999-11-02 Hewlett-Packard Company Element interconnections for multiple aperture transducers
JP3345580B2 (ja) 1998-03-05 2002-11-18 株式会社東芝 超音波プローブの製造方法
US6183578B1 (en) 1998-04-21 2001-02-06 Penn State Research Foundation Method for manufacture of high frequency ultrasound transducers
WO1999056626A1 (en) * 1998-05-05 1999-11-11 Cornell Research Foundation, Inc. Method for assessing blood flow and apparatus thereof
US5970025A (en) * 1998-06-10 1999-10-19 Acuson Corporation Ultrasound beamformation integrated circuit and method
JP2000050391A (ja) 1998-07-31 2000-02-18 Olympus Optical Co Ltd 超音波トランスデューサーおよびその製造方法
US6001062A (en) 1998-08-03 1999-12-14 Scimed Life Systems, Inc. Slewing bandpass filter for selective passage of time varying acoustic signals
AU1128600A (en) 1998-11-20 2000-06-13 Joie P. Jones Methods for selectively dissolving and removing materials using ultra-high frequency ultrasound
US6193662B1 (en) 1999-02-17 2001-02-27 Atl Ultrasound High frame rate pulse inversion harmonic ultrasonic diagnostic imaging system
US6650264B1 (en) * 1999-03-10 2003-11-18 Cirrus Logic, Inc. Quadrature sampling architecture and method for analog-to-digital converters
US6492762B1 (en) 1999-03-22 2002-12-10 Transurgical, Inc. Ultrasonic transducer, transducer array, and fabrication method
US7391872B2 (en) 1999-04-27 2008-06-24 Frank Joseph Pompei Parametric audio system
US6322505B1 (en) 1999-06-08 2001-11-27 Acuson Corporation Medical diagnostic ultrasound system and method for post processing
US20010007940A1 (en) * 1999-06-21 2001-07-12 Hosheng Tu Medical device having ultrasound imaging and therapeutic means
US6235024B1 (en) 1999-06-21 2001-05-22 Hosheng Tu Catheters system having dual ablation capability
US6258034B1 (en) * 1999-08-04 2001-07-10 Acuson Corporation Apodization methods and apparatus for acoustic phased array aperture for diagnostic medical ultrasound transducer
US6251073B1 (en) * 1999-08-20 2001-06-26 Novasonics, Inc. Miniaturized ultrasound apparatus and method
US6497664B1 (en) * 1999-09-14 2002-12-24 Ecton, Inc. Medical diagnostic ultrasound system and method
US6325759B1 (en) * 1999-09-23 2001-12-04 Ultrasonix Medical Corporation Ultrasound imaging system
US6255761B1 (en) * 1999-10-04 2001-07-03 The United States Of America As Represented By The Secretary Of The Navy Shaped piezoelectric composite transducer
US6806622B1 (en) * 1999-10-22 2004-10-19 Materials Systems, Inc. Impact-reinforced piezocomposite transducer array
US6350238B1 (en) 1999-11-02 2002-02-26 Ge Medical Systems Global Technology Company, Llc Real-time display of ultrasound in slow motion
US6546803B1 (en) * 1999-12-23 2003-04-15 Daimlerchrysler Corporation Ultrasonic array transducer
US6457365B1 (en) 2000-02-09 2002-10-01 Endosonics Corporation Method and apparatus for ultrasonic imaging
TW569424B (en) 2000-03-17 2004-01-01 Matsushita Electric Ind Co Ltd Module with embedded electric elements and the manufacturing method thereof
US6787974B2 (en) 2000-03-22 2004-09-07 Prorhythm, Inc. Ultrasound transducer unit and planar ultrasound lens
CA2403394C (en) * 2000-03-23 2012-01-03 Cross Match Technologies, Inc. Piezoelectric identification device and applications thereof
US6503204B1 (en) * 2000-03-31 2003-01-07 Acuson Corporation Two-dimensional ultrasonic transducer array having transducer elements in a non-rectangular or hexagonal grid for medical diagnostic ultrasonic imaging and ultrasound imaging system using same
US6483225B1 (en) * 2000-07-05 2002-11-19 Acuson Corporation Ultrasound transducer and method of manufacture thereof
JP3951091B2 (ja) 2000-08-04 2007-08-01 セイコーエプソン株式会社 半導体装置の製造方法
US6679845B2 (en) * 2000-08-30 2004-01-20 The Penn State Research Foundation High frequency synthetic ultrasound array incorporating an actuator
US6822374B1 (en) 2000-11-15 2004-11-23 General Electric Company Multilayer piezoelectric structure with uniform electric field
US6558323B2 (en) 2000-11-29 2003-05-06 Olympus Optical Co., Ltd. Ultrasound transducer array
AU8919601A (en) 2000-12-01 2002-06-11 Cleveland Clinic Foundation Miniature ultrasound transducer
US6759791B2 (en) 2000-12-21 2004-07-06 Ram Hatangadi Multidimensional array and fabrication thereof
US6695783B2 (en) * 2000-12-22 2004-02-24 Koninklijke Philips Electronics N.V. Multiline ultrasound beamformers
JP3849976B2 (ja) 2001-01-25 2006-11-22 松下電器産業株式会社 複合圧電体と超音波診断装置用超音波探触子と超音波診断装置および複合圧電体の製造方法
US6490228B2 (en) * 2001-02-16 2002-12-03 Koninklijke Philips Electronics N.V. Apparatus and method of forming electrical connections to an acoustic transducer
US6936009B2 (en) * 2001-02-27 2005-08-30 General Electric Company Matching layer having gradient in impedance for ultrasound transducers
US6761688B1 (en) 2001-02-28 2004-07-13 Siemens Medical Solutions Usa, Inc. Multi-layered transducer array and method having identical layers
US6437487B1 (en) 2001-02-28 2002-08-20 Acuson Corporation Transducer array using multi-layered elements and a method of manufacture thereof
US6664717B1 (en) 2001-02-28 2003-12-16 Acuson Corporation Multi-dimensional transducer array and method with air separation
US6685644B2 (en) 2001-04-24 2004-02-03 Kabushiki Kaisha Toshiba Ultrasound diagnostic apparatus
FR2828056B1 (fr) 2001-07-26 2004-02-27 Metal Cable Transducteur multi-element fonctionnant a des hautes frequences
US6635019B2 (en) 2001-08-14 2003-10-21 Koninklijke Philips Electronics Nv Scanhead assembly for ultrasonic imaging having an integral beamformer and demountable array
US6673018B2 (en) 2001-08-31 2004-01-06 Ge Medical Systems Global Technology Company Llc Ultrasonic monitoring system and method
US6761697B2 (en) 2001-10-01 2004-07-13 L'oreal Sa Methods and systems for predicting and/or tracking changes in external body conditions
US6974417B2 (en) 2001-10-05 2005-12-13 Queen's University At Kingston Ultrasound transducer array
US6656124B2 (en) 2001-10-15 2003-12-02 Vermon Stack based multidimensional ultrasonic transducer array
SG122749A1 (en) 2001-10-16 2006-06-29 Inst Data Storage Method of laser marking and apparatus therefor
WO2003040427A1 (en) 2001-10-16 2003-05-15 Data Storage Institute Thin film deposition by laser irradiation
CN1263173C (zh) 2001-12-06 2006-07-05 松下电器产业株式会社 复合压电体及其制造方法
US7139676B2 (en) * 2002-01-18 2006-11-21 Agilent Technologies, Inc Revising a test suite using diagnostic efficacy evaluation
US6705992B2 (en) 2002-02-28 2004-03-16 Koninklijke Philips Electronics N.V. Ultrasound imaging enhancement to clinical patient monitoring functions
US20030173870A1 (en) 2002-03-12 2003-09-18 Shuh-Yueh Simon Hsu Piezoelectric ultrasound transducer assembly having internal electrodes for bandwidth enhancement and mode suppression
JP3857170B2 (ja) 2002-03-29 2006-12-13 日本電波工業株式会社 超音波探触子
US6784600B2 (en) 2002-05-01 2004-08-31 Koninklijke Philips Electronics N.V. Ultrasonic membrane transducer for an ultrasonic diagnostic probe
US6676606B2 (en) * 2002-06-11 2004-01-13 Koninklijke Philips Electronics N.V. Ultrasonic diagnostic micro-vascular imaging
US6612989B1 (en) 2002-06-18 2003-09-02 Koninklijke Philips Electronics N.V. System and method for synchronized persistence with contrast agent imaging
US6806623B2 (en) * 2002-06-27 2004-10-19 Siemens Medical Solutions Usa, Inc. Transmit and receive isolation for ultrasound scanning and methods of use
US6994674B2 (en) 2002-06-27 2006-02-07 Siemens Medical Solutions Usa, Inc. Multi-dimensional transducer arrays and method of manufacture
US6891311B2 (en) 2002-06-27 2005-05-10 Siemens Medical Solutions Usa, Inc Ultrasound transmit pulser with receive interconnection and method of use
US6875178B2 (en) 2002-06-27 2005-04-05 Siemens Medical Solutions Usa, Inc. Receive circuit for ultrasound imaging
DE10229880A1 (de) 2002-07-03 2004-01-29 Siemens Ag Bildanalyseverfahren und Vorrichtung zur Bildauswertung für die in vivo Kleintierbildgebung
CA2492140A1 (en) 2002-07-12 2004-01-22 Iscience Surgical Corporation Ultrasound interfacing device for tissue imaging
WO2004007098A1 (en) 2002-07-15 2004-01-22 Eagle Ultrasound As High frequency and multi frequency band ultrasound transducers based on ceramic films
JP4109030B2 (ja) 2002-07-19 2008-06-25 オリンパス株式会社 生体組織のクリップ装置
EP1382301B1 (en) 2002-07-19 2010-11-17 Aloka Co. Ltd. Ultrasonic probe and manufacturing method thereof
DE10236854B4 (de) 2002-08-07 2004-09-23 Samsung SDI Co., Ltd., Suwon Verfahren und Vorrichtung zur Strukturierung von Elektroden von organischen lichtemittierenden Elementen
JP3906126B2 (ja) 2002-08-13 2007-04-18 株式会社東芝 超音波トランスデューサおよびその製造方法
WO2004034694A2 (en) * 2002-10-10 2004-04-22 Visualsonics Inc. High frequency high frame-rate ultrasound imaging system
US6851392B2 (en) * 2002-10-10 2005-02-08 Visual Sonics Small-animal mount assembly
US7426904B2 (en) * 2002-10-10 2008-09-23 Visualsonics Inc. Small-animal mount assembly
JP4755423B2 (ja) 2002-10-16 2011-08-24 ヴァリアン メディカル システムズ インコーポレイテッド 像形成装置における過剰信号補正方法及び装置
US7052462B2 (en) 2002-10-24 2006-05-30 Olympus Corporation Ultrasonic probe and ultrasonic diagnostic equipment
US6822376B2 (en) 2002-11-19 2004-11-23 General Electric Company Method for making electrical connection to ultrasonic transducer
US6740037B1 (en) * 2002-12-10 2004-05-25 Myron R. Schoenfeld High Frequency ultrasonagraphy utilizing constructive interference
US6831394B2 (en) * 2002-12-11 2004-12-14 General Electric Company Backing material for micromachined ultrasonic transducer devices
US7052460B2 (en) * 2003-05-09 2006-05-30 Visualsonics Inc. System for producing an ultrasound image using line-based image reconstruction
US7377900B2 (en) * 2003-06-02 2008-05-27 Insightec - Image Guided Treatment Ltd. Endo-cavity focused ultrasound transducer
US20050039323A1 (en) 2003-08-22 2005-02-24 Simens Medical Solutions Usa, Inc. Transducers with electically conductive matching layers and methods of manufacture
DE602004004841T2 (de) 2003-08-29 2007-11-08 Fujifilm Corp. Laminierte Struktur, Verfahren zur Herstellung derselben und Vielfach-Ultraschallwandlerfeld
US7249513B1 (en) * 2003-10-02 2007-07-31 Gore Enterprise Holdings, Inc. Ultrasound probe
US20050089205A1 (en) * 2003-10-23 2005-04-28 Ajay Kapur Systems and methods for viewing an abnormality in different kinds of images
US7017245B2 (en) 2003-11-11 2006-03-28 General Electric Company Method for making multi-layer ceramic acoustic transducer
US7156938B2 (en) 2003-11-11 2007-01-02 General Electric Company Method for making multi-layer ceramic acoustic transducer
US7109642B2 (en) 2003-11-29 2006-09-19 Walter Guy Scott Composite piezoelectric apparatus and method
TW200520019A (en) 2003-12-12 2005-06-16 Ind Tech Res Inst Control device of substrate temperature
CA2554239C (en) 2004-01-20 2015-05-12 Sunnybrook And Women's College Health Sciences Centre High frequency ultrasound imaging using contrast agents
US20050203402A1 (en) * 2004-02-09 2005-09-15 Angelsen Bjorn A. Digital ultrasound beam former with flexible channel and frequency range reconfiguration
JP4805254B2 (ja) 2004-04-20 2011-11-02 ビジュアルソニックス インコーポレイテッド 配列された超音波トランスデューサ
US20070222339A1 (en) 2004-04-20 2007-09-27 Mark Lukacs Arrayed ultrasonic transducer
US20050251232A1 (en) 2004-05-10 2005-11-10 Hartley Craig J Apparatus and methods for monitoring heart rate and respiration rate and for monitoring and maintaining body temperature in anesthetized mammals undergoing diagnostic or surgical procedures
US7451650B2 (en) * 2004-08-27 2008-11-18 General Electric Company Systems and methods for adjusting gain within an ultrasound probe
US8002708B2 (en) * 2005-01-11 2011-08-23 General Electric Company Ultrasound beamformer with scalable receiver boards
US8137280B2 (en) 2005-02-09 2012-03-20 Surf Technology As Digital ultrasound beam former with flexible channel and frequency range reconfiguration
WO2006136952A2 (en) 2005-03-04 2006-12-28 Visualsonics Inc. Method for synchronization of breathing signal with the capture of ultrasound data
CA2603495A1 (en) 2005-04-01 2006-10-12 Visualsonics Inc. System and method for 3-d visualization of vascular structures using ultrasound
GB0518105D0 (en) 2005-09-06 2005-10-12 Plastic Logic Ltd Step-and-repeat laser ablation of electronic devices
WO2007027584A2 (en) 2005-08-30 2007-03-08 University Of Virginia Patent Foundation Deposit contrast agents and related methods thereof
EP1922775B1 (en) 2005-09-06 2017-05-10 Flexenable Limited Laser ablation of electronic devices
US7946990B2 (en) 2005-09-30 2011-05-24 Siemens Medical Solutions Usa, Inc. Ultrasound color flow imaging at high frame rates
US7767930B2 (en) * 2005-10-03 2010-08-03 Aradigm Corporation Method and system for LASER machining
CN101405090A (zh) 2005-11-02 2009-04-08 视声公司 阵列式超声换能器
JP5630958B2 (ja) 2005-11-02 2014-11-26 ビジュアルソニックス インコーポレイテッド 高周波数アレイ超音波システム
US7603153B2 (en) * 2005-12-12 2009-10-13 Sterling Investments Lc Multi-element probe array
US7750536B2 (en) 2006-03-02 2010-07-06 Visualsonics Inc. High frequency ultrasonic transducer and matching layer comprising cyanoacrylate
US20080007142A1 (en) * 2006-06-23 2008-01-10 Minoru Toda Ultrasonic transducer assembly having a vibrating member and at least one reflector
US7892176B2 (en) * 2007-05-02 2011-02-22 General Electric Company Monitoring or imaging system with interconnect structure for large area sensor array
US7518290B2 (en) * 2007-06-19 2009-04-14 Siemens Medical Solutions Usa, Inc. Transducer array with non-uniform kerfs
US8008842B2 (en) * 2007-10-26 2011-08-30 Trs Technologies, Inc. Micromachined piezoelectric ultrasound transducer arrays
DE102017124974B8 (de) 2017-10-25 2019-05-02 Tesat-Spacecom Gmbh & Co.Kg Verbindungseinheit für Hochfrequenzgeräte

Also Published As

Publication number Publication date
CA2628100A1 (en) 2007-05-18
CN101351724A (zh) 2009-01-21
CA2935422A1 (en) 2007-05-18
JP5630958B2 (ja) 2014-11-26
US7901358B2 (en) 2011-03-08
CN101351724B (zh) 2013-03-20
WO2007056104A2 (en) 2007-05-18
EP1952175A2 (en) 2008-08-06
CA2935422C (en) 2019-01-08
EP1952175B1 (en) 2013-01-09
WO2007056104A9 (en) 2007-07-12
USRE46185E1 (en) 2016-10-25
JP2014000465A (ja) 2014-01-09
JP2009514600A (ja) 2009-04-09
JP2014210201A (ja) 2014-11-13
JP2017035528A (ja) 2017-02-16
US20070239001A1 (en) 2007-10-11
WO2007056104A3 (en) 2007-08-30
JP5690900B2 (ja) 2015-03-25
CA2628100C (en) 2016-08-23
HK1129243A1 (en) 2009-11-20

Similar Documents

Publication Publication Date Title
ES2402741T3 (es) Conformador de haces de transmisión digital para un sistema transductor de ultrasonidos con distribución
TW381226B (en) Portable ultrasound imaging system
JP6761755B2 (ja) 合成アパーチャ画像形成におけるコヒーレントなスペクトル拡散符号化波形
US11980503B2 (en) Ultrasound signal processing device and ultrasound diagnostic device
JP5443326B2 (ja) 携帯式超音波撮像システム及びプログラム
CN110251155A (zh) 超声波诊断装置以及超声波图像生成方法
EP1370888A2 (en) Ultrasound probe with integrated electronics
US20180161003A1 (en) Ultrasound signal processing device, ultrasound signal processing method, and ultrasound diagnostic device
JP2018516706A (ja) 剪断波像形成のための超音波変換器アレイプローブ
Samson et al. A sub-nyquist, variable sampling, high-frequency phased array beamformer
JP7099162B2 (ja) 超音波信号処理方法、及び超音波信号処理装置
Fabian et al. Development of a parallel acquisition system for ultrasound research
CN108577891A (zh) 一种血流多普勒与脉冲多普勒同时成像的方法及设备
Campbell et al. An Ultrafast High-Frequency Hardware Beamformer for a Phased Array Endoscope
US20190321003A1 (en) Ultrasound diagnostic apparatus and ultrasound probe
JP2021016462A (ja) 超音波プローブ及び超音波診断装置
JPS59120142A (ja) 超音波断層装置
Nencioni et al. A New Flexible Ultrasound Scanner Suitable for Research Topics
Casper HIFU Monitoring and control With dual-mode ultrasound arrays
AU2002238135A1 (en) Ultrasound Probe with Integrated Electronics