DE112017007551T5 - Vorrichtung und verfahren zur inspektion von retikeln - Google Patents

Vorrichtung und verfahren zur inspektion von retikeln Download PDF

Info

Publication number
DE112017007551T5
DE112017007551T5 DE112017007551.3T DE112017007551T DE112017007551T5 DE 112017007551 T5 DE112017007551 T5 DE 112017007551T5 DE 112017007551 T DE112017007551 T DE 112017007551T DE 112017007551 T5 DE112017007551 T5 DE 112017007551T5
Authority
DE
Germany
Prior art keywords
reticle
images
wafer
test
different
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112017007551.3T
Other languages
English (en)
Inventor
Abdurrahman Sezginer
Mohammad Daneshpanah
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kla Corp Milpitas Us
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/803,628 external-priority patent/US10395361B2/en
Application filed by KLA Corp filed Critical KLA Corp
Publication of DE112017007551T5 publication Critical patent/DE112017007551T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2063Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam for the production of exposure masks or reticles
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8887Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges based on image processing techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10144Varying exposure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10152Varying illumination
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Quality & Reliability (AREA)
  • Signal Processing (AREA)
  • Library & Information Science (AREA)
  • Toxicology (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Offenbart sind Verfahren und Vorrichtungen zum Qualifizieren eines fotolithographischen Retikels. Ein Retikelinspektionswerkzeug wird verwendet, um eine Vielzahl von Bildern bei unterschiedlichen Bildgebungskonfigurationen von jedem von einer Vielzahl von Musterbereichen eines Testretikels zu erfassen. Ein Retikel-Nahfeld wird für jeden der Musterbereiche des Testretikels basierend auf den erfassten Bildern von jedem Musterbereich des Testretikels wiederhergestellt. Das wiederhergestellte Retikel-Nahfeld wird dann verwendet, um zu bestimmen, ob das Testretikel oder ein anderes Retikel wahrscheinlich zu einem instabilen Wafermuster oder einem defekten Wafer führen wird.

Description

  • VERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht den Vorteil der Priorität der US-Patentanmeldung Nr. 15/803628 , eingereicht am 3. November 2017 von Rui-fang Shi et al. Diese Anmeldung beansprucht auch die Priorität der vorläufigen US-Anmeldung Nr. 62 / 508,369 , eingereicht am 18. Mai 2017. Diese Anmeldung und dieses Patent werden hiermit in ihrer Gesamtheit für alle Zwecke durch Bezugnahme aufgenommen.
  • TECHNISCHES GEBIET DER ERFINDUNG
  • Die Erfindung betrifft allgemein das Gebiet der Retikelinspektion. Insbesondere betrifft die vorliegende Erfindung die Musterqualifizierung.
  • HINTERGRUND
  • Im Allgemeinen involviert die Industrie der Halbleiterherstellung hochkomplexe Techniken zur Herstellung von integrierten Schaltungen unter Verwendung von Halbleitermaterialien, die auf ein Substrat, wie z. B. Silizium, geschichtet und strukturiert werden. Aufgrund des großen Maßstabs der Schaltungsintegration und der abnehmenden Größe von Halbleiterbauelementen sind die hergestellten Bauelemente zunehmend empfindlicher gegenüber Defekten geworden. Das heißt, Defekte, die Fehler im Bauelement verursachen, werden immer kleiner. Das Bauelement ist vor dem Versand an Endbenutzer oder Kunden fehlerfrei.
  • Eine integrierte Schaltung wird typischerweise ausgehend von einer Vielzahl von Retikeln hergestellt. Zu Beginn liefern Schaltungsentwickler Schaltungsmusterdaten, die einen bestimmten Entwurf einer integrierten Schaltung (IC) beschreiben, an ein Retikelproduktionssystem oder einen Retikelschreiber. Die Schaltungsmusterdaten liegen typischerweise in Form eines repräsentativen Layouts der physikalischen Schichten des hergestellten IC-Bauelements vor. Das repräsentative Layout enthält eine repräsentative Schicht für jede physikalische Schicht des IC-Bauelements (z. B. Gateoxid, Polysilizium, Metallisierung usw.), wobei jede repräsentative Schicht aus mehreren Polygonen besteht, die die Strukturierung einer Schicht des bestimmten IC-Bauelements definieren. Der Retikelschreiber verwendet die Schaltungsmusterdaten zum Schreiben (z. B. wird typischerweise ein Elektronenstrahlschreiber oder ein Laserscanner zum Belichten eines Retikelmusters verwendet) einer Vielzahl von Retikeln, die später zum Herstellen des bestimmten IC-Designs verwendet werden.
  • Einige Retikel oder Fotomasken liegen in Form eines optischen Elements vor, das mindestens transparente und undurchsichtige Bereiche, halbtransparente Bereiche und Phasenverschiebungs-Bereiche oder Absorber- und Reflexionsbereiche enthält, die zusammen das Muster von koplanaren Merkmalen in einem elektronischen Bauelement wie einer integrierten Schaltung definieren. Retikel werden während der Fotolithografie verwendet, um bestimmte Bereiche eines Halbleiterwafers zum Ätzen, zur Ionenimplantation oder für andere Herstellungsprozesse zu definieren.
  • Nach der Herstellung jedes Retikels oder jeder Gruppe von Retikeln wird jedes neue Retikel typischerweise zur Verwendung bei der Waferherstellung qualifiziert. Beispielsweise müssen Retikelmuster frei von druckbaren Fehlern sein. Außerdem muss jeder Wafer, der mit dem Retikel hergestellt wird, fehlerfrei sein. Es besteht daher ein anhaltender Bedarf an verbesserten Techniken zur Inspektion und Qualifizierung von Retikeln und Wafern.
  • ÜBERSICHT
  • Das Folgende stellt eine vereinfachte Zusammenfassung der Offenbarung dar, um ein grundlegendes Verständnis bestimmter Ausführungsformen der Erfindung bereitzustellen. Diese Zusammenfassung ist kein umfassender Überblick über die Offenbarung und identifiziert keine wesentlichen / kritischen Elemente der Erfindung oder umreißt den Umfang der Erfindung. Ihr einziger Zweck besteht darin, einige hierin offenbarte Konzepte in einer vereinfachten Form als Auftakt für die detailliertere Beschreibung darzustellen, die später präsentiert wird.
  • In einer Ausführungsform wird ein Verfahren zum Qualifizieren eines Fotolithographischen Retikels offenbart. Ein Bildgebungswerkzeug wird verwendet, um eine Vielzahl von Bildern bei unterschiedlichen Beleuchtungskonfigurationen und / oder unterschiedlichen Bildgebungskonfigurationen von jedem einer Vielzahl von Musterbereichen eines Testretikels zu erfassen. Ein Retikel-Nahfeld wird für jeden der Musterbereiche des Testretikels basierend auf den von jedem Musterbereich des Testretikels erfassten Bildern wiederhergestellt. Das wiederhergestellte Retikel-Nahfeld wird dann verwendet, um zu bestimmen, ob das Testretikel oder ein anderes Retikel wahrscheinlich zu einem instabilen Wafermuster oder einem defekten Wafer führen wird.
  • In einer Implementierung wird das Retikel-Nahfeld direkt analysiert, um zu bestimmen, ob das Testretikel oder ein anderes Retikel wahrscheinlich zu einem instabilen Wafermuster oder einem defekten Wafer führen wird. In einem anderen Aspekt wird das wiederhergestellte Retikel-Nahfeld verwendet, um Defekte im Testretikel oder in simulierten Waferbildern zu erfassen, die aus dem wiederhergestellten Retikel-Nahfeld simuliert werden, wobei die Defekterfassung das Vergleichen der Intensität und / oder Phase für einen gleichen Die zu verschiedenen Zeiten, für benachbarte Dies, für einen Die und den zugehörigen goldenen Die oder für einen Die und einen zugehörigen Die aus einer Retikelkopie mit identischem Design wie das Testretikel umfasst.
  • In einem Aspekt werden die Bilder in einer Feldebene oder einer Pupillenebene erfasst. In einer spezifischen Ausführungsform wird das Retikel-Nahfeld ohne Verwendung einer Designdatenbank wiederhergestellt, die zur Herstellung des Retikels verwendet wurde. In einem anderen Aspekt umfassen die erfassten Bilder mindestens drei Reflektions- / Transmissions-Bilder, die bei verschiedenen Bildgebungsbedingungen erfasst werden, die so ausgewählt werden, dass sie zu einem gleichen Retikel-Nahfeld führen. In diesem Aspekt umfassen die unterschiedlichen Bildgebungsbedingungen unterschiedliche Fokuseinstellungen und unterschiedliche Pupillenformen, und die unterschiedlichen Beleuchtungsbedingungen umfassen unterschiedliche Einstellungen für die Intensitätsverteilung und / oder Polarisation der Quelle.
  • In einer alternativen Implementierung umfasst das Verfahren (i) Anwenden eines Lithografiemodells auf das Retikel-Nahfeld für das Testretikel, um eine Vielzahl Testwaferbilder zu simulieren, und (ii) Analysieren der simulierten Testwaferbilder, um zu bestimmen, ob das Testretikel wahrscheinlich zu einem instabilen oder defekten Wafer führen wird. In diesem Aspekt ist das Lithografiemodell konfiguriert, um einen Fotolithografieprozess zu simulieren. In einem weiteren Aspekt simuliert das Lithografiemodell eine Beleuchtungsquelle mit einer anderen Form als eine Beleuchtungsform eines Inspektionswerkzeugs zum Erfassen von Bildern des Testretikels oder eines anderen Retikels oder Wafers. In einem anderen Aspekt wird das Lithografiemodell mit Bildern kalibriert, die aus einer Designdatenbank für ein Kalibrierungsretikel gerendert wurden. In einem anderen Beispiel wird das Lithografiemodell mit Bildern kalibriert, die von einem Kalibrierungsretikel erfasst wurden. In noch einem weiteren Aspekt wird das Lithografiemodell auf das Retikel-Nahfeld angewendet, das für das Testretikel unter einer Vielzahl verschiedener Lithografieprozessbedingungen gewonnen wurde, und das Analysieren der simulierten Testwaferbilder umfasst das Bestimmen, ob das Testretikel unter den verschiedenen Lithografieprozessbedingungen wahrscheinlich zu einem instabilen Wafer führen wird, durch Vergleichen von Teilen der simulierten Testbilder, die mit verschiedenen Prozessbedingungen und einer selben Retikelfläche assoziiert sind.
  • In einer alternativen Ausführungsform betrifft die Erfindung ein Inspektionssystem zum Qualifizieren eines fotolithographischen Retikels. Das System umfasst eine Lichtquelle zum Erzeugen eines einfallenden Strahls und ein Beleuchtungsoptikmodul zum Richten des einfallenden Strahls auf ein Retikel. Das System umfasst auch ein Sammeloptikmodul zum Richten eines Ausgangsstrahls von jedem Musterbereich des Retikels zu mindestens einem Sensor zum Erfassen des Ausgangsstrahls und zum Erzeugen eines Bildes oder Signals auf der Grundlage des Ausgangsstrahls. Das System umfasst ferner eine Steuerung, die konfiguriert ist, um Operationen durchzuführen, die einer oder mehreren der oben beschriebenen Verfahrensoperationen ähnlich sind.
  • Diese und andere Aspekte der Erfindung werden weiter unten unter Bezugnahme auf die Figuren beschrieben.
  • Figurenliste
    • 1 ist ein Flussdiagramm, das eine Maskennahfeld-Wiederherstellungsprozedur gemäß einer Ausführungsform der vorliegenden Erfindung darstellt.
    • 2 ist ein Flussdiagramm, das einen Modellkalibrierungsprozess gemäß einer spezifischen Implementierung der Erfindung darstellt.
    • 3 zeigt ein Flussdiagramm, das einen Retikelqualifizierungsprozess gemäß einer Ausführungsform der vorliegenden Erfindung darstellt.
    • 4A ist ein Flussdiagramm, das einen Prozess zum Bestimmen der Retikelmusterstabilität gemäß einer beispielhaften Anwendung der vorliegenden Erfindung darstellt.
    • 4B ist ein Flussdiagramm, das eine Defektinspektionsprozedur gemäß einer anderen Ausführungsform der vorliegenden Erfindung zeigt.
    • 5 ist ein Flussdiagramm, das einen Retikelqualifizierungsprozess veranschaulicht, der auf ein wiederhergestelltes Maskennahfeldbild angewendet wird, gemäß einer alternativen Ausführungsform der vorliegenden Erfindung.
    • 6 ist eine schematische Darstellung eines beispielhaften Inspektionssystems, in dem Techniken der vorliegenden Erfindung implementiert werden können.
    • 7A ist eine vereinfachte schematische Darstellung eines Lithografiesystems zum Übertragen eines Maskenmusters von einer Fotomaske auf einen Wafer gemäß bestimmter Ausführungsformen.
    • 7B zeigt eine schematische Darstellung einer Fotomaskeninspektionsvorrichtung gemäß bestimmter Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG VON AUSFÜHRUNGSBEISPIELEN
  • In der folgenden Beschreibung werden zahlreiche spezifische Details angegeben, um ein gründliches Verständnis der vorliegenden Erfindung zu ermöglichen. Die vorliegende Erfindung kann ohne einige oder alle dieser spezifischen Details ausgeführt werden. In anderen Fällen wurden bekannte Prozessvorgänge oder Vorrichtungskomponenten nicht im Detail beschrieben, um die vorliegende Erfindung nicht unnötig zu verschleiern. Wenn auch die Erfindung in Verbindung mit den spezifischen Ausführungsformen beschrieben wird, versteht es sich, dass es nicht beabsichtigt ist, die Erfindung auf die Ausführungsformen zu beschränken.
  • Es wäre vorteilhaft, die Defekte jeder Maske zu erfassen und auch sonst verschiedene Aspekte der Maske zu charakterisieren (z. B. Musterstabilität, CD, CD-Gleichförmigkeit), bevor Masken zu einer Herstellungseinrichtung transportiert werden, bevor Wafer unter Verwendung einer solchen Maske zur Herstellung hergestellt werden, und / oder zur periodischen Requalifizierung einer solchen Maske, nachdem eine solche Maske für bestimmte Zeiträume im Herstellungsprozess verwendet worden ist.
  • Eine Ausführungsform der vorliegenden Erfindung umfasst Techniken zum Wiederherstellen von Nahfeldbildern eines Retikels auf der Grundlage von Retikelbildern, die von einem Inspektionswerkzeug bei einer Vielzahl verschiedener Bildgebungsparameter erhalten wurden. Dieses Retikel-Nahfeldbild kann dann in einer Reihe von Retikelqualifizierungsanwendungen verwendet werden. In einem Beispiel kann das Retikel-Nahfeldbild in ein Lithografiemodell eingegeben werden, um ein Waferbild oder verschiedene Wafermustereigenschaften vorherzusagen, die sich darauf beziehen, wie die resultierenden Muster auf den Wafer gedruckt werden. Das vorhergesagte Waferbild und / oder verschiedene Wafereigenschaften können dann zur Defekterfassung, zur Retikelqualifizierung oder -requalifizierung und / oder zu irgendeiner anderen geeigneten Metrologie- oder Inspektionsanwendung analysiert werden. Das Retikel-Nahfeldbild kann auch selbst für verschiedene Zwecke analysiert werden, wie hierin weiter beschrieben wird.
  • Die Begriffe „Retikel“, „Maske“ und „Fotomaske“ werden hier austauschbar verwendet und können im Allgemeinen jeweils ein transparentes Substrat wie Glas, Borsilikatglas, Quarz oder Quarzglas mit einer darauf gebildeten Schicht aus lichtundurchlässigem Material umfassen. Das lichtundurchlässige (oder im Wesentlichen lichtundurchlässige) Material kann ein beliebiges geeignetes Material umfassen, das fotolithografisches Licht (z. B. tiefes UV oder extremes UV) vollständig oder teilweise blockiert. Beispielmaterialien umfassen Chrom, Molybdänsilicid (MoSi), Tantalsilicid, Wolframsilicid, lichtundurchlässiges MoSi auf Glas (OMOG) usw. Auch kann ein Polysiliciumfilm zwischen der lichtundurchlässigen Schicht und dem transparenten Substrat hinzugefügt werden, um die Haftung zu verbessern. Über dem lichtundurchlässigen Material kann ein schwach reflektierender Film wie Molybdänoxid (MoO2), Wolframoxid (WO2), Titanoxid (TiO2) oder Chromoxid (CrO2) gebildet werden. In einem speziellen Beispiel kann ein EUV-Retikel mehrere Schichten mit abwechselnden Schichten mit unterschiedlichen Brechungsindizes mit niedrigen Absorptionseigenschaften (wie Molybdän (Mo) und Silizium (Si)) und Absorbermaterialien (wie einen Tantal-Bornitrid-Film mit darüber liegendem dünnem Antireflexoxid) umfassen.
  • Der Begriff Retikel bezieht sich auf verschiedene Arten von Retikeln, einschließlich, ohne darauf beschränkt zu sein, eines Klarfeld-Retikels, eines Dunkelfeld-Retikels, eines binären Retikels, einer Phasenverschiebungsmaske (PSM), einer alternierenden PSM, einer gedämpften oder einer Halbton-PSM, einer ternär abgeschwächten PSM, einer chromlosen Phasenlithografie-PSM und einer chromlosen Phasenlithografie (CPL). Ein Klarfeld-Retikel hat transparente Feld- oder Hintergrundbereiche und ein Dunkelfeld-Retikel undurchsichtige Feld- oder Hintergrundbereiche. Ein binäres Retikel ist ein Retikel mit strukturierten Bereichen, die entweder transparent oder undurchsichtig sind. Beispielsweise kann eine Fotomaske verwendet werden, die aus einem transparenten Quarzglasrohling mit einem Muster hergestellt ist, das durch einen Chrommetall-Adsorptionsfilm definiert ist. Binäre Retikel unterscheiden sich von Phasenverschiebungsmasken (PSM), von denen ein Typ Filme umfassen kann, die nur teilweise Licht durchlassen, und diese Retikel können allgemein als Halbton- oder eingebettete Phasenverschiebungsmasken (EPSMs) bezeichnet werden, wie z. B. ArF und KrF-Masken. Wenn ein phasenverschiebendes Material auf abwechselnden freien Bereichen eines Retikels platziert wird, wird das Retikel als alternierende PSM, ALT-PSM oder Levenson-PSM bezeichnet. Eine Art von phasenverschiebendem Material, das auf beliebige Layoutmuster angewendet wird, wird als gedämpftes oder Halbton-PSM bezeichnet, das hergestellt werden kann, indem das lichtundurchlässige Material durch einen teilweise durchlässigen oder „Halbton“-Film ersetzt wird. Eine ternäre gedämpfte PSM ist eine gedämpfte PSM, die auch vollständig undurchsichtige Merkmale enthält.
  • Die nächste Generation der Lithografie hat die Verwendung von extrem-ultravioletter Strahlung (EUV, Wellenlänge 13,5 nm) eingeleitet, die sowohl in normaler Atmosphäre als auch in Glas absorbiert wird. Aus diesem Grund finden Lithografie-EUV-Prozesse unter Vakuum statt, und es werden optische reflektierende Linsen / Spiegel zum Fokussieren auf die EUV-Fotomasken verwendet, die reflektierende und Absorbermuster anstelle von durchscheinenden und lichtundurchlässigen Mustern aufweisen.
  • 1 ist ein Flussdiagramm, das eine Maskennahfeld-Wiederherstellungsprozedur 100 gemäß einer Ausführungsform der vorliegenden Erfindung darstellt. Der folgende Maskenwiederherstellungsprozess 100 kann für ein bestimmtes Retikel oder einen Satz von Retikeln zu jedem geeigneten Zeitpunkt im Lebenszyklus eines Retikels durchgeführt werden, wie nachstehend in verschiedenen Anwendungsfällen für das wiederhergestellte Maskennahfeld näher beschrieben wird. Beispielsweise kann ein Maskennahfeld vor der Herstellung von Wafern mit solchen Retikeln, vor dem Beginn der Herstellung von Wafern in großem Umfang oder während der Requalifizierung solcher Retikel wiederhergestellt werden.
  • Zu Beginn werden in Vorgang 102 mindestens drei Bilder der Maske bei unterschiedlichen Bildgebungskonfigurationen unter Verwendung eines Maskeninspektionswerkzeugs erfasst. Alternativ können zwei Bilder verwendet werden, es hat sich jedoch herausgestellt, dass die Verwendung von drei Bildern gut funktioniert. Die Erfassung mit verschiedenen Bildgebungskonfigurationen kann gleichzeitig oder nacheinander erfolgen. Die aufgenommenen Bilder müssen sich nicht in den Feldebenen befinden. Beispielsweise können die zwei oder mehr Bilder in den Pupillenebenen aufgenommen werden, in denen direkt auf die Beugungsintensität zugegriffen werden kann.
  • Verschiedene geeignete Kombinationen von Beleuchtungs- und / oder Sammlungskonfigurationen können zum Erfassen der zwei oder mehr Bilder verwendet werden. Die verschiedenen Abbildungskonfigurationen werden im Allgemeinen ausgewählt, um Bilder bereitzustellen, aus denen das Maskennahfeld berechnet werden kann. Beliebige geeignete bildgebende oder optische Konfigurationen können ausgewählt werden, so dass das Maskennahfeld unter den verschiedenen Betriebsbedingungen gleich bleibt. Beispiele umfassen unterschiedliche Fokuseinstellungen, unterschiedliche Beleuchtungsformen (z. B. unterschiedliche Richtungen oder Muster), unterschiedliche Polarisation für die gesamte Beleuchtungspupille oder unterschiedliche Teile der Beleuchtungspupille, unterschiedliche Apodisationseinstellungen, um unterschiedliche Teile des Sammelstrahls zu verdecken, usw. In einer Ausführungsform können unterschiedliche Fokuseinstellungen durch Fokussieren und Defokussieren (wie 0-Fokus, ± 800 oder ± 1600-Defokussieren usw.) verwendet werden, um die unterschiedlichen Bilder zu erfassen. In einem anderen Beispiel können unterschiedliche Quadranten der Beleuchtungspupille unterschiedliche Polarisationseinstellungen aufweisen. In einem anderen Beispiel können die Bildgebungskonfigurationen Bilder mit hoher Auflösung enthalten, wie beispielsweise Transmissions-Bilder (z. B. für ArF-Masken) mit unterschiedlichen Pupillenformen und / oder unterschiedlichen Fokusbedingungen. In einer anderen Ausführungsform können drei oder mehr reflektierte Bilder mit unterschiedlichen Pupillenformen und / oder unterschiedlichen Fokusbedingungen erhalten werden (z. B. für EUV-Masken).
  • Das Retikel kann mit einer „im Wesentlichen geringen Auflösung“ unter Verwendung einer relativ geringen NA (z. B. weniger als 0,5) abgebildet werden. Im Gegensatz dazu bezieht sich ein „Bild mit einer im Wesentlichen hohen Auflösung“ im Allgemeinen auf ein Bild eines Retikels, in dem Merkmale, die auf dem Retikel gedruckt sind, im Wesentlichen so erscheinen, wie sie auf dem Retikel ausgebildet sind (innerhalb der optischen Grenzen des zur Erzeugung des Bildes verwendeten Retikelinspektionssystems). Ein „im Wesentlichen hochauflösendes Bild“ eines Retikels ist ein Bild, das durch Abbilden des physikalischen Retikels auf der Retikelebene mit einem im Wesentlichen hochauflösenden Retikelinspektionssystem (z. B. einer numerischen Apertur (NA) von mehr als 0,8) erzeugt wird. Die „im Wesentlichen niedrige NA“, die zum Erzeugen eines Retikelbildes verwendet wird, kann im Wesentlichen dieselbe sein wie die NA auf der Retikelseite, die von einem Belichtungs- / Lithografiesystem verwendet wird, um ein Bild des Retikels auf einen Wafer zu projizieren, wodurch Merkmale des Retikels auf den Wafer übertragen werden. In dem Bild mit im Wesentlichen niedriger NA (oder LNI) können die Retikelmerkmale ein wesentlich anderes Erscheinungsbild aufweisen als die tatsächlichen Retikelmerkmale. Beispielsweise können Retikelmerkmale mehr abgerundete Ecken in einem LNI eines Merkmals aufweisen als das tatsächliche Merkmal, das auf dem Retikel gebildet ist.
  • Im Allgemeinen kann jedes geeignete Bildgebungswerkzeug für den Masken-Nahfeldwiederherstellungsprozess verwendet werden. In bestimmten hierin beschriebenen Ausführungsformen können die Ergebnisse eines anfänglichen Wiederherstellungsprozesses später für Musterstabilitäts- oder Defekterkennungsbewertungen in Bezug auf dasselbe Retikel oder andere Retikel basierend auf zusätzlichen Retikelbildern von einem bestimmten Inspektionswerkzeug verwendet werden. Aus Gründen der Konsistenz in diesen Anwendungsfällen können die Bilder des Retikels zur Maskennahfeldwiederherstellung mit dem Detektor des Retikel-Inspektionssystems erfasst werden, das zur anschließenden Inspektion desselben oder anderer Retikel verwendet wird, oder mit einem ähnlich konfigurierten Detektor von einem ähnlich konfiguriertes Retikel-Inspektionssystem (z. B. ein anderes Retikel-Inspektionssystem desselben Fabrikats und Modells wie das Retikel-Inspektionssystem, das für die Inspektion verwendet wird). Mit anderen Worten, die Bilder, die zur Maskenwiederherstellung verwendet werden können, können unter den gleichen optischen Bedingungen erfasst werden, die bei nachfolgenden Maskeninspektions- oder Qualifizierungsprozessen verwendet werden. Auf diese Weise kann die Wechselwirkung des Retikels mit den beleuchtenden elektromagnetischen Wellen des Inspektionssystems so direkt wie möglich gemessen werden.
  • In alternativen Ausführungsformen kann sich das zur Maskennahfeldwiederherstellung verwendete Werkzeug von einem Retikelinspektionssystem unterscheiden.
  • Beispielsweise kann das Bildgebungswerkzeug die gleichen Wellenlängen (z. B. Wellenlänge 193,3 nm für DUV oder 13,5 nm für EUV) wie das Lithografiesystem verwenden, in dem das Retikel für die Waferherstellung verwendet wird. Tatsächlich kann jede geeignete elektromagnetische Wellenlänge für die Maskennahfeldwiederherstellung verwendet werden.
  • Unter erneuter Bezugnahme auf das veranschaulichte Beispiel können in Vorgang 104 die drei oder mehr Bilder dann zueinander ausgerichtet werden oder jedes Bild kann an der Post-OPC-Datenbank ausgerichtet werden. Zum Beispiel können die erfassten Bilder über Raumdomänen- oder Frequenzdomänenverfahren ausgerichtet werden. Ausrichtungsanpassungen können von bestimmten Geometrien des verwendeten Bildgebungssystems abhängen. Wenn unterschiedliche Bilder unter Verwendung unterschiedlicher Sammelpfade erhalten werden, kann eine Anpassung der Bilder vorgenommen werden, um Unterschiede in den optischen Pfaden auszugleichen.
  • In dem Bildgebungswerkzeug wird ein Retikel mit verschiedenen Mustern durch elektromagnetische (EM-) Wellen beleuchtet, die aus vielen Richtungen einfallen. Dieses einfallende Licht wird an verschiedenen Punkten des Maskenmusters bei verschiedenen elektromagnetischen Feldphasen gebeugt, die unterschiedlich miteinander interferieren. Das Nahfeld des Retikels ist das elektromagnetische Feld in einem geringen Abstand von einigen Wellenlängen vom Retikel.
  • Die Sammeloptik lenkt im Allgemeinen einen beugungsbegrenzten Teil des Lichts vom Retikel zu einem Detektor (oder Wafer), um ein Bild zu erzeugen. Der Detektor detektiert die Intensität, die das Ergebnis von Interferenz aufgrund des Maskennahfelds ist, detektiert jedoch nicht die Phase.
  • Obwohl in den erfassten Signalen eine Fernfeldintensität erhalten wird, ist es wünschenswert, das Maskennahfeld wiederherzustellen, das Amplitude und Phase enthält. In der dargestellten Ausführungsform wird das Maskennahfeld basierend auf solchen erfassten Maskenbildern wiederhergestellt und gespeichert, wie in Vorgang 106 dargestellt. Im Allgemeinen werden mehrere Bilder (oder Signale) verwendet, um das Maskennahfeld wiederherzustellen, das sowohl Phasen- als auch Amplitudenkomponenten enthält. Die Nahfelddaten können durch eine Regressionstechnik auf der Grundlage der vom Retikel aufgenommenen Bilder bestimmt werden. Beispielsweise kann das Nahfeld eines ausgewählten Abschnitts des Retikels unter Verwendung einer Quasi-Newton- oder Konjugiertgradiententechnik aus seinen aufgenommenen optischen Bildern oder der Intensität von Bildern, die in einer Detektorebene aufgenommen wurden, wiederhergestellt (durch Regression ermittelt) werden. Zusätzlich können jedes andere geeignete Regressionsverfahren und / oder jeder andere geeignete Algorithmus verwendet werden, um die Nahfelddaten aus dem einen oder den mehreren tatsächlichen Bildern zu bestimmen.
  • Eine Maskennahfeldwiederherstellung kann im Allgemeinen durch Lösen eines Optimierungsproblems erreicht werden, das versucht, den Unterschied zwischen beobachteten Intensitätsbildern und resultierenden Bildern des angenommenen optischen Maskenfelds zu minimieren. Insbesondere ist das Wiederherstellen des Nahfelds eines Retikels aus seinen Intensitätsbildern ein inverses Problem oder ein Regressionsproblem. Das Nahfeld kann iterativ durch Minimieren einer Kostenfunktion (z. B. Energie- oder Malusfunktion) wiederhergestellt werden. Die minimierte Größe kann die Summe der quadrierten Differenzen zwischen den erfassten Bildern und den Intensitätsbildern am Detektor sein, die aus dem Maskennahfeld berechnet werden. Mit anderen Worten können Intensitätsbilder aus dem endgültigen Maskennahfeld für verschiedene Sätze von optischen Systemeigenschaften berechnet werden, und diese berechneten Bilder stimmen am genauesten mit den erfassten Bildern überein, wenn das Maskennahfeld gefunden ist. Verschiedene Masken-Nahfeld-Wiederherstellungsverfahren und Systemausführungsformen sind weiter im US-Patent Nr. 9,478,019 , erteilt am 25. Oktober 2016, von Abdurrahman Sezginer et al., beschrieben, welches Patent für alle Zwecke durch Bezugnahme in seiner Gesamtheit hierin aufgenommen ist.
  • In dem Fall, in dem mehrere Bilder unter verschiedenen optischen Bedingungen aufgenommen werden, kann die wiederhergestellte Nahfeldmaske m, die die Phasen- und Amplitudeninformation trägt, durch die folgende Gleichung bestimmt werden: m ' = arg min α c α x , y [ I α i λ i ( α ) | m ψ i ( α ) | 2 ] 2
    Figure DE112017007551T5_0001
  • In der obigen Gleichung 1 ist Iα das gemessene Bild für die Bildgebungsbedingung a, ψ i ( α )
    Figure DE112017007551T5_0002
    ein Satz von Eigenvektoren, die das Inspektionsbildgebungssystem beschreiben, λ i ( α )
    Figure DE112017007551T5_0003
    ein Satz von entsprechenden Eigenwerten für das Abbildungssystem und cα ist ein nicht-negativer Gewichtungsfaktor zwischen 0 und 1. Die obige Gleichung kann beispielsweise durch Verfahren wie Quasi-Newton- oder Konjugiertgradientenmethode iterativ gelöst werden.
  • Ein weiteres Beispiel sind die Gerchberg-Saxton-Algorithmen, bei denen eine Kombination von Feldebenenbildern und Pupillenebenenbeugungsordnungen verwendet werden kann, um sowohl nach der Amplitude als auch der Phase des Objekts zu lösen.
  • In einer Ausführungsform kann das Maskennahfeld basierend auf den erfassten Bildern über eine Hopkins-Näherung bestimmt werden. In einer anderen Ausführungsform enthält die Regression keine Approximationen für dünne Masken. Beispielsweise ist das Nahfeld des Retikels das elektromagnetische Feld, das gemäß Berechnung nahe der Oberfläche des Retikels vorhanden ist, wenn es von einer normal einfallenden ebenen Welle beleuchtet wird. Bei der Lithografie und Inspektion wird ein Retikel von ebenen Wellen beleuchtet, die aus vielen Richtungen einfallen. Wenn sich die Einfallsrichtung ändert, ändern sich gemäß der Hopkins-Näherung die Richtungen der Beugungsordnungen, aber ihre Amplituden und Phasen bleiben ungefähr unverändert. Die hier beschriebenen Ausführungsformen können die Hopkins-Phasenapproximation verwenden, machen jedoch keine sogenannten Dünnmasken- oder Kirchhoff-Approximationen.
  • Die Wiederherstellungsformel kann auch mit verschiedenen Normen oder durch Hinzufügen eines Regularisierungsterms R, der Schwingungen im Nahfeld unterdrückt, wie folgt variiert werden: m ^ = arg min α c α x , y [ I α i λ i ( α ) | m Ψ i ( α ) | 2 ] l + R ( m )
    Figure DE112017007551T5_0004
    wobei der Regularisierungsterm R vorherige Informationen über das Nahfeld oder Erwartungen auf der Grundlage des physikalischen Verständnisses des Maskensubstrats / -materials enthalten kann. Darüber hinaus kann die für die Bilddifferenz verwendete Norm eine I-Norm sein und basierend auf spezifischen Anforderungen der Optimierungsfunktion angepasst werden.
  • Interessanterweise ist die Interferenz der elektromagnetischen Feldvektoren der Maske infolge einer höheren NA größer (als bei einem Inspektionssystem mit niedrigerer NA), da der Bereich der Lichteinfallswinkel und der damit verbundenen interferierenden elektrischen Feldkomponenten für eine größere NA größer ist.
  • Die tatsächliche Maske kann aufgrund des Maskenschreibvorgangs von den beabsichtigten Entwurfsmustern abweichen. Das Erhalten der Nahfeldmaske aus Bildern der Maske bedeutet, dass eine solche Nahfeldmaske eher aus der tatsächlichen physikalischen Maske als aus der Entwurfsdatenbank erhalten wird. Das heißt, das Maskennahfeld kann ohne Verwendung der Entwurfsdatenbank wiederhergestellt werden.
  • Die Ergebnisse für das Maskennahfeld können dann in verschiedenen Anwendungen verwendet werden. In einer Ausführungsform können Ergebnisse für das Maskennahfeld verwendet werden, um Wafermuster unter Verwendung eines oder mehrerer Modelle vorherzusagen. Das heißt, das wiederhergestellte Maskennahfeld kann verwendet werden, um Lithografiebilder zu simulieren. Jede geeignete Technik kann verwendet werden, um Lithografiebilder basierend auf den Maskennahfeldbildern zu simulieren. Eine Ausführungsform umfasst die Berechnung des Lithografiebildes durch das Teilkohärenzmodell: I l i t h o ( x ; f , z ) = i λ i | a ^ ( x ) ψ i s ( x ; f , z ) | 2
    Figure DE112017007551T5_0005
    wobei λi die Eigenwerte der Lithografie-TCC (Transfer-Cross-Coefficients, Übertragungskreuzkoeffizienten) darstellt; ψ i s ( )
    Figure DE112017007551T5_0006
    repräsentiert Eigenvektoren (Kerne) von TCC; s ist der Waferstapel, einschließlich Schichtbrechungsindizes; f ist der Fokus; und z ist die vertikale Position der Lithografieebene in dem Fotolackmaterial. Die Übertragungskreuzkoeffizienten (TCC) von Gleichung 2 können eine vektorielle Ausbreitung des Feldes durch den Lithografieprojektor einschließlich des Schichtstapels auf dem Wafer einschließen.
  • Vor der Verwendung eines Modells zur Vorhersage von Waferergebnissen kann das Modell kalibriert werden, um so genaue Ergebnisse wie möglich zu erzielen. Das Modell kann mit jeder geeigneten Technik kalibriert werden. Bestimmte Ausführungsformen der vorliegenden Erfindung stellen Techniken zum Kalibrieren eines Lithografiemodells basierend auf Ergebnissen für das Maskennahfeld bereit, die aus einer Kalibrierungsmaske gewonnen werden. In alternativen Ausführungsformen wird die Entwurfsdatenbank verwendet, um das Modell zu kalibrieren. Beispielsweise können Bilder des Kalibrierungsretikels aus der Entwurfsdatenbank gerendert werden.
  • Ein Kalibrierungsretikel ist typischerweise so entworfen, dass es Eigenschaften aufweist, die im Wesentlichen denen des Retikels ähneln, das zwecks Defekterkennung inspiziert oder zu Metrologie-Zwecken gemessen werden soll. Beispielsweise sind das Kalibrierungsretikel und das Testretikel vorzugsweise aus im Wesentlichen den gleichen Materialien mit im Wesentlichen den gleichen Dicken und Zusammensetzungen gebildet. Zusätzlich können die zwei Retikel unter Verwendung der gleichen Prozesse gebildet worden sein. Auf den beiden Retikeln müssen nicht unbedingt die gleichen Muster gedruckt sein, solange die Muster auf den Retikeln in Segmente aufgeteilt werden können, die im Wesentlichen gleich sind (z. B. Linien mit ähnlichen Breiten usw.). Außerdem können das zu inspizierende Retikel und das zur Aufnahme der Bilder verwendete Retikel ein und dasselbe Retikel sein.
  • 2 ist ein Flussdiagramm, das ein Modell eines Kalibrierungsprozesses 200 gemäß einer spezifischen Implementierung der vorliegenden Erfindung darstellt. Wie gezeigt, können in Operation 208 der Fotolithografieprozess und der Fotolack so modelliert werden, wie sie auf Maskennahfeldbilder (201) angewendet werden, die von einem Kalibrierungsretikel unter Verwendung eines anfänglichen Satzes von Modellparametern gewonnen wurden. Alternativ kann der Kalibrierungsprozess 200 simulierte Kalibrierungsretikelbilder (202) verwenden, die aus der Designdatenbank simuliert werden. Retikelbilder können aus der Datenbank gerendert werden, indem Retikelherstellungs- und Bildgebungsprozesse in der Designdatenbank simuliert werden. Jedes geeignete Modell kann verwendet werden, um optische Bilder für die Merkmale der Designdatenbank zu erzeugen. Beispielsweise kann eine solche Simulation die Verwendung der hier beschriebenen Sum-Of-Coherent-Systems-(SOCS)- oder Abbe-Methoden umfassen. Es gibt mehrere Softwarepakete, die Intensitätsbilder eines optischen Systems aus einer bekannten Entwurfsdatenbank simulieren können. Ein Beispiel ist Dr.LiTHO, das am Fraunhofer IISB in Erlangen, Deutschland, entwickelt wurde. Im Fall der Simulation eines Bildes aus der Designdatenbank 202 kann zuerst das Nahfeld simuliert werden, was durch das oben genannte Softwarepaket sowie durch mehrere andere Pakete, einschließlich unter anderen Prolith von KLA-Tencor, HyperLith von Panoramic Technologies, durchgeführt werden kann.
  • Das Modell zum Erzeugen von Waferbildern basierend auf Retikel-Nahfeldbildern kann nur die Auswirkung des Fotolithografie-Scanners enthalten, und es kann auch die Auswirkung von Fotolack-, Ätz-, CMP- oder anderen Waferprozessen enthalten. Ein Beispiel für ein Prozesssimulationsmodell-Werkzeug ist Prolith, erhältlich von KLA-Tencor Corp., Milpitas, CA. Fotolack- und Ätzprozesse können streng oder näherungsweise modelliert werden. In einer spezifischen Ausführungsform kann das Modell in Form eines kompakten Fotolackmodells vorliegen, das eine 3D-Säurediffusion innerhalb eines bestimmten Fotolackmaterials und einer bestimmten Konfiguration mit damit verbundenen Randbedingungen sowie eine einzelne Schwelle zur Erzeugung des latenten Bildes umfasst.
  • Es wird angemerkt, dass das modellierte Lithografiewerkzeug eine andere Beleuchtungsform oder -quelle aufweisen kann als das Retikelinspektionswerkzeug zum Erfassen tatsächlicher Bilder des Retikels. In bestimmten Ausführungsformen kann das modellierte Lithografiewerkzeug eine gleiche oder eine ähnliche Quelle aufweisen wie ein Retikelinspektionswerkzeug.
  • Andere Simulationsansätze wie SOCS oder Abbe können verwendet werden. Der allgemein als Sum-Of-Coherent-Systems (SOCS) bekannte Algorithmus versucht, das Bildgebungssystem in eine Reihe linearer Systeme umzuwandeln, deren Ausgangssignale quadriert, skaliert und summiert werden. Die SOCS-Methode wurde an anderer Stelle beschrieben, unter anderem in der Doktorarbeit von Nicolas Cobb, „Fast Optical and Process Proximity Correction Algorithms for Integrated Circuit Manufacturing “, University of California, Berkeley, Frühjahr 1998. Der Abbe-Algorithmus umfasst das Berechnen des Bilds des Objekts für jede Punktquelle nacheinander und das anschließende Aufsummieren der Intensitätsbilder unter Berücksichtigung der relativen Intensität jedes Quellpunkts.
  • Die Eingabe für das Modell und seine Modellierungsparameter enthält eine Reihe von Prozessbedingungen, die auf eine wiederhergestellte Nahfeldmaske angewendet werden. Das heißt, das Modell ist konfiguriert, um verschiedene Sätze von Prozessbedingungen auf der rekonstruierten Nahfeldmaske (oder dem simulierten Maskenbild) zu simulieren. Jeder Satz von Prozessbedingungen entspricht im Allgemeinen einem Satz von Waferherstellungsprozessparametern, die den Waferprozess zum Bilden eines Wafermusters aus der Maske charakterisieren oder teilweise charakterisieren. Beispielsweise kann eine bestimmte Einstellung von Fokus und Belichtung in das Modell eingegeben werden. Andere einstellbare Modellparameter können auch einen oder mehrere der folgenden Parameter umfassen: einen Wellenfrontparameter der Projektionslinse, einen Apodisationsparameter, einen Fokusfehlerparameter für chromatische Aberrationen, einen Vibrationsparameter, einen Fotolackprofilindex, eine Metrik für Fotolackunreinheiten, eine Top-Loss-Metrik, usw. Die Verwendung eines solchen Modells mit verschiedenen Sätzen von Prozessbedingungen kann zu einem Satz von simulierten Wafer- oder Fotolackmusterbildern führen, die durch die rekonstruierte Nahfeldmaske unter verschiedenen Verarbeitungsbedingungen erzeugt werden, und diese simulierten Waferbilder können zur Bewertung der Musterstabilität und Defektdetektion verwendet werden, wie hierin weiter beschrieben.
  • Ein Kalibrierungsretikel kann auch verwendet werden, um einen Kalibrierungswafer herzustellen, von dem in Vorgang 216 tatsächliche Bilder erhalten werden. In einem Beispiel werden die tatsächlichen Bilder unter Verwendung eines Rasterelektronenmikroskops (REM) für kritische Dimensionen (CD) aufgenommen. Andere Bildgebungswerkzeuge können verwendet werden, jedoch wird ein Werkzeug mit hoher Auflösung bevorzugt.
  • Im Allgemeinen enthält der Kalibrierungswafer eine beliebige Anzahl bekannter Strukturen, die stark variieren können. Die Strukturen können in Form von Gittern vorliegen, die typischerweise periodisch sind. Jedes Gitter kann periodisch in einer Richtung (X oder Y) sein, beispielsweise als Linienraummuster, oder es kann periodisch in zwei Richtungen (X und Y) sein, beispielsweise als Gitterraummuster. Beispiele eines Gitterraumgitters können eine Anordnung von Linien in der Y-Richtung enthalten, wobei jede Linie in der X-Richtung segmentiert ist. Ein weiteres Beispiel für einen Gitterraum ist ein Array von Punktstrukturen. Das heißt, jede Struktur kann die Form eines Linienraumgitters, eines Gitterraumgitters, einer Schachbrettmusterstruktur usw. annehmen. Die Strukturdesignmerkmale können jeweils die Linienbreite (Breite in einer bestimmten Höhe), den Linienabstand, die Linienlänge, die Form, den Seitenwandwinkel, die Höhe, die Teilung, die Gitterorientierung, das obere Profil (Grad der oberen Abrundung oder T-Abschluss), das untere Profil (Grundriss) usw. umfassen. Der Kalibrierungswafer kann Strukturen mit unterschiedlichen Kombinationen dieser Merkmalseigenschaften enthalten. Es versteht sich, dass unterschiedliche Struktureigenschaften (wie unterschiedliche Breiten, Abstände, Formen, Teilung usw.) unterschiedliche Reaktionen auf die Fokussierung zeigen, weshalb die Kalibrierungsmaske vorzugsweise unterschiedliche Strukturen mit unterschiedlichen Eigenschaften umfasst.
  • In einer spezifischen Ausführungsform kann der Kalibrierungswafer die Form eines „Design of Experiments“ (DOE) -Wafers mit unterschiedlichen Messstellen haben, die unterschiedlichen Verarbeitungsbedingungen ausgesetzt waren. In allgemeineren Ausführungsformen sind Prozessparametervariationen in einem Muster auf der Oberfläche eines Halbleiterwafers (als DOE-Wafer bezeichnet) organisiert. Auf diese Weise entsprechen die Messstellen unterschiedlichen Stellen auf der Waferoberfläche, denen unterschiedliche Prozessparameterwerte zugeordnet sind. In einem Beispiel ist das DOE-Muster ein Fokus-/Belichtungs-Matrix-(Focus- / Exposure-Matrix-, FEM-) Muster. Typischerweise enthält ein DOE-Wafer, der ein FEM-Muster aufweist, ein Gittermuster von Messstellen. In einer Gitterrichtung (z. B. der x-Richtung) wird die Belichtungsdosis variiert, während die Fokustiefe konstant gehalten wird. In der orthogonalen Gitterrichtung (z. B. der y-Richtung) wird die Fokustiefe variiert, während die Belichtungsdosis konstant gehalten wird. Auf diese Weise umfassen von dem FEM-Wafer gesammelte Messdaten Daten, die bekannten Variationen in den Fokus- und Dosierungsprozessparametern zugeordnet sind.
  • FEM-Messstellen sind im Allgemeinen über den Fokusbelichtungsmatrixwafer verteilt. Tatsächlich kann es im Allgemeinen eine oder mehrere Messstellen pro Feld geben. Jedes Feld kann unter Verwendung einer anderen Kombination von Fokus und Belichtung gebildet werden (oder kann nur Fokus oder Belichtung sein). Beispielsweise kann ein erstes Feld unter Verwendung einer ersten Kombination erzeugt werden, und ein zweites Feld kann unter Verwendung einer zweiten Kombination erzeugt werden, die sich von der ersten Kombination unterscheidet. Die mehreren Kombinationen können unter Verwendung von variierendem Fokus und variierender Belichtung, variierendem Fokus - konstanter Belichtung, konstantem Fokus - variierender Belichtung und dergleichen erzeugt werden.
  • Die Anzahl der Messstellen kann ebenfalls unterschiedlich sein. Die Anzahl der Stellen pro Feld ist bei Produktionswafern im Allgemeinen geringer, da die Fläche auf Produktionswafern so wertvoll ist. Aufgrund von Zeitbeschränkungen in der Produktion werden auch weniger Messungen an einem Produktwafer als an einem Fokusbelichtungsmatrixwafer durchgeführt. In einer Ausführungsform wird eine einzelne Stelle pro Feld gemessen. In einer anderen Ausführungsform werden mehrere Stellen pro Feld gemessen.
  • In den meisten FEM-Fällen werden die Messstellenstrukturen aus identisch gestalteten Mustern unter Verwendung unterschiedlicher Verarbeitungsparameter gebildet. Es ist jedoch zu beachten, dass unterschiedliche Fokusbelichtungsmatrizen unterschiedliche Strukturen haben können. Beispielsweise kann eine erste Matrix unter Verwendung eines ersten Gittertyps ausgeführt werden, und eine zweite Matrix kann unter Verwendung eines zweiten Gittertyps ausgeführt werden, der sich vom ersten Gittertyp unterscheidet.
  • In einer alternativen Ausführungsform können simulierte Kalibrierungsbilder (202), die aus der Designdatenbank für ein Kalibrierungsretikel gerendert werden, als Eingabe in das Modell verwendet werden. Das heißt, das Modell kann kalibriert werden, ohne das Nahfeld von einem physikalischen Kalibrierungsretikel wiederherzustellen. Stattdessen wird das Lithografiebild simuliert, indem das Nahfeld aus der Designdatenbank simuliert (nicht wiederhergestellt) und das Lithografieabbildungsmodell auf das simulierte Nahfeld angewendet wird, um zu dem Lithografieresultat zu gelangen, das mit den tatsächlichen Ergebnissen vom Wafer (216) verglichen wird.
  • Im Allgemeinen werden optische Signaldaten, die mit bekannten Variationen eines beliebigen Satzes von Prozessparametern, Strukturparametern oder beidem assoziiert sind, in Betracht gezogen. Unabhängig von der Form können die Kalibrierungswaferstrukturen in einer Vielzahl unterschiedlicher Waferschichten gedruckt werden. Insbesondere werden die gedruckten Strukturen im Allgemeinen unter Verwendung von Standardlithografieverfahren (z. B. Projizieren eines Schaltungsbildes durch ein Retikel und auf einen mit Fotolack beschichteten Siliciumwafer) in einer Schicht aus Fotolack gedruckt. Der Wafer kann ein Kalibrierungswafer mit Materialschichten sein, die den Materialien entsprechen, die typischerweise auf Produktwafern in diesem Schritt des Testprozesses vorhanden sind. Die gedruckten Strukturen können über andere Strukturen in darunterliegenden Schichten gedruckt werden. Der Kalibrierungswafer kann ein Produktwafer sein, der das Potenzial hat, funktionierende Bauelemente hervorzubringen. Der Kalibrierungswafer kann ein einfacher Wafer sein, der nur zum Kalibrieren des Modells verwendet wird. Der Kalibrierungswafer kann derselbe Wafer sein, der zum Kalibrieren des OPC-Entwurfsmodells verwendet wird. Es kann mehr als ein Kalibrierungswafer verwendet werden, um das Lithografiemodell zu kalibrieren. Bei Verwendung mehrerer Kalibrierungswafer können die gleichen oder verschiedene Kalibrierungsretikel verwendet werden. Die verschiedenen Kalibrierungsretikel können Muster mit unterschiedlichen Abmessungen aufweisen, um einen größeren Bereich von Bilddaten zu erzeugen.
  • Die zur Bildung der Kalibrierungsstrukturen verwendeten Prozessparameter sind im Allgemeinen so konfiguriert, dass die Merkmale des Musters innerhalb der gewünschten Spezifikationen bleiben. Beispielsweise können die Kalibrierungsstrukturen als Teil eines Kalibrierungsvorgangs auf einen Kalibrierungswafer gedruckt werden, oder sie können während der Produktion auf einen Produktionswafer gedruckt werden. In der Produktion werden die Kalibrierungsstrukturen typischerweise in die Schreibzeile zwischen Bauelementbereiche (z. B. Dies, die den IC definieren) gedruckt, die auf einem Produktionswafer angeordnet sind. Die Messstellen können eigene Kalibrierungsstrukturen sein, die um die Bauelementstrukturen herum angeordnet sind, oder sie können ein Teil der Bauelementstruktur sein (z. B. ein periodischer Teil). Es versteht sich, dass die Verwendung eines Teils der Bauelementstruktur schwieriger sein kann, jedoch tendenziell genauer ist, da es sich um einen Teil der Bauelementstruktur handelt. In einer anderen Ausführungsform können die Kalibrierungsstrukturen über einen gesamten Kalibrierungswafer gedruckt werden.
  • Unter erneuter Bezugnahme auf 2 können entsprechende modellierte und Kalibrierungsergebnisse (z. B. Bilder) in Vorgang 210 verglichen werden. Dann kann in Vorgang 212 bestimmt werden, ob die Modellparameter anzupassen sind. Sind Modellparameter anzupassen, werden sie in Vorgang 214 angepasst, und die Prozedur 200 wiederholt den Vorgang 208 zum Modellieren des Lithografieprozesses (und des Fotolacks) unter Verwendung der angepassten Parameter. Modellparameter können angepasst werden, bis eine Quantifizierung der Unterschiede zwischen dem Modell und den Kalibrierungsbildern ein Minimum erreicht hat, das außerdem unter einem vordefinierten Schwellwert liegt. Die minimierte Größe kann die Summe der quadratischen Differenzen zwischen den erfassten Kalibrierungsbildern und den simulierten Bildern sein. Die Ausgabe dieses Prozesses 200 ist ein Lithografie- / Fotolack-Modell und dessen endgültige Modellparameter. Dieser Satz von Modellparametern überwindet aufgrund der Verwendung des Maskennahfelds die technische Hürde, die mit der Modellierung von Maskenprozessen und der Berechnung der 3D-Masken-Beugung verbunden ist.
  • Die simulierten Wafermuster, die auf den wiederhergestellten Maskennahfeldergebnissen basieren, können für eine Reihe von Maskeninspektions-, Metrologie- und / oder Qualifizierungszwecke verwendet werden. In einer Ausführungsform wird eine Retikelqualifizierung durchgeführt, indem bewertet wird, ob das wiederhergestellte Maskennahfeld wahrscheinlich zu Wafermusterdefekten unter einem Bereich simulierter Waferherstellungsbedingungen führen wird. Für die Defektdetektion ist die Druckbarkeit eines Retikeldefekts auf dem Wafer wichtig, und die Druckbarkeit von Retikeldefekten hängt direkt vom Retikel-Nahfeld und dem Lithografiesystem ab.
  • Nachdem ein endgültiges kalibriertes Lithografie- / Fotolack- / Ätzmodell für einen bestimmten Prozess erhalten wurde - unabhängig davon, wie ein solches Modell erhalten wurde -, kann ein solches Modell verwendet werden, um aus einer Maske genaue Waferebenen-Fotolackbilder zu erzeugen (z.B. nach dem Entwickeln oder nach dem Ätzen), vor der Waferherstellung mit einer solchen Maske oder zur Requalifizierung einer solchen Maske. Mit diesen Fotolackbildern kann man die Waferbilder mit hoher Zuverlässigkeit und über verschiedene Fokus- und Belichtungseinstellungen oder andere Lithografieparameter auf Inspektionsmuster untersuchen. Da dieser Bewertungsprozess vor der Waferherstellung stattfinden kann, können Qualifizierungs- und Fehlererkennungszyklen erheblich verkürzt werden. Simulierte Waferbilder können auch die Trennung verschiedener Ursachen für Strukturierungsprobleme ermöglichen, indem die simulierten Waferbilder nach der Lithografie, nach dem Anwenden des Fotolackmodells und nach dem Ätzen verglichen werden.
  • 3 zeigt ein Flussdiagramm, das einen Retikelqualifizierungsprozess 300 gemäß einer Ausführungsform der vorliegenden Erfindung darstellt. In Vorgang 302 wird ein Maskennahfeldbild wiederhergestellt, z. B. für ein bestimmtes Retikel basierend auf Bildern, die von einem solchen bestimmten Retikel aufgenommen wurden. Dieser Vorgang kann die Masken-Nahfeld-Wiederherstellungsvorgänge von 1 enthalten. Nachdem ein Maskennahfeld erhalten wurde, kann der Lithografieprozess (und der Fotolack) auch unter Verwendung der endgültigen Modellparameter in Bezug auf das wiederhergestellte Nahmaskenfeld in Vorgang 303 modelliert werden. Beispielsweise wird das endgültige Modell verwendet, um Waferbilder unter Verwendung eines Maskennahfeldbilds zu simulieren.
  • Das simulierte Wafermuster kann dann in Vorgang 322 beurteilt werden, um die Musterstabilität zu bestimmen und / oder Defekte zu lokalisieren. Es kann allgemein bestimmt werden, ob das entsprechende Retikel wahrscheinlich zu instabilen oder fehlerhaften Wafermustern führt. In einer Ausführungsform wird das Modell auf das Maskennahfeldbild oder die Maskennahfeldergebnisse unter Verwendung einer Vielzahl unterschiedlicher Prozessbedingungen, wie Fokus und Dosis, angewendet, um die Stabilität des Retikeldesigns unter variierenden Prozessbedingungen zu bewerten.
  • 4A ist ein Flussdiagramm, das einen Prozess 400 zum Bestimmen der Wafermusterstabilität gemäß einer beispielhaften Anwendung der vorliegenden Erfindung darstellt. Anfänglich kann jedes Testbild in Vorgang 402 zu seinem entsprechenden Referenzbild ausgerichtet werden, wobei diese Bilder auch von dem Modell unter verschiedenen Sätzen von Prozessbedingungen erzeugt wurden. Die verschiedenen Test- und Referenzbilder werden vom Modell unter verschiedenen Verarbeitungsbedingungen / -parametern berechnet.
  • Jedes Paar von ausgerichteten Bildern kann in Vorgang 404 miteinander verglichen werden, um einen oder mehrere Wafermusterdifferenzen zu erhalten. In Vorgang 406 können dann Schwellwerte mit jeder Wafermusterdifferenz assoziiert werden. Die Wafermusterdifferenzen und ihre zugehörigen Schwellwerte können zusammen verwendet werden, um die Musterstabilität zu charakterisieren. Das heißt, das Ausmaß der Abweichung eines bestimmten Musters (Musterdifferenzen) unter verschiedenen simulierten Prozessbedingungen und ob eine solche Abweichung einen zugeordneten Schwellwert überschreitet, charakterisieren zusammen die Musterstabilität. Das Prozessfenster eines Herstellungsprozesses spezifiziert ein erwartetes oder definiertes Ausmaß an Prozessabweichung, unter dem die resultierenden Muster bewertet werden, um sicherzustellen, dass sie stabil oder innerhalb bestimmter spezifizierter Abweichungstoleranzen (z. B. Schwellwert) bleiben.
  • Unterschiedlichen Bereichen des Retikels und damit entsprechenden Wafermustern können unterschiedliche Schwellwerte zur Beurteilung der Musterstabilität zugeordnet werden. Die Schwellwerte können alle gleich sein oder unterschiedlich, basierend auf verschiedenen Faktoren, wie z. B. dem Musterentwurfskontext, dem Muster-MEEF-Niveau (oder dem Maskenfehler-Verstärkungsfaktor, Mask Error Enhancement Factor, wie weiter unten beschrieben) oder der Empfindlichkeit der Bauelementfunktion gegenüber einer Variation des Wafermusters usw. Beispielsweise kann man eine engere Schwelle für Muster in einem dichten Bereich im Vergleich zu einem halbdichten Bereich des Retikels wählen.
  • Optional kann sowohl im Referenz- als auch im Testmaskenmuster ein anfänglicher Satz von Hot Spots oder Bereichen mit Musterschwäche identifiziert werden. Beispielsweise kann ein Designer eine Liste von Design-Hot-Spot-Koordinaten bereitstellen, die für die Bauelementfunktion kritisch sind. Beispielsweise kann Bereichen, die als Hot Spots definiert sind, ein Detektionsschwellwert zugewiesen werden, während Nicht-Hot-Spot-Bereichen ein höherer Schwellwert zugewiesen werden kann (zur Defektdetektion). Diese Unterscheidung kann zur Optimierung der Inspektionsressourcen verwendet werden.
  • Diese Bewertung der Musterstabilität kann verwendet werden, um die Retikelqualifizierung zu erleichtern und dadurch viele Herausforderungen auf diesem Gebiet zu überwinden. Mit zunehmender Dichte und Komplexität von integrierten Schaltkreisen (ICs) wird die Inspektion von fotolithografischen Maskenmustern immer schwieriger. Jede neue Generation von ICs weist dichtere und komplexere Muster auf, die derzeit die optischen Grenzen von Lithografiesystemen erreichen und übertreffen. Um diese optischen Grenzen zu überwinden, wurden verschiedene Auflösungsverbesserungstechniken (RET = Resolution Enhancement Techniques) eingeführt, wie z. B. die optische Näherungskorrektur (OPC = Optical Proximity Correction). Beispielsweise hilft OPC, einige Beugungsbeschränkungen zu überwinden, indem Fotomaskenmuster so modifiziert werden, dass die resultierenden gedruckten Muster den ursprünglich gewünschten Mustern entsprechen. Solche Modifikationen können Veränderungen der Größen und Kanten der hauptsächlichen IC-Merkmale, d. h. der druckbaren Merkmale, einschließen. Andere Modifikationen umfassen das Hinzufügen von Serifen zu Musterecken und / oder das Bereitstellen von Unterstützungsstrukturen unterhalb der Auflösungsgrenze (SRAFs = Sub Resolution Assist Features) in der Nähe, bei denen nicht davon ausgegangen wird, dass sie zu gedruckten Merkmalen führen, und die man daher als nicht-druckbare Merkmale bezeichnet. Es wird erwartet, dass diese nicht-druckbaren Merkmale Musterstörungen aufheben, die andernfalls während des Druckvorgangs aufgetreten wären. OPC macht Maskenmuster jedoch noch komplexer und in der Regel sehr unähnlich zu den resultierenden Waferbildern. Darüber hinaus führen OPC-Defekte häufig nicht zu druckbaren Defekten. Die erhöhte Komplexität des Fotomaskenmusters und die Tatsache, dass nicht alle Musterelemente das gedruckte Muster direkt beeinflussen sollen, erschweren die Inspektion der Fotomaske auf bedeutende Musterdefekte erheblich. Da sich die Halbleiterindustrie zu immer kleineren Merkmalen entwickelt, beginnen führende Hersteller, noch exotischere OPCs wie die inverse Lithografietechnologie (ILT) zu verwenden, die zu hochkomplexen Mustern auf der Maske führen. Daher ist es äußerst wünschenswert, die Maskenschreibtreue und ihre Waferdruckqualität vor der physischen Herstellung des Wafers zu kennen.
  • Ein Maß für die Bedeutung eines Defekts ist sein MEEF oder Mask Error Enhancement Factor. Dieser Faktor bezieht die Größe des Defekts in der Maskenebene auf die Größe der Auswirkung, die er auf das gedruckte Bild hat. Defekte mit hohem MEEF wirken sich stark auf das gedruckte Muster aus; Defekte mit niedrigem MEEF wirken sich kaum oder gar nicht auf das gedruckte Muster aus. Ein zu kleines Merkmal des Hauptmusters in einem Musterabschnitt mit dichten feinen Linien ist ein Beispiel für einen Defekt mit hohem MEEF, bei dem ein kleiner Größenfehler in der Maskenebene ein vollständiges Zusammenfallen des gedruckten Musters verursachen könnte. Ein isoliertes kleines Pinhole ist ein Beispiel für einen Defekt mit niedrigem MEEF, bei dem der Defekt selbst zu klein ist, um gedruckt zu werden, und weit genug von der nächsten Hauptmusterkante entfernt ist, um nicht zu beeinflussen, wie diese Kante gedruckt wird. Wie diese Beispiele zeigen, ist der MEEF eines Defekts eine etwas komplizierte Funktion des Defekttyps und des Musterkontexts, in dem sich der Defekt befindet.
  • Zusätzlich zu Maskendefekten mit höherem MEEF, die signifikantere Waferdefekte verursachen, können bestimmte Designmuster und entsprechende Maskenmuster robuster gegenüber Prozessänderungen sein als andere Design- und Maskenmuster. Wenn der Herstellungsprozess beginnt, von den optimalen Prozessbedingungen abzuweichen, können bestimmte Maskenmuster zu bedeutenderen Störungen des Wafermusters und zu Defekten führen.
  • 4B ist ein Flussdiagramm, das eine Defektinspektionsprozedur 450 gemäß einer anderen Ausführungsform der vorliegenden Erfindung darstellt. In Vorgang 452 kann jedes modellierte Testwaferbild mit seinem entsprechenden Referenzbild ausgerichtet werden. In einer Ausführungsform kann eine Die-zu-Die- oder Zelle-zu-Zelle-Ausrichtung erreicht werden. In einer anderen Ausführungsform wird das modellierte Testwaferbild mit einem gerenderten Referenzbild aus dem entsprechenden Post-OPC-Design ausgerichtet. Beispielsweise wird das Post-OPC-Design verarbeitet, um den Retikelherstellungsprozess für ein solches Design zu simulieren. Beispielsweise werden Ecken abgerundet. Im Allgemeinen kann ein Referenzbild von demselben Die wie das Testbild zu einem früheren Zeitpunkt stammen, von einem benachbarten identischen Die oder aus der Designdatenbank gerendert werden. In einem spezifischen Beispiel wird das Referenzbild von einem „goldenen“ Die erhalten, von dem nachgewiesen wurde, dass er keine Defekte aufweist (z. B. unmittelbar nachdem das Retikel hergestellt und qualifiziert wurde). Die goldenen Retikelbilder, die von dem Retikel erhalten wurden, als bekannt war, dass es nicht defekt ist, können gespeichert und später verwendet werden, um bei Bedarf die goldenen Retikel-Nahfeldbilder und Waferbilder zu berechnen. Alternativ können die Nahfeldbilder des goldenen Retikels für den einfachen Zugriff gespeichert werden, ohne dass das Nahfeld bei zukünftigen Inspektionen neu berechnet werden muss.
  • Jedes Paar ausgerichteter Test- und Referenzbilder wird basierend auf einem zugeordneten Schwellwert verglichen, um in Vorgang 454 Retikeldefekte zu lokalisieren. Jeder geeignete Mechanismus kann verwendet werden, um Schwellwerte bestimmten Retikelbereichen zuzuordnen, wie dies weiter oben beschrieben ist. Beliebige geeignete Metriken der Test- und Referenzbilder können verglichen werden. Beispielsweise kann die Kontur von Test- und Referenzwaferbildern als Metrik für Kantenplatzierungsfehler (EPE, Edge Placement Error) verglichen werden.
  • Für jeden Retikeldefekt kann dann in Vorgang 456 der entsprechende simulierte Waferdefektbereich mit seinem entsprechenden Referenz-Pre-OPC-Bereich verglichen werden. Das heißt, die simulierten Wafermuster werden bewertet, um zu bestimmen, ob der Retikeldefekt zu einem Waferdefekt führt, der von dem beabsichtigten Design abweicht.
  • Unter erneuter Bezugnahme auf 3 kann dann basierend auf den simulierten Retikelbildern in Vorgang 324 bestimmt werden, ob das Design fehlerhaft ist. In einer Ausführungsform wird bestimmt, ob das Designmuster unter einem bestimmten Bereich von Prozessbedingungen (oder Prozessfenster) zu einer nicht akzeptablen Variation des Wafermusters führt. Es wird festgestellt, ob es einen signifikanten Unterschied aufgrund der Prozessvariabilität gibt. Wenn die Differenz zwischen unterschiedlich verarbeiteten Wafermustern höher als ein entsprechender Schwellwert ist, können solche Wafermuster als fehlerhaft angesehen werden. Diese systematischen Defekte werden als Hot Spots bezeichnet. Es kann auch bestimmt werden, ob irgendein Unterschied zwischen einem simulierten Wafermuster von dem Retikel und seinem entsprechenden Prä-OPC-Muster über einem vordefinierten Schwellwert liegt. Wenn festgestellt wird, dass das Design fehlerhaft ist, kann das Design in Vorgang 332 modifiziert werden.
  • Sobald das Design eines Retikels überprüft wurde, enthält das Retikel möglicherweise noch Hot Spots, die überwacht werden sollten. Die folgenden Vorgänge werden als auf einer Maske implementiert beschrieben, für die es mindestens einige identifizierte Hot Spots gibt. Wenn die Maske keine identifizierten Hot Spots enthält, können natürlich die folgenden Vorgänge von 3 übersprungen werden, und die Maske kann verwendet werden, ohne dass eine Überwachung von Hot Spots während der Herstellung und Inspektion durchgeführt wird.
  • Wenn in dem veranschaulichten Beispiel das Design nicht als fehlerhaft erachtet wird, kann dann in Vorgang 326 bestimmt werden, ob irgendwelche Hot Spots überwacht werden können. Wenn bestimmt wird, dass die Hot Spots überwacht werden können, können die Hot Spots dann während des Waferprozesses in Vorgang 334 überwacht werden. Beispielsweise können die Hot-Spot-Muster während der Waferherstellung überwacht werden, um zu bestimmen, ob der Prozess von der Spezifikation abgewichen ist und bewirkt hat, dass das entsprechende Wafermuster kritische Parameter aufweist, die sich auf nicht akzeptable Werte ändern. Eine Implementierung kann das Einstellen eines relativ hohen MEEF-Pegels zur Inspektion des entsprechenden Retikel- und / oder Wafermusters des Hot Spots beinhalten. Wenn sich die Bedingungen weiter von den nominalen Prozessbedingungen entfernen, können CD oder EPE groß werden und die Integrität des Waferherstellungsprozesses gefährden.
  • Hot-Spot-Muster können lediglich identifiziert werden, wenn sich ein Testmaskenmuster um einen vordefinierten Betrag ändert, ungeachtet dessen, wie sich eine solche Änderung zu dem ursprünglich beabsichtigten Design (z. B. Prä-OPC-Daten) verhält. Mit anderen Worten kann eine signifikante Änderung des physikalischen Maskenmusters unter verschiedenen Prozessbedingungen ein Problem mit dem beabsichtigten Entwurfsmuster anzeigen. Unterschiede zwischen den entsprechenden modellierten Bildteilen repräsentieren Unterschiede in der Auswirkung der Prozessbedingungen auf das entworfene Muster und die hergestellte Maske. Mit einem bestimmten Entwurfsmuster verbundene Unterschiede werden üblicherweise als „Entwurfs-Hot-Spots“ oder einfach als „Hot Spots“ bezeichnet und stellen Schwachstellen im Entwurf in Bezug auf die bestimmten Prozessbedingungen dar, die untersucht wurden, möglicherweise auch in Bezug auf die hergestellte Maske. Beispiele für die Arten von Unterschieden, die zwischen modellierten Bildern für verschiedene Prozessbedingungen auftreten können, sind CD (kritische Abmessung) oder EPE (Kantenplatzierungsfehler).
  • In einer anderen Ausführungsform kann, wenn das Modell auf die Post-OPC-Designdatenbank angewendet wird, das resultierende Wafermuster dem Muster entsprechen, das nach Absicht des Designers auf den Wafer gedruckt werden soll. Optional können die Ergebnisse aus der Anwendung des Modells auf die Post-OPC-Datenbank mit den modellierten Bildern verwendet werden, um die Hot-Spot-Erkennung zu verbessern. Beispielsweise berücksichtigt ein Modell der Post-OPC-Datenbank nur Designeffekte und kann so verwendet werden, um die Auswirkung des Waferprozesses auf das Design und die Auswirkung des Waferprozesses auf die hergestellte Maske zu trennen. Modellierte Muster aus dem Maskennahfeld können mit modellierten Waferbildern aus entsprechenden Post-OPC-Mustern verglichen werden. Wenn beispielsweise ein Satz von modellierten Wafermustern für verschiedene Prozessänderungen mit entsprechenden modellierten Post-OPC-Wafermustern für dieselben Prozessänderungen übereinstimmt, kann bestimmt werden, dass die Änderungen des Wafermusters (oder des Fotolackmusters) aufgrund von Prozessänderungen aus dem Designmuster herrühren, das neu gestaltet oder überwacht werden kann, anstatt von einem Defekt im Maskenmuster. Wenn sich jedoch die Änderungen auf dem Wafer aufgrund von Prozessschwankungen aus der Post-OPC-Datenbank von denen auf dem Wafer aufgrund der gleichen Prozessschwankungen von der wiederhergestellten Maske (oder dem Maskennahfeld) unterscheiden, werden diese Hot Spots als von einem Hot Spot der tatsächlichen Maske stammend betrachtet, die repariert oder überwacht werden kann.
  • Die simulierten Waferbildunterschiede können auch analysiert werden, um Metriken für die Wafer-CD-Gleichförmigkeit (CDU, CD Uniformity) über den Die oder über die Zeit zu bestimmen, wenn Retikeländerungen während der Belichtung während des Herstellungsprozesses auftreten. Beispielsweise kann die CD für jedes Ziel jedes Bildes gemessen werden, indem der Abstand zwischen den Zielkanten analysiert und gemessen wird, wenn die Auflösung hoch genug ist. Alternativ können die Intensitätsunterschiede zwischen Referenz- und Testbildern kalibriert und in CD-Variationen umgewandelt werden, wie dies in der US-Patentanmeldung Nr. 14 / 664,565 , eingereicht am 20. März 2015 von Carl E. Hess et al., und in der US-Patentanmeldung Nr. 14 / 390,834, eingereicht am 6. Oktober 2014 von Rui-fang Shi et al. beschrieben ist, welche Anmeldungen hierin für alle Zwecke durch Verweis aufgenommen werden.
  • Es kann auch in Vorgang 328 bestimmt werden, ob das Retikel repariert werden soll. Es kann bestimmt werden, dass die erwarteten Variationen des Wafermusters außerhalb der Spezifikation für das Prozessfenster liegen, das während des Lithografieprozesses verwendet werden soll. In bestimmten Fällen kann das Retikel einen Defekt enthalten, der in Vorgang 336 repariert wird. Das Retikel kann dann erneut qualifiziert werden. Andernfalls kann das Retikel in Vorgang 330 verworfen werden, wenn es nicht reparierbar ist. Ein neues Retikel kann dann hergestellt und erneut qualifiziert werden.
  • Zusätzlich oder alternativ zur Verwendung eines wiederhergestellten Maskennahfeldbildes zur Simulation von Waferbildern in einem Qualifizierungsprozess können ein Maskennahfeldbild oder Maskennahfeldergebnisse auch direkt in einem Retikelqualifizierungsprozess bewertet werden. 5 ist ein Flussdiagramm, das einen Retikelqualifizierungsprozess 500 veranschaulicht, der auf ein wiederhergestelltes Maskennahfeldbild oder wiederhergestellte Ergebnisse für ein Maskennahfeld angewendet wird, gemäß einer alternativen Ausführungsform der vorliegenden Erfindung. Anfänglich werden in Vorgang 502 Maskennahfeldergebnisse von einem Retikel wiederhergestellt. Dieses Maskennahfeldbild kann für ein bestimmtes Retikel auf der Grundlage von Bildern wiederhergestellt werden, die von einem solchen bestimmten Retikel aufgenommen wurden. Dieser Vorgang kann ähnlich wie die Masken-Nahfeld-Wiederherstellungsvorgänge von 1 ausgeführt werden. Zusätzlich können mehrere der Vorgänge von 5 auf ähnliche Weise wie Vorgänge von 3 implementiert werden, jedoch mit dem wiederhergestellten Retikel-Nahfeldbild, einschließlich Intensitäts- und / oder Phasenkomponenten eines solchen Bildes.
  • Wie gezeigt, können die Maskennahfeldergebnisse dann in Vorgang 522 bewertet werden, um Defekte zu charakterisieren und / oder zu lokalisieren. Grundsätzlich kann festgestellt werden, ob das entsprechende Retikel defekt ist oder überwachungsbedürftige Hot Spots aufweist. Insbesondere können einige der hierin beschriebenen Techniken zum Bewerten der simulierten Waferbilder auf den Maskennahfeldbildern implementiert werden. In einem Defektdetektionsprozess können beliebige geeignete Metriken von Test- und Referenzmaskennahfeldbildern verglichen werden. Zum Beispiel können Intensität und / oder Phase verglichen werden. Unterschiedliche Defekttypen haben unterschiedliche Auswirkungen auf Intensitäts- und / oder Phasenwerte. Es kann festgestellt werden, dass diese Unterschiede echte Defekte sind (im Gegensatz zu störenden Fehlern ohne Auswirkungen), die wahrscheinlich zu einem defekten Wafer führen, oder die Unterschiede können Hot-Spot-Muster oder Bereiche identifizieren, die repariert oder überwacht werden können.
  • Beispielsweise kann dann in Vorgang 524 bestimmt werden, ob das Design fehlerhaft ist. Wenn festgestellt wird, dass das Design fehlerhaft ist, kann das Design in Vorgang 532 modifiziert werden. Beispielsweise kann bestimmt werden, ob ein Unterschied zwischen einem Retikel-Nahfeldbild und seinem entsprechenden post-OPC-basierten Nahfeld über einem vordefinierten Schwellwert zum Erfassen von Defekten liegt. Die Prozedur 500 kann fortgesetzt werden, um zu bestimmen, ob Wafer-Hot-Spots überwacht werden sollen, das Retikel repariert oder das Retikel wie oben beschrieben neu gestaltet werden soll. Wenn das Design nicht als fehlerhaft erachtet wird, kann dann in Vorgang 526 bestimmt werden, ob irgendwelche Hot Spots überwacht werden können. Zum Beispiel kann bestimmt werden, dass jegliche Intensitäts- und / oder Phasendifferenz zwischen einem Test- und einem Referenzretikel-Nahfeldbild nahe an einem zugeordneten Schwellwert liegt.
  • Wenn bestimmt wird, dass die Hot Spots überwacht werden können, können die Hot Spots beispielsweise während des Waferprozesses in Vorgang 534 überwacht werden. Beispielsweise können die Hot-Spot-Muster während der Waferherstellung überwacht werden, um zu bestimmen, ob der Prozess von der Spezifikation abgewichen ist und bewirkt hat, dass das entsprechende Wafermuster kritische Parameter aufweist, die sich zu nicht akzeptablen Werte ändern. Eine Implementierung kann das Einstellen eines relativ hohen Empfindlichkeitsniveaus für die Inspektion des Retikels und / oder des Wafermusters des entsprechenden Hot Spots beinhalten. Wenn sich die Bedingungen weiter von den nominalen Prozessbedingungen entfernen, können CD-Fehler oder EPE groß werden und die Integrität des Wafer-Herstellungsprozesses gefährden.
  • Es kann in Vorgang 528 auch bestimmt werden, ob das Retikel repariert werden soll. In bestimmten Fällen kann das Retikel einen Defekt enthalten, der in Vorgang 536 repariert wird. Das Retikel kann dann erneut qualifiziert werden. Andernfalls kann das Retikel in Vorgang 530 verworfen werden, wenn es nicht reparierbar ist. Ein neues Retikel kann dann hergestellt und erneut qualifiziert werden.
  • Bestimmte Techniken der vorliegenden Erfindung sehen eine Maskenmusterqualifizierung und eine frühe Erkennung von schwachen Mustern oder Hot Spots auf der physikalischen Maske vor Beginn der Waferherstellung vor. Zusätzlich zur Wiederherstellung des Retikel-Nahfelds auf der Grundlage von Retikelbildern kann ein vollständiger Bereich von Wafer-Prozess-Effekten (einschließlich vieler Einstellungen von Fokus und Belichtung sowie des Effekts von Wafer-Fotolack, Ätz-, CMP- und anderen Wafer-Prozessen) berücksichtigt werden, hinsichtlich dessen, wie sie die Wafermuster beeinflussen. Es sind keine Vorkenntnisse der Maske erforderlich, da das Maskennahfeld nur unter Verwendung von Retikelbildern ohne Verwendung von Retikeldesigndaten wiederhergestellt wird. Da Maskenmuster im Allgemeinen 4x größer als Wafermuster sind, können genauere Positionen von Mustern in Bezug auf die Designdatenbank bestimmt werden. Die obigen Techniken können auch auf jeden geeigneten Maskentyp erweitert werden, wie beispielsweise die Musterqualifizierung von EUV-Masken.
  • Techniken der vorliegenden Erfindung können in jeder geeigneten Kombination von Hardware und / oder Software implementiert werden. 6 ist eine schematische Darstellung eines beispielhaften Inspektionssystems 600, in dem Techniken der vorliegenden Erfindung implementiert werden können. Das Inspektionssystem 600 kann eine Eingabe 602 von einem Inspektionswerkzeug mit hoher NA oder einem Inspektor mit niedriger NA empfangen, der einen Scanner (nicht gezeigt) nachahmt. Das Inspektionssystem kann auch ein Datenverteilungssystem (z. B. 604a und 604b) zum Verteilen der empfangenen Eingabe 602, ein Intensitätssignal- (oder Patch-) Verarbeitungssystem (z. B. Patchprozessoren) und ein Retikelqualifizierungssystem (z. B. 612) für die Wiederherstellung des Maskennahfelds und des Wafers, Prozessmodellierung usw., ein Netzwerk (z. B. das geswitchte Netzwerk 608) zum Ermöglichen der Kommunikation zwischen den Inspektionssystemkomponenten, eine optionale Massenspeichervorrichtung 616 und eine oder mehrere Inspektionssteuerungs- und / oder Überprüfungsstationen (z. B. 610) zum Überprüfen der Intensität und Phase des Maskennahfelds (Werte, Bilder oder Unterschiede), der Retikel- / Waferbilder, der identifizierten Hot Spots, der CD, der CDU-Karten, der Prozessparameter usw. umfassen. Jeder Prozessor des Inspektionssystems 600 kann typischerweise eine oder mehrere integrierte Mikroprozessorschaltungen enthalten und kann auch integrierte Schnittstellen- und / oder Speicherschaltungen enthalten und kann zusätzlich mit einer oder mehreren gemeinsam genutzten und / oder globalen Speichervorrichtungen gekoppelt sein.
  • Das Inspektor- oder Datenerfassungssystem (nicht gezeigt) zum Erzeugen von Eingabedaten 602 kann die Form eines beliebigen geeigneten Instruments (z. B. wie hierin weiter beschrieben) zum Erhalten von Intensitätssignalen oder Bildern eines Retikels annehmen. Beispielsweise kann der Inspektor mit niedriger NA ein optisches Bild erstellen oder Intensitätswerte eines Teils des Retikels auf der Grundlage eines Teils des detektierten Lichts erzeugen, das reflektiert, transmittiert oder auf andere Weise auf einen oder mehrere Lichtsensoren gerichtet wird. Der Inspektor mit niedriger NA kann dann die Intensitätswerte oder das Bild ausgeben.
  • Das Inspektionswerkzeug mit niedriger NA kann so betrieben werden, dass es reflektiertes und / oder transmittiertes Licht erfasst und sammelt, wenn ein einfallender optischer Strahl über jeden Patch eines Retikels scannt. Wie oben erwähnt, kann der einfallende optische Strahl über Retikelstreifen scannen, die jeweils eine Vielzahl von Patches umfassen. Licht wird in Reaktion auf diesen einfallenden Strahl von einer Vielzahl von Punkten oder Teilbereichen jedes Patches gesammelt.
  • Das Inspektionswerkzeug mit niedriger NA kann allgemein so betrieben werden, dass es solches detektiertes Licht in detektierte Signale umwandelt, die Intensitätswerten entsprechen. Die detektierten Signale können die Form einer elektromagnetischen Wellenform mit Amplitudenwerten annehmen, die unterschiedlichen Intensitätswerten an unterschiedlichen Stellen des Retikels entsprechen. Die detektierten Signale können auch die Form einer einfachen Liste von Intensitätswerten und zugehörigen Retikelpunktkoordinaten haben. Die detektierten Signale können auch die Form eines Bildes mit unterschiedlichen Intensitätswerten annehmen, die unterschiedlichen Positionen oder Scanpunkten auf dem Retikel entsprechen. Zwei oder mehr Bilder des Retikels können erzeugt werden, nachdem alle Positionen des Retikels gescannt und in detektierte Signale umgewandelt wurden, oder es können Teile von zwei oder mehr Bildern erzeugt werden, wenn jeder Retikelabschnitt gescannt wird, wobei die letzten zwei oder mehr Bilder für das Retikel vollständig sind, nachdem das gesamte Retikel gescannt wurde.
  • Die detektierten Signale können auch in Form von Luftbildern vorliegen. Das heißt, eine Luftbildgebungstechnik kann verwendet werden, um die optischen Effekte des Fotolithografiesystems zu simulieren, um ein Luftbild des Fotolackmusters zu erzeugen, das auf den Wafer belichtet wird. Im Allgemeinen wird die Optik des Fotolithografiewerkzeugs emuliert, um ein Luftbild basierend auf den detektierten Signalen von dem Retikel zu erzeugen. Das Luftbild entspricht dem Muster, das aus dem Licht erzeugt wird, das durch die Fotolithografieoptik und das Retikel auf die Fotolackschicht eines Wafers geleitet wird. Zusätzlich kann auch der Fotolackbelichtungsprozess für den bestimmten Typ von Fotolackmaterial emuliert werden.
  • Das einfallende Licht oder das detektierte Licht kann durch eine beliebige geeignete räumliche Apertur geleitet werden, um ein beliebiges einfallendes oder detektiertes Lichtprofil bei beliebigen geeigneten Einfallswinkeln zu erzeugen. Beispielsweise können programmierbare Beleuchtungs- oder Detektionsaperturen verwendet werden, um ein bestimmtes Strahlprofil wie Dipol, Quadrupol, Quasar, Ring usw. zu erzeugen. In einem bestimmten Beispiel kann eine Quellmaskenoptimierung (Source Mask Optimization, SMO) oder eine beliebige pixelierte Beleuchtungstechnik implementiert werden. Das einfallende Licht kann auch durch einen linearen Polarisator geleitet werden, um die gesamte Beleuchtungspupille oder einen Teil davon in einer oder mehreren Polarisationen linear zu polarisieren. Das erfasste Licht kann durch Apodisationskomponenten geleitet werden, um bestimmte Bereiche des Sammelstrahls zu blockieren.
  • Intensitäts- oder Bilddaten 602 können vom Datenverteilungssystem über das Netzwerk 608 empfangen werden. Das Datenverteilungssystem kann einer oder mehreren Speichervorrichtungen, wie z. B. RAM-Puffern, zugeordnet sein, um mindestens einen Teil der empfangenen Daten 602 zu halten. Vorzugsweise ist der Gesamtspeicher groß genug, um ein ganzes Datenfeld aufzunehmen. Beispielsweise eignet sich ein Gigabyte Speicher für ein Feld, das 1 Million mal 1000 Pixel oder Punkten entspricht.
  • Das Datenverteilungssystem (z. B. 604a und 604b) kann auch die Verteilung von Teilen der empfangenen Eingangsdaten 602 an die Prozessoren (z. B. 606a und 606b) steuern. Beispielsweise kann das Datenverteilungssystem Daten für einen ersten Patch an einen ersten Patch-Prozessor 606a leiten und Daten für einen zweiten Patch an den Patch-Prozessor 606b leiten. Es können auch mehrere Datensätze für mehrere Patches an jeden Patch-Prozessor weitergeleitet werden.
  • Die Patch-Prozessoren können Intensitätswerte oder ein Bild empfangen, das mindestens einem Teil oder Patch des Retikels entspricht. Die Patch-Prozessoren können jeweils auch mit einer oder mehreren Speichereinrichtungen (nicht gezeigt) gekoppelt oder integriert sein, wie z. B. DRAM-Elementen, die lokale Speicherfunktionen bereitstellen, wie z. B. das Halten des empfangenen Datenabschnitts. Vorzugsweise ist der Speicher groß genug, um Daten zu speichern, die einem Patch des Retikels entsprechen. Beispielsweise eignen sich acht Megabyte Speicher für Intensitätswerte oder ein Bild, das einem Patch mit 512 x 1024 Pixeln entspricht. Alternativ können sich die Patch-Prozessoren Speicher teilen.
  • Jeder Satz von Eingabedaten 602 kann einem Streifen des Retikels entsprechen. Ein oder mehrere Datensätze können im Speicher des Datenverteilungssystems gespeichert sein. Dieser Speicher kann von einem oder mehreren Prozessoren innerhalb des Datenverteilungssystems gesteuert werden, und der Speicher kann in mehrere Partitionen unterteilt sein. Beispielsweise kann das Datenverteilungssystem Daten, die einem Teil eines Streifens entsprechen, in einer ersten Speicherpartition (nicht gezeigt) empfangen, und das Datenverteilungssystem kann weitere Daten, die einem weiteren Streifen entsprechen, in einer zweiten Speicherpartition (nicht gezeigt) empfangen. Vorzugsweise enthält jede der Speicherpartitionen des Datenverteilungssystems nur die Teile der Daten, die an einen Prozessor weitergeleitet werden sollen, der einer solchen Speicherpartition zugeordnet ist. Beispielsweise kann die erste Speicherpartition des Datenverteilungssystems erste Daten halten und an den Patch-Prozessor 606a leiten, und die zweite Speicherpartition kann zweite Daten halten und an den Patch-Prozessor 606b leiten.
  • Das Datenverteilungssystem kann jeden Datensatz der Daten basierend auf beliebigen geeigneten Parametern der Daten definieren und verteilen. Beispielsweise können die Daten basierend auf der entsprechenden Position des Patches auf dem Retikel definiert und verteilt werden. In einer Ausführungsform ist jeder Streifen einem Bereich von Spaltenpositionen zugeordnet, die horizontalen Positionen von Pixeln innerhalb des Streifens entsprechen. Beispielsweise können die Spalten 0 bis 256 des Streifens einem ersten Patch entsprechen, und die Pixel in diesen Spalten umfassen das erste Bild oder den ersten Satz von Intensitätswerten, der an einen oder mehrere Patch-Prozessoren weitergeleitet wird. Ebenso können die Spalten 257 bis 512 des Bandes einem zweiten Patch entsprechen, und die Pixel in diesen Spalten umfassen das zweite Bild oder den zweiten Satz von Intensitätswerten, der zu (einem) anderen Patch-Prozessor(en) geleitet wird.
  • Die Inspektionsvorrichtung kann zur Inspektion von Halbleiterbauelementen oder Wafern und optischen Retikeln sowie EUV-Retikeln oder Masken geeignet sein. Beispiele für geeignete Inspektionswerkzeuge sind das Teron ™, das bei 193 nm arbeitet, oder die TeraScan ™ DUV-Retikelinspektionswerkzeuge, die von KLA-Tencor aus Milpitas, CA, erhältlich sind. Andere Arten von Proben, die unter Verwendung der Inspektionsvorrichtung der vorliegenden Erfindung inspiziert oder abgebildet werden können, umfassen irgendeine Oberfläche, wie beispielsweise eine Flachbi ldschi rmanzeige.
  • Ein Inspektionswerkzeug kann mindestens eine Lichtquelle zum Erzeugen eines einfallenden Lichtstrahls, eine Beleuchtungsoptik zum Richten des einfallenden Strahls auf eine Probe, eine Sammeloptik zum Richten eines Ausgangsstrahls, der von der Probe in Reaktion auf den einfallenden Strahl emittiert wird, einen Sensor zum Erfassen des Ausgangsstrahls und zum Erzeugen eines Bildes oder Signals für den Ausgangsstrahl und eine Steuerung / einen Prozessor zum Steuern der Komponenten des Inspektionswerkzeugs und zum Ermöglichen der Maskennahfelderzeugungs- und - analysetechniken, wie hierin weiter beschrieben, enthalten.
  • In den folgenden beispielhaften Inspektionssystemen kann der einfallende Strahl in irgendeiner geeigneten Form von kohärentem Licht vorliegen. Zusätzlich kann jede geeignete Linsenanordnung verwendet werden, um den einfallenden Strahl auf die Probe zu richten und den von der Probe ausgehenden Ausgangsstrahl auf einen Detektor zu richten. Der Ausgangsstrahl kann von der Probe reflektiert oder gestreut oder durch die Probe transmittiert werden. Bei der EUV-Retikelinspektion wird der Ausgangsstrahl in der Regel von der Probe reflektiert. Ebenso kann jeder geeignete Detektortyp oder jede geeignete Anzahl von Detektionselementen verwendet werden, um den Ausgangsstrahl zu empfangen und ein Bild oder ein Signal auf der Grundlage der Eigenschaften (z. B. Intensität) des empfangenen Ausgangsstrahls bereitzustellen.
  • Zunächst wird ein verallgemeinertes Fotolithografiewerkzeug beschrieben, obwohl ein EUV-Fotolithografiewerkzeug typischerweise nur eine Optik vom reflektierenden Typ aufweist. 7A ist eine vereinfachte schematische Darstellung eines typischen Lithografiesystems 700, das zum Übertragen eines Maskenmusters von einer Fotomaske M auf einen Wafer W gemäß bestimmten Ausführungsformen verwendet werden kann. Beispiele für solche Systeme umfassen Scanner und Stepper, insbesondere das TWINSCAN NXT:1970Ci-Step-und-Scan-System, das von ASML in Veldhoven, Niederlande, erhältlich ist. Im Allgemeinen lenkt eine Beleuchtungsquelle 703 einen Lichtstrahl durch eine Beleuchtungsoptik 707 (z. B. Linse 705) auf eine Fotomaske M, die sich in einer Maskenebene 702 befindet. Die Beleuchtungslinse 705 hat eine numerische Apertur 701 in dieser Ebene 702. Der Wert der numerischen Apertur 701 beeinflusst, welche Defekte auf der Fotomaske lithografisch signifikante Defekte sind und welche nicht. Ein Teil des Strahls, der durch die Fotomaske M tritt, bildet ein strukturiertes optisches Signal, das durch die Abbildungsoptik 713 auf einen Wafer W gerichtet wird, um die Strukturübertragung zu initiieren. In einem Reflexionssystem (nicht gezeigt) wird der Beleuchtungsstrahl von bestimmten Abschnitten der Maske M reflektiert (und von anderen Abschnitten dieser Maske M absorbiert) und bildet ein strukturiertes Signal, das durch die reflektierende Abbildungsoptik auf einem Wafer W geleitet wird.
  • Das Inspektionswerkzeug kann ähnliche Komponenten verwenden oder ähnlich konfiguriert sein wie das oben beschriebene Fotolithografie-Werkzeug, z. B. LNI-Fähigkeit. Das Inspektionswerkzeug kann jedoch alternativ oder zusätzlich konfigurierbar sein, um Bilder mit hoher Auflösung zu erzeugen. 7B stellt eine schematische Darstellung eines beispielhaften Inspektionssystems 750 bereit, das eine Beleuchtungsoptik 751a aufweist, die eine Abbildungslinse mit einer relativ großen numerischen Apertur 751b in einer Retikelebene 752 gemäß bestimmten Ausführungsformen umfasst. Beispielsweise kann die numerische Apertur 751b in der Retikelebene 752 des Inspektionssystems erheblich größer sein als die numerische Apertur 701 in der Retikelebene 702 des Lithografiesystems 700, was zu Unterschieden zwischen Testinspektionsbildern und tatsächlich gedruckten Bildern führen würde.
  • Die hierin beschriebenen Inspektionstechniken können auf verschiedenen speziell konfigurierten Inspektionssystemen implementiert werden, wie beispielsweise dem in 7B schematisch dargestellten. Das dargestellte System 750 umfasst eine Beleuchtungsquelle 760, die einen Lichtstrahl erzeugt, der durch die Beleuchtungsoptik 751a auf eine Fotomaske M in der Retikelebene 752 gerichtet wird. Beispiele für Lichtquellen umfassen eine kohärente Laserlichtquelle (z. B. einen Tief-UV- oder Gaslasergenerator), eine gefilterte Lampe, eine LED-Lichtquelle usw. In bestimmten Ausführungsformen kann eine Lichtquelle im Allgemeinen eine hohe Pulswiederholrate, ein geringes Rauschen, eine hohe Leistung, Stabilität, Zuverlässigkeit und Erweiterbarkeit bereitstellen. Während ein EUV-Scanner bei einer Wellenlänge von 13,5 nm arbeitet, muss ein Inspektionswerkzeug für ein EUV-Retikel nicht bei derselben Wellenlänge arbeiten, obwohl dies möglich ist. In einem Beispiel ist die Quelle ein 193-nm-Laser.
  • Die Beleuchtungsoptik 751a kann eine Strahllenkvorrichtung zur präzisen Strahlpositionierung und eine Strahlkonditionierungsvorrichtung enthalten, die verwendet werden kann, um eine Lichtpegelsteuerung, eine Speckle-Rauschreduzierung und eine hohe Strahlgleichmäßigkeit bereitzustellen. Strahllenkungs- und / oder Strahlkonditionierungsvorrichtungen können, beispielsweise von einem Laser, separate physikalische Vorrichtungen sein. Die Beleuchtungsoptik 751a kann auch eine Optik zum Steuern der Polarisation, des Fokus, der Vergrößerung, der Beleuchtungsintensitätsverteilungen usw. enthalten.
  • Wie oben erläutert, kann das Inspektionssystem 750 eine numerische Apertur 751b in der Retikelebene 752 aufweisen, die gleich oder größer als eine numerische Apertur in der Retikelebene (z. B. Element 701 in 7A) des entsprechenden Lithografiesystems sein kann. Die zu inspizierende Fotomaske M wird auf einen Maskentisch in der Retikelebene 752 platziert und der Quelle ausgesetzt.
  • Das abgebildete Inspektionssystem 750 kann Detektionsoptiken 753a und 753b enthalten, die auch mikroskopische Vergrößerungsoptiken enthalten können, die beispielsweise dazu ausgelegt sind, eine Vergrößerung von 60 bis 200fach oder mehr für eine verbesserte Inspektion bereitzustellen. Die Sammeloptiken 753a und 753b können irgendeine geeignete Optik zum Konditionieren des Ausgangslichts / -strahls enthalten. Beispielsweise können die Sammeloptiken 753a und 753b Optiken zum Steuern des Fokus, der Pupillenformen, der Einstellungen des Polarisationsanalysators usw. enthalten.
  • In einem Transmissionsmodus kann das strukturierte Bild von der Maske M durch eine Anzahl von optischen Elementen 753a geleitet werden, die das strukturierte Bild auf einen Sensor 754a projizieren. In einem Reflexionsmodus lenken Sammelelemente (z. B. Strahlteiler 776 und Detektionslinse 778) das reflektierte Licht von der Maske M auf den Sensor 754b und fangen es ein. Obwohl zwei Sensoren gezeigt sind, kann ein einziger Sensor verwendet werden, um reflektiertes und transmittiertes Licht während verschiedener Scans desselben Retikelbereichs zu erfassen. Geeignete Sensoren umfassen Charge-Coupled-Devices (CCD), CCD-Arrays, Zeitverzögerungsintegrationssensoren (TDI), TDI-Sensorarrays, Fotovervielfacherröhren (PMT) und andere Sensoren.
  • Die Beleuchtungsoptiksäule kann in Bezug auf den Maskentisch und / oder der Tisch relativ zu einem Detektor oder einer Kamera bewegt werden, durch irgendeinen geeigneten Mechanismus, um Patches des Retikels zu scannen. Beispielsweise kann ein Motormechanismus verwendet werden, um den Tisch zu bewegen. Der Motormechanismus kann beispielsweise aus einem Schneckenantrieb und Schrittmotor, einem Linearantrieb mit Rückkopplungsposition oder einem Bandaktuator und einem Schrittmotor gebildet sein. Das System 700 kann einen oder mehrere Motormechanismen zum Bewegen einer der Systemkomponenten in Bezug auf die optischen Beleuchtungs- oder Sammelpfade verwenden.
  • Die von jedem Sensor (z. B. 754a und / oder 754b) erfassten Signale können von einem Computersystem 773 oder allgemeiner von einer oder mehreren Signalverarbeitungsvorrichtungen verarbeitet werden, die jeweils einen Analog-DigitalWandler enthalten können, der dazu konfiguriert ist, Analogsignale von jedem Sensor in Digitalsignale zur Verarbeitung zu konvertieren. Das Computersystem 773 weist typischerweise einen oder mehrere Prozessoren auf, die mit Eingabe- / Ausgabe-Ports und einem oder mehreren Speichern über geeignete Busse oder andere Kommunikationsmechanismen verbunden sind.
  • Das Computersystem 773 kann auch ein oder mehrere Eingabegeräte (z. B. eine Tastatur, eine Maus, einen Joystick) zum Bereitstellen von Benutzereingaben, wie z. B. Ändern des Fokus und anderer Inspektionsrezeptparameter, enthalten. Das Computersystem 773 kann auch mit dem Tisch zum Steuern beispielsweise einer Probenposition (z. B. Fokussieren und Scannen) verbunden sein und mit anderen Inspektionssystemkomponenten zum Steuern anderer Inspektionsparameter und Konfigurationen solcher Inspektionssystemkomponenten verbunden sein.
  • Das Computersystem 773 kann konfiguriert sein (z. B. mit Programmieranweisungen), um eine Benutzerschnittstelle (z. B. einen Computerbildschirm) zum Anzeigen von Maskennahfeldintensität und -phase (Werte, Bilder oder Unterschiede), Retikel- / Waferbildern, identifizierter Hot Spots, CD, CDU-Maps, Prozessparametern usw. bereitzustellen. Das Computersystem 773 kann konfiguriert sein, um Intensität, Phase und / oder andere Eigenschaften reflektierter und / oder transmittierter detektierter und / oder simulierter Signale oder Bilder, wiederhergestellter Retikel-Nahfeldergebnisse usw. zu analysieren. Das Computersystem 773 kann konfiguriert sein (z. B. mit Programmieranweisungen), um eine Benutzerschnittstelle (z. B. auf einem Computerbildschirm) zum Anzeigen von resultierenden Intensitäts- und / oder Phasenwerten, Bildern und anderen Inspektionseigenschaften bereitzustellen. In bestimmten Ausführungsformen ist das Computersystem 773 so konfiguriert, dass es Inspektionstechniken ausführt, die oben beschrieben wurden.
  • Da solche Informationen und Programmanweisungen auf einem speziell konfigurierten Computersystem implementiert werden können, enthält ein solches System Programmanweisungen / Computercode zum Ausführen verschiedener hierin beschriebener Operationen, die auf einem computerlesbaren Medium gespeichert werden können. Beispiele für maschinenlesbare Medien umfassen, ohne darauf beschränkt zu sein, magnetische Medien wie Festplatten, Disketten und Magnetbänder; optische Medien wie CD-ROM-Scheiben; magnetooptische Medien wie optische Platten; und Hardwaregeräte, die speziell zum Speichern und Ausführen von Programmanweisungen konfiguriert sind, wie z. B. Nur-Lese-Speicherelemente (ROM) und Direktzugriffsspeicher (RAM). Beispiele für Programmanweisungen sind sowohl Maschinencode, wie er von einem Compiler erstellt wurde, als auch Dateien mit höherem Code, die vom Computer unter Verwendung eines Interpreters ausgeführt werden können.
  • 7B zeigt ein Beispiel, bei dem ein Beleuchtungslichtstrahl in einem im Wesentlichen normalen Winkel zur inspizierten Oberfläche auf die Probenoberfläche gerichtet ist. In anderen Ausführungsformen kann ein Beleuchtungslichtstrahl in einem schrägen Winkel gerichtet sein, was eine Trennung des Beleuchtungsstrahls und des reflektierten Strahls ermöglicht. In diesen Ausführungsformen kann ein Abschwächer auf dem reflektierten Strahlengang positioniert sein, um eine Komponente nullter Ordnung des reflektierten Lichtstrahls vor dem Erreichen eines Detektors abzuschwächen. Weiterhin kann eine Abbildungsapertur auf dem reflektierten Strahlengang positioniert sein, um die Phase der Komponente nullter Ordnung des reflektierten Lichtstrahls zu verschieben.
  • Es ist zu beachten, dass die obige Beschreibung und die Zeichnungen keine Einschränkung der spezifischen Komponenten des Systems darstellen und dass das System in vielen anderen Formen ausgeführt sein kann. Beispielsweise wird in Betracht gezogen, dass das Inspektions- oder Messwerkzeug beliebige geeignete Merkmale aus einer beliebigen Anzahl bekannter Bildgebungs- oder Messwerkzeuge aufweisen kann, die zum Detektieren von Defekten und / oder zum Auflösen der kritischen Aspekte von Merkmalen eines Retikels oder Wafers angeordnet sind. Beispielsweise kann ein Inspektions- oder Messwerkzeug für die Hellfeldabbildungsmikroskopie, Dunkelfeldabbildungsmikroskopie, Vollhimmelabbildungsmikroskopie, Phasenkontrastmikroskopie, Polarisationskontrastmikroskopie und Kohärenzsondenmikroskopie (coherence probe microscopy) angepasst sein. Es wird auch in Betracht gezogen, dass Einzel- und Mehrfachbildverfahren verwendet werden können, um Bilder des Ziels aufzunehmen. Zu diesen Methoden gehören beispielsweise Einzel-Erfassungs-, Doppel-Erfassungs-, Einzel-Erfassungs-Kohärenzsondenmikroskopie- (CPM) und Doppel-Erfassungs-CPM-Methoden. Optische Verfahren ohne Bildgebung, wie z. B. die Scatterometrie, können auch als Teil der Inspektions- oder Messvorrichtung in Betracht gezogen werden.
  • Obwohl die vorstehende Erfindung zum Zwecke der Klarheit des Verständnisses detailliert beschrieben wurde, ist es offensichtlich, dass bestimmte Änderungen und Modifikationen innerhalb des Schutzumfangs der beigefügten Ansprüche ausgeführt werden können. Es sollte angemerkt werden, dass es viele alternative Möglichkeiten gibt, die Prozesse, Systeme und Vorrichtungen der vorliegenden Erfindung zu implementieren. Dementsprechend sollen die vorliegenden Ausführungsformen als veranschaulichend und nicht einschränkend angesehen werden, und die Erfindung soll nicht auf die hierin angegebenen Details beschränkt sein.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 15803628 [0001]
    • US 62/508369 [0001]
    • US 9478019 [0031]
    • US 14/664565 [0076]
  • Zitierte Nicht-Patentliteratur
    • Abdurrahman Sezginer et al., beschrieben, welches Patent für alle Zwecke durch Bezugnahme in seiner Gesamtheit hierin aufgenommen ist [0031]

Claims (30)

  1. Verfahren zum Qualifizieren eines fotolithografischen Retikels, das Verfahren umfassend: Verwenden eines Bildgebungswerkzeugs, um eine Vielzahl von Bildern bei unterschiedlichen Beleuchtungskonfigurationen und / oder unterschiedlichen Bildgebungskonfigurationen aus jedem einer Vielzahl von Musterbereichen eines Testretikels zu erfassen; Wiederherstellen eines Retikel-Nahfelds für jeden der Musterbereiche des Testretikels basierend auf den erfassten Bildern von jedem Musterbereich des Testretikels; und Analysieren des wiederhergestellten Retikel-Nahfelds, um das Testretikel zu charakterisieren oder festzustellen, ob ein solches Testretikel defekt ist.
  2. Verfahren nach Anspruch 1, wobei die Vielzahl der Bilder in einer Pupillenebene erfasst werden.
  3. Verfahren nach Anspruch 1, wobei das wiederhergestellte Retikel-Nahfeld analysiert wird, um Defekte im Testretikel zu erfassen, wobei die Defekterfassung das Vergleichen der Intensität und / oder Phase für einen gleichen Die zu unterschiedlichen Zeiten, für benachbarte Dies, für einen Die und dessen entsprechenden goldenen Die, oder für einen Die und einen entsprechenden Die aus einer Retikelkopie mit zum Testretikel identischem Design umfasst.
  4. Verfahren nach Anspruch 1, wobei das Retikel-Nahfeld ohne Verwendung einer Designdatenbank wiederhergestellt wird, die zur Herstellung des Testretikels verwendet wurde.
  5. Verfahren nach Anspruch 1, wobei die erfassten Bilder mindestens drei Reflektions-Bilder enthalten, die bei unterschiedlichen Bildgebungsbedingungen erfasst werden, die so ausgewählt werden, dass sie zu einem gleichen Retikel-Nahfeld führen, und wobei die unterschiedlichen Bildgebungsbedingungen unterschiedliche Fokuseinstellungen, unterschiedliche Pupillenformen und / oder Polarisationsanalysatoreinstellungen umfassen, wobei die verschiedenen Beleuchtungsbedingungen verschiedene Quellenintensitätsverteilungs- und / oder Polarisationseinstellungen umfassen.
  6. Verfahren nach Anspruch 1, wobei die erfassten Bilder mindestens drei Transmissions-Bilder enthalten, die bei verschiedenen Bildgebungsbedingungen erfasst werden, die so ausgewählt werden, dass sie zu einem gleichen Retikel-Nahfeld führen, und wobei die verschiedenen Bildgebungsbedingungen verschiedene Fokuseinstellungen, verschiedene Pupillenformen, oder Polarisationsanalysatoreinstellungen umfassen, wobei die verschiedenen Beleuchtungsbedingungen verschiedene Quellenintensitätsverteilungs- und / oder Polarisationseinstellungen umfassen.
  7. Verfahren nach Anspruch 1, ferner umfassend: Anwenden eines Lithografiemodells auf das Retikel-Nahfeld für das Testretikel, um eine Vielzahl von Testwaferbildern zu simulieren, und Analysieren der simulierten Testwaferbilder, um zu bestimmen, ob das Testretikel wahrscheinlich zu einem instabilen oder defekten Wafer führt, wobei das Lithografiemodell konfiguriert ist, um einen Fotolithografieprozess zu simulieren.
  8. Verfahren nach Anspruch 7, wobei das Lithografiemodell eine Beleuchtungsquelle simuliert, die eine andere Form als eine Beleuchtungsform eines Inspektionswerkzeugs aufweist, um Bilder des Testretikels oder eines anderen Retikels oder Wafers zu erfassen.
  9. Verfahren nach Anspruch 7, wobei das Lithografiemodell mit Bildern kalibriert wird, die aus einer Entwurfsdatenbank für ein Kalibrierungsretikel gerendert wurden.
  10. Verfahren nach Anspruch 7, wobei das Lithografiemodell mit Bildern kalibriert wird, die von einem Kalibrierungsretikel aufgenommen wurden.
  11. Verfahren nach Anspruch 7, wobei das Lithografiemodell ein kompaktes Fotolackmodell enthält.
  12. Verfahren nach Anspruch 7, wobei das Lithografiemodell auf das Retikel-Nahfeld angewendet wird, das für das Testretikel unter einer Vielzahl verschiedener Lithografieprozessbedingungen gewonnen wurde, und wobei das Analysieren der simulierten Testwaferbilder es umfasst, zu bestimmen, ob das Testretikel unter den verschiedenen Lithografieprozessbedingungen wahrscheinlich zu einem instabilen Wafer führt, indem Teile der simulierten Testbilder verglichen werden, die mit verschiedenen Prozessbedingungen und derselben Retikelfläche assoziiert sind.
  13. Verfahren nach Anspruch 7, ferner umfassend das Wiederholen der Vorgänge zum Erfassen von Bildern, Wiederherstellen, Anwenden des Lithografiemodells und Analysieren der simulierten Testwaferbilder, die jeweils nach Anwendung von Fotolithografiemodellierung, Fotolackmodellierung und Ätzmodellierung erhalten wurden, um die Grundursachen von Retikelfehlern aufzutrennen.
  14. Verfahren nach Anspruch 7, wobei das Bildgebungswerkzeug den gleichen Wellenlängenbereich wie ein Fotolithografiesystem verwendet, in dem das Testretikel zur Waferherstellung verwendet wird.
  15. Verfahren nach Anspruch 7, wobei das Bildgebungswerkzeug einen anderen Wellenlängenbereich als ein Fotolithografiesystem verwendet, in dem das Testretikel zur Waferherstellung verwendet wird, und wobei die simulierten Testwaferbilder analysiert werden, um zu bestimmen, ob das Testretikel wahrscheinlich zu einem defekten Wafer führt, durch Durchführen einer Defekterfassung an den Testwaferbildern.
  16. Abbildungssystem zum Qualifizieren eines fotolithografischen Retikels, wobei das System umfasst: eine Lichtquelle zum Erzeugen eines einfallenden Strahls; ein Beleuchtungsoptikmodul zum Richten des einfallenden Strahls auf ein Retikel; ein Sammeloptikmodul zum Richten eines Ausgangsstrahls von jedem Musterbereich des Retikels zu mindestens einem Sensor; mindestens einen Sensor zum Erfassen des Ausgangsstrahls und zum Erzeugen eines Bildes oder Signals basierend auf dem Ausgangsstrahl; und eine Steuerung, die konfiguriert ist, um die folgenden Operationen auszuführen: Bewirken der Erfassung einer Vielzahl von Bildern bei verschiedenen Beleuchtungskonfigurationen und / oder verschiedenen Bildgebungskonfigurationen von jedem einer Vielzahl von Musterbereichen eines Testretikels; Wiederherstellen eines Retikel-Nahfelds für jeden der Musterbereiche des Testretikels basierend auf den erfassten Bildern von jedem Musterbereich des Testretikels; und Analysieren des wiederhergestellten Retikel-Nahfelds, um zu bestimmen, ob das Testretikel oder ein anderes Retikel wahrscheinlich zu einem instabilen Wafermuster oder einem defekten Wafer führen wird.
  17. System nach Anspruch 16, wobei die Vielzahl der Bilder in einer Pupillenebene erfasst werden.
  18. System nach Anspruch 16, wobei das wiederhergestellte Retikel-Nahfeld analysiert wird, um Defekte im Testretikel zu erfassen, wobei die Defekterfassung das Vergleichen der Intensität und / oder Phase für einen gleichen Die zu unterschiedlichen Zeiten, für benachbarte Dies, für einen Die und dessen entsprechenden goldenen Die oder für einen Die und einen entsprechenden Die aus einer Retikelkopie mit zum Testretikel identischem Design umfasst.
  19. System nach Anspruch 16, wobei das Retikel-Nahfeld ohne Verwendung einer Designdatenbank wiederhergestellt wird, die zur Herstellung des Testretikels verwendet wurde.
  20. System nach Anspruch 16, wobei die erfassten Bilder mindestens drei Reflektions-Bilder enthalten, die bei unterschiedlichen Bildgebungsbedingungen erfasst werden, die so ausgewählt werden, dass sie zu einem gleichen Retikel-Nahfeld führen, und wobei die unterschiedlichen Bildgebungsbedingungen unterschiedliche Fokuseinstellungen, unterschiedliche Pupillenformen und / oder Polarisationsanalysatoreinstellungen umfassen, wobei die verschiedenen Beleuchtungsbedingungen verschiedene Quellenintensitätsverteilungs- und / oder Polarisationseinstellungen umfassen.
  21. System nach Anspruch 16, wobei die erfassten Bilder mindestens drei Transmissions-Bilder enthalten, die bei verschiedenen Bildgebungsbedingungen erfasst werden, die so ausgewählt werden, dass sie zu einem gleichen Retikel-Nahfeld führen, und wobei die verschiedenen Bildgebungsbedingungen verschiedene Fokuseinstellungen, verschiedene Pupillenformen und / oder Polarisationsanalysatoreinstellungen umfassen, wobei die verschiedenen Beleuchtungsbedingungen verschiedene Quellenintensitätsverteilungs- und / oder Polarisationseinstellungen umfassen.
  22. System nach Anspruch 16, wobei die Steuerung ferner konfiguriert ist zum: Anwenden eines Lithografiemodells auf das Retikel-Nahfeld für das Testretikel, um eine Vielzahl von Testwaferbildern zu simulieren, und Analysieren der simulierten Testwaferbilder, um zu bestimmen, ob das Testretikel wahrscheinlich zu einem instabilen oder defekten Wafer führt, wobei das Lithografiemodell so konfiguriert ist, dass es einen Fotolithografieprozess simuliert.
  23. System nach Anspruch 22, wobei das Lithografiemodell eine Beleuchtungsquelle simuliert, die eine andere Form als eine Beleuchtungsform eines Inspektionssystems aufweist, um Bilder des Testretikels oder eines anderen Retikels oder Wafers zu erfassen.
  24. System nach Anspruch 22, wobei das Lithografiemodell mit Bildern kalibriert ist, die aus einer Entwurfsdatenbank für ein Kalibrierungsretikel gerendert wurden.
  25. Verfahren nach Anspruch 22, wobei das Lithografiemodell mit Bildern kalibriert wird, die von einem Kalibrierungsretikel erfasst wurden.
  26. System nach Anspruch 22, wobei das Lithografiemodell ein kompaktes Fotolackmodell enthält.
  27. System nach Anspruch 22, wobei das Lithografiemodell auf das Retikel-Nahfeld angewendet wird, das für das Testretikel unter einer Vielzahl von unterschiedlichen Lithografieprozessbedingungen gewonnen wurde, und wobei das Analysieren der simulierten Testwaferbilder es umfasst, zu bestimmen, ob das Testretikel unter den verschiedenen Lithografieprozessbedingungen wahrscheinlich zu einem instabilen Wafer führt, indem Teile der simulierten Testbilder verglichen werden, die mit verschiedenen Prozessbedingungen und derselben Retikelfläche assoziiert sind.
  28. System nach Anspruch 22, wobei die Steuerung ferner konfiguriert ist zum Wiederholen der Vorgänge zum Erfassen von Bildern, Wiederherstellen, Anwenden des Lithografiemodells und Analysieren der simulierten Testwaferbilder, die jeweils nach Anwendung von Fotolithografiemodellierung, Fotolackmodellierung und Ätzmodellierung erhalten wurden, um die Grundursachen von Retikelfehlern aufzutrennen.
  29. System nach Anspruch 22, wobei das Bildgebungssystem den gleichen Wellenlängenbereich wie ein Fotolithografiesystem verwendet, in dem das Testretikel zur Waferherstellung verwendet wird.
  30. System nach Anspruch 22, wobei das Bildgebungssystem einen anderen Wellenlängenbereich als ein Fotolithografiesystem verwendet, in dem das Testretikel für die Waferherstellung verwendet wird, und wobei die simulierten Testwaferbilder analysiert werden, um zu bestimmen, ob das Testretikel wahrscheinlich zu einem defekten Wafer führt, indem eine Defektdetektion an den Testwaferbildern durchgeführt wird.
DE112017007551.3T 2017-05-18 2017-12-01 Vorrichtung und verfahren zur inspektion von retikeln Pending DE112017007551T5 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762508369P 2017-05-18 2017-05-18
US62/508,369 2017-05-18
US15/803,628 2017-11-03
US15/803,628 US10395361B2 (en) 2015-08-10 2017-11-03 Apparatus and methods for inspecting reticles
PCT/US2017/064327 WO2018212787A1 (en) 2017-05-18 2017-12-01 Apparatus and methods for inspecting reticles

Publications (1)

Publication Number Publication Date
DE112017007551T5 true DE112017007551T5 (de) 2020-02-13

Family

ID=64274553

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112017007551.3T Pending DE112017007551T5 (de) 2017-05-18 2017-12-01 Vorrichtung und verfahren zur inspektion von retikeln

Country Status (6)

Country Link
JP (2) JP2020520481A (de)
KR (2) KR20190143450A (de)
DE (1) DE112017007551T5 (de)
IL (1) IL270618B2 (de)
TW (1) TWI755453B (de)
WO (1) WO2018212787A1 (de)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111340762B (zh) 2015-08-10 2021-06-25 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法
KR20210036962A (ko) * 2018-08-28 2021-04-05 에이에스엠엘 네델란즈 비.브이. 최적의 계측 안내 시스템들 및 방법들
DE102019213904A1 (de) 2019-09-12 2021-03-18 Carl Zeiss Smt Gmbh Verfahren zur Erfassung einer Objektstruktur sowie Vorrichtung zur Durchführung des Verfahrens
TWI736317B (zh) * 2020-06-12 2021-08-11 華邦電子股份有限公司 用於黃光製程的辨識方法與半導體元件
US11443095B2 (en) * 2020-07-10 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Hotspot avoidance method for manufacturing integrated circuits
US20220307990A1 (en) * 2021-03-24 2022-09-29 Kla Corporation Imaging reflectometry for inline screening
EP4082982A1 (de) 2021-04-27 2022-11-02 HeidelbergCement AG Verbundzement mit verbesserter reaktivität und verfahren zu seiner herstellung
CN117813547A (zh) * 2021-08-11 2024-04-02 Asml荷兰有限公司 掩模缺陷检测

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7646906B2 (en) * 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
JP2007071678A (ja) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp 検査システム
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US9710903B2 (en) * 2008-06-11 2017-07-18 Kla-Tencor Corp. System and method for detecting design and process defects on a wafer using process monitoring features
JP6211270B2 (ja) * 2009-06-19 2017-10-11 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation 極紫外線マスクブランクの欠陥検出のための検査システム及び方法
DE102011079382B4 (de) * 2011-07-19 2020-11-12 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Analysieren und zum Beseitigen eines Defekts einer EUV Maske
US9612541B2 (en) 2013-08-20 2017-04-04 Kla-Tencor Corporation Qualifying patterns for microlithography
US9547892B2 (en) * 2014-05-06 2017-01-17 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US9478019B2 (en) * 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
TWI644169B (zh) * 2014-05-06 2018-12-11 美商克萊譚克公司 用於使用近場復原之光罩檢測之電腦實施方法、非暫時性電腦可讀媒體及系統
CN111340762B (zh) * 2015-08-10 2021-06-25 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法

Also Published As

Publication number Publication date
IL270618A (de) 2019-12-31
TWI755453B (zh) 2022-02-21
WO2018212787A1 (en) 2018-11-22
IL270618B2 (en) 2023-11-01
JP2020520481A (ja) 2020-07-09
KR20190143450A (ko) 2019-12-30
JP2022164702A (ja) 2022-10-27
JP7440580B2 (ja) 2024-02-28
KR20230070057A (ko) 2023-05-19
IL270618B1 (en) 2023-07-01
TW201901291A (zh) 2019-01-01

Similar Documents

Publication Publication Date Title
JP7116757B2 (ja) ウエハレベル欠陥の転写性を予測する装置および方法
TWI820070B (zh) 使用機械學習檢查光罩
DE112017007551T5 (de) Vorrichtung und verfahren zur inspektion von retikeln
US10395361B2 (en) Apparatus and methods for inspecting reticles
US9547892B2 (en) Apparatus and methods for predicting wafer-level defect printability
US9612541B2 (en) Qualifying patterns for microlithography
DE112016004012T5 (de) Techniken und systeme für modellbasierte messungen der kritischen dimension
DE102016218977B4 (de) Verfahren zur Ermittlung eines OPC-Modells
DE102022120297A1 (de) Maskeninspektion für die herstellung von halbleiter-proben

Legal Events

Date Code Title Description
R081 Change of applicant/patentee

Owner name: KLA CORPORATION, MILPITAS, US

Free format text: FORMER OWNER: KLA-TENCOR CORPORATION, MILPITAS, CALIF., US

R082 Change of representative

Representative=s name: REICHERT & LINDNER PARTNERSCHAFT PATENTANWAELT, DE