DE102009061024A1 - Micro-mirror arrangement used for micro lithography, comprises micro-mirror having reflective surface applied on mirror substrate and anti-reflective coating applied on mirror substrate outside of reflective surface - Google Patents

Micro-mirror arrangement used for micro lithography, comprises micro-mirror having reflective surface applied on mirror substrate and anti-reflective coating applied on mirror substrate outside of reflective surface Download PDF

Info

Publication number
DE102009061024A1
DE102009061024A1 DE102009061024A DE102009061024A DE102009061024A1 DE 102009061024 A1 DE102009061024 A1 DE 102009061024A1 DE 102009061024 A DE102009061024 A DE 102009061024A DE 102009061024 A DE102009061024 A DE 102009061024A DE 102009061024 A1 DE102009061024 A1 DE 102009061024A1
Authority
DE
Germany
Prior art keywords
layer
coating
reflective
reflective coating
mirror substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102009061024A
Other languages
German (de)
Inventor
Alexandra Pazidis
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMT GmbH
Original Assignee
Carl Zeiss SMT GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMT GmbH filed Critical Carl Zeiss SMT GmbH
Priority to DE102009038000A priority Critical patent/DE102009038000A1/en
Priority to DE102009061024A priority patent/DE102009061024A1/en
Priority claimed from DE102009038000A external-priority patent/DE102009038000A1/en
Publication of DE102009061024A1 publication Critical patent/DE102009061024A1/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • G02B1/113Anti-reflection coatings using inorganic layer materials only
    • G02B1/115Multilayers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • G02B1/113Anti-reflection coatings using inorganic layer materials only
    • G02B1/115Multilayers
    • G02B1/116Multilayers including electrically conducting layers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Optical Elements Other Than Lenses (AREA)

Abstract

A micro-mirror arrangement (1) comprises a micro-mirror (3) having a reflective surface applied on a mirror substrate (2) and an anti-reflective coating applied on mirror substrate outside of the reflective surface. A reflective coating is applied within the reflective surface. An absorbent layer made of non-metallic material is also provided, where the absorbent layer melts in UV range at wavelength of 193 nm. An independent claim is also included for a method for producing a coating micro-mirror array, which involves coating mirror substrate with anti-reflective coating.

Description

Hintergrund der ErfindungBackground of the invention

Die Erfindung betrifft ein Verfahren zur Herstellung einer Beschichtung für eine Mikrospiegelanordnung, wobei eine reflektierende Beschichtung an einem Spiegelsubstrat innerhalb der reflektierenden Oberfläche gebildet ist.The The invention relates to a method for producing a coating for a micromirror arrangement, wherein a reflective Coating on a mirror substrate within the reflective Surface is formed.

Mikrospiegelanordnungen weisen eine Mehrzahl von Mikrospiegeln auf, die in einer flächigen, in der Regel matrixförmigen Anordnung nebeneinander angeordnet sind und unabhängig voneinander bewegt werden können. Typischer Weise ist die optische Oberfläche eines einzelnen Mikrospiegels relativ zu einer allen Mikrospiegeln gemeinsamen Ebene beweglich, insbesondere verkippbar gelagert. Zur Erzeugung der Bewegung bzw. Verkippung können unter dem Mikrospiegel Elektroden angebracht sein, die das Spiegelsubstrat elektrostatisch anziehen. Durch die Verkippung der einzelnen Mikrospiegel können diese die einfallende Strahlung gezielt in unterschiedliche Raumrichtungen reflektieren und so z. B. zur Pupillenformung in Beleuchtungssystemen für die Mikrolithographie eingesetzt werden.Micromirror arrays have a plurality of micromirrors that are in a planar, usually arranged in a matrix-like arrangement next to each other are and can be moved independently. Typically, the optical surface of a single Micromirror relative to a plane common to all micromirrors movable, in particular tiltable stored. To generate the movement or tilting can under the micromirror electrodes be attached, which attract the mirror substrate electrostatically. By tilting the individual micromirrors can these targeted the radiation in different spatial directions reflect and so z. B. for pupil shaping in lighting systems be used for microlithography.

Aus der Anmeldung WO 98/09 289 A1 ist eine Mikrospiegelanordnung mit mindestens einen Mikrospiegel mit einer reflektierenden Beschichtung bekannt, wobei die reflektierende Beschichtung bezüglich einer Nutzwellenlänge der Mikrospiegelanordnung im Hinblick auf die Reflektivität optimiert ist. Eine solche Mikrospiegelanordnung ist ebenso aus US 2004/0190 281 A1 bekannt.From the registration WO 98/09 289 A1 a micromirror arrangement with at least one micromirror with a reflective coating is known, wherein the reflective coating is optimized with respect to a useful wavelength of the micromirror arrangement with regard to the reflectivity. Such a micromirror arrangement is also out US 2004/0190281 A1 known.

Es ist darüber hinaus bekannt, dass die Mikrospiegelanordnungen mit reflektierenden Beschichtungen versehen werden können, um die Reflektivität einer solchen Mikrospiegelanordnung bei einer Nutzwellenlänge gegenüber der natürlichen Reflektivität des Substratmaterials der Mikrospiegelanordnung zu erhöhen. In der Regel bestehen solche Beschichtungen für Mikrospiegelanordnungen aus dielektrisch verstärkten Metallschichten, siehe US 7307775 , US 6816302 , US 6778315 , US 6891655 , WO 2006000445 , US 5572543 und US 6746886 .It is also known that the micromirror arrangements can be provided with reflective coatings in order to increase the reflectivity of such a micromirror arrangement at a useful wavelength in relation to the natural reflectivity of the substrate material of the micromirror arrangement. In general, such coatings for micromirror arrays consist of dielectrically reinforced metal layers, see US 7307775 . US 6816302 . US 6778315 . US 6891655 . WO 2006000445 . US 5572543 and US 6746886 ,

Nachteilig an diesen Schichten ist jedoch, dass diese Beschichtungen unter intensiver Bestrahlung degradieren und mit der Zeit sogenannte „Hillock's”, dies sind kleine Erhöhungen auf der Oberfläche mit in der Regel kreisförmigem Querschnitt, sowie eine erhöhte Rauheit aufweisen, welche zu erhöhtem Streulicht dieser Schichten führt. Des Weiteren ist an diesen Schichten nachteilig, dass sie nicht gleichzeitig für Licht einer anderen Wellenlänge geeignet sind, welches unter großen Einfallswinkeln zur Normalen der Spiegelfläche einfällt. Solches Licht mit einer Messwellenlänge, welche von der Nutzwellenlänge abweicht, wird zu Kalibrierzwecken für Mikrospiegelanordnungen in einem Beleuchtungssystem für die Mikrolithographie benötigt.adversely however, these coatings are subject to these coatings degrade intense radiation and over time so-called "Hillock's", These are small elevations on the surface with a generally circular cross-section, as well as a have increased roughness, which increased to Stray light of these layers leads. Furthermore, it is on These layers disadvantageous that they are not simultaneously for Light of a different wavelength are suitable, which at large angles of incidence to the normal of the mirror surface incident. Such light with a measuring wavelength, which deviates from the useful wavelength is used for calibration purposes for micromirror arrangements in a lighting system needed for microlithography.

Da bautechnisch bedingt die reflektierenden Oberflächen der Mikrospiegel in der Regel nicht unmittelbar benachbart zueinander angeordnet werden können, trifft die auf die Mikrospiegelanordnung einfallende Strahlung nicht nur die reflektierenden Oberflächen der einzelnen Mikrospiegel, sondern auch Bereiche, in denen keine Reflexion der Strahlung gewünscht ist. Der außerhalb der reflektierenden Oberflächen der einfallenden Strahlung ausgesetzte Bereich der Mikrospiegelanordnung sollte möglichst wenig Strahlung reflektieren bzw. zurückstreuen, da diese beispielsweise bei Verwendung der Mikrospiegelanordnung zur Pupillenformung unmittelbar in den Bereich der Pupille als Störlicht reflektiert wird.There structurally conditioned the reflective surfaces of Micro-mirrors usually not immediately adjacent to each other can be arranged, which applies to the micromirror arrangement incident radiation not just the reflective surfaces the individual micromirror, but also areas where no Reflection of the radiation is desired. The outside the reflective surfaces of the incident radiation exposed area of the micromirror arrangement should be as possible reflect little radiation or backscatter, as this for example, when using the micromirror arrangement for pupil formation directly is reflected in the area of the pupil as stray light.

Aus der US 6,891,655 B2 sind eine Mikrospiegelanordnung und ein Verfahren zu deren Herstellung bekannt geworden, bei denen die Beständigkeit eines Mikrospiegels für Strahlung im UV-Wellenlängenbereich durch Aufbringen einer strahlungsbeständigen Schicht erhöht werden soll. Es wird weiterhin vorgeschlagen, auf die Rückseite des Mikrospiegels und/oder an einem unbeweglichen Substrat, an dem der Mikrospiegel gelagert ist, eine Anti-Reflexbeschichtung aufzubringen. Als Materialien für die Schichten der Anti-Reflexbeschichtung werden unter anderem Magnesium-Fluorid und Calcium-Fluorid vorgeschlagen.From the US Pat. No. 6,891,655 B2 For example, a micromirror arrangement and a method for the production thereof have been disclosed in which the stability of a micromirror for radiation in the UV wavelength range is to be increased by applying a radiation-resistant layer. It is further proposed to apply to the back of the micromirror and / or on a stationary substrate on which the micromirror is mounted, an anti-reflective coating. As materials for the layers of the anti-reflection coating, among others, magnesium fluoride and calcium fluoride are proposed.

Zur Reduzierung der Reflektivität der Mikrospiegelanordnung außerhalb der optischen Oberflächen kann auch eine die einfallende Strahlung auffangende Blende vorgesehen sein. Nachteilig an dieser Lösung ist aber deren geringe mechanische Stabilität, sowie die ggf. nicht ausreichende Genauigkeit bei deren Befestigung bzw. Justage.to Reduction of the reflectivity of the micromirror arrangement outside the optical surfaces can also an incident radiation catching aperture can be provided. However, a disadvantage of this solution is its low mechanical Stability, and possibly insufficient accuracy during their attachment or adjustment.

Aufgabe der ErfindungObject of the invention

Aufgabe der Erfindung ist es, eine Mikrospiegelanordnung und ein Verfahren zur Herstellung einer Beschichtung anzugeben, wobei die Beschichtung unter intensiver Bestrahlung von Licht der Nutzwellenlänge nicht degradiert und gleichzeitig für Licht einer anderen Wellenlänge als der Nutzwellenlänge geeignet ist.The object of the invention is to provide a micromirror arrangement and a method for producing a Be coating, wherein the coating is not degraded under intense irradiation of light of the useful wavelength and at the same time is suitable for light of a wavelength other than the useful wavelength.

Gegenstand der ErfindungSubject of the invention

Ein Aspekt der Erfindung ist realisiert in einem Verfahren der eingangs genannten Art, umfassend: Beschichten eines Spiegelsubstrats mit einer Anti-Reflexbeschichtung, sowie Strukturieren der Anti-Reflexbeschichtung unter Aufbringen einer durch Bestrahlung strukturierbaren Materialschicht auf die Anti-Reflexbeschichtung und/oder auf das Spiegelsubstrat. Vorteilhaft besteht das Spiegelsubstrat hierbei aus Silizium.One Aspect of the invention is realized in a method of the beginning mentioned type, comprising: coating a mirror substrate with an anti-reflective coating, as well as structuring the anti-reflective coating applying a structurable by irradiation material layer on the anti-reflection coating and / or on the mirror substrate. Advantageously, the mirror substrate consists of silicon.

Erfindungsgemäß wird vorgeschlagen, die Anti-Reflexbeschichtung mit Hilfe eines lithographischen Verfahrens zu strukturieren, d. h. durch Aufbringen einer strahlungsempfindlichen Materialschicht, die durch Bestrahlung strukturiert werden kann. Hierbei kann die Materialschicht auf die Anti-Reflexbeschichtung aufgebracht werden oder direkt auf das Spiegelsubstrat, wobei sie in ersterem Fall als Ätzmaske und in letzterem Fall als Opferschicht oder Ätzstopp dient. In jedem Fall wird die strahlungsempfindliche Materialschicht nach bzw. bei der Strukturierung der Anti-Reflexbeschichtung entfernt, so dass die Anti-Reflexbeschichtung zunächst flächig aufgetragen und nachfolgend gezielt in den Bereichen abgetragen werden kann, in denen keine Anti-Reflexbeschichtung gewünscht ist.According to the invention proposed the anti-reflection coating by means of a lithographic process to structure, d. H. by applying a radiation-sensitive Material layer that can be structured by irradiation. Here, the material layer on the anti-reflective coating be applied or directly on the mirror substrate, where they in the former case as an etching mask and in the latter case as Sacrificial layer or etch stop is used. In any case, the radiation-sensitive material layer after or during structuring the anti-reflective coating removed, leaving the anti-reflective coating applied initially flat and then targeted Can be removed in areas where no anti-reflective coating is desired.

In einer Variante wird das Spiegelsubstrat mit wenigstens einer absorbierenden Schicht aus einem nicht-metallischen Material beschichtet, das bei einer Wellenlänge im UV-Bereich, insbesondere bei 193 nm, einen Absorptionskoeffizienten von 0,1 oder mehr, bevorzugt von 0,2 oder mehr, insbesondere von 0,4 oder mehr aufweist, so dass die Zahl der Schichten und damit die Zahl der Beschichtungsvorgänge gegenüber einer Anti-Reflexbeschichtung aus einem üblichen, aus transparenten Materialien bestehenden Mehrfachschicht-System deutlich reduziert werden kann.In a variant, the mirror substrate with at least one absorbent Layer of a non-metallic material coated at a wavelength in the UV range, in particular at 193 nm, a Absorption coefficient of 0.1 or more, preferably of 0.2 or more, in particular of 0.4 or more, so that the number the layers and thus the number of coating operations against an anti-reflective coating from a conventional, made of transparent materials existing multi-layer system can be significantly reduced.

In einer weiteren Variante wird als Material der absorbierenden Schicht eine Verbindung gewählt, die ausgewählt ist aus der Gruppe umfassend: Silizium-Oxide (SixOy), Al2O3, TiO2, Ta2O3, SiN, YF3, Silizium-Nitride (SixNy) und Silizium-Oxid-Nitride (SiNxOy). Diese Verbindungen weisen alle bei der Nutzwellenlänge von 193 nm hohe Absorptionswerte auf.In a further variant, the material selected for the absorbing layer is a compound which is selected from the group comprising: silicon oxides (Si x O y ), Al 2 O 3 , TiO 2 , Ta 2 O 3 , SiN, YF 3 , Silicon nitrides (Si x N y ) and silicon oxide nitrides (SiN x O y ). These compounds all have high absorption values at the useful wavelength of 193 nm.

In einer weiteren Variante wird auf die absorbierende Schicht mindestens eine weitere Schicht mit einem niedrigeren Brechungsindex als dem Brechungsindex der absorbierenden Schicht aufgebracht, um die Strahlung in die absorbierende Schicht einzukoppeln, d. h. die absorbierende Schicht zu entspiegeln. Es versteht sich, dass auf die weitere Schicht auch eine Schicht mit höherem Brechungsindex folgen kann.In Another variant is applied to the absorbent layer at least another layer with a lower refractive index than that Refractive index of the absorbing layer applied to the radiation to couple into the absorbing layer, d. H. the absorbent To be coated. It is understood that on the further layer can also follow a layer with higher refractive index.

Eine Variante des Verfahrens umfasst das Aufbringen einer reflektierenden Beschichtung auf die Anti-Reflexbeschichtung und/oder auf das Spiegelsubstrat zur Erzeugung mindestens einer reflektierenden Oberfläche an einem Mikrospiegel. Die reflektierende Beschichtung besteht hierbei aus einem erfindungsgemäßen, reflektierenden Mehrfachschicht-System, welches ein erstes und eine zweites Schichtteilsystem umfasst, wobei das erste Schichtteilsystem aus alternierenden hoch- bzw. niedrig brechenden, transparenten Schichten besteht.A Variant of the method comprises the application of a reflective Coating on the anti-reflective coating and / or on the mirror substrate for generating at least one reflective surface on a micromirror. The reflective coating consists here from a reflective multilayer system according to the invention, which comprises a first and a second layer subsystem, wherein the first layer subsystem of alternating high or low consists of refractive, transparent layers.

Bei einer weiteren Variante wird die reflektierende Beschichtung zunächst flächig aufgebracht und nachfolgend selektiv außerhalb der reflektierenden Oberfläche von der Anti-Reflexbeschichtung entfernt, wobei auch in diesem Fall eine strahlungsempfindliche, strukturierbare Materialschicht im Bereich der reflektierenden Oberfläche als Ätzmaske auf die reflektierende Beschichtung und/oder außerhalb der reflektierenden Oberfläche als Opferschicht bzw. als Ätzstopp auf die Anti-Reflexbeschichtung aufgebracht werden kann.at In another variant, the reflective coating is first applied flat and subsequently selectively outside the reflective surface of the anti-reflective coating removed, in which case a radiation-sensitive, structurable material layer in the region of the reflective surface as an etching mask on the reflective coating and / or outside the reflective surface as a sacrificial layer or applied as an etch stop on the anti-reflective coating can be.

In einer weiteren Variante wird die Schichtspannung der Anti-Reflexbeschichtung so auf die Schichtspannung der reflektierenden Beschichtung abgestimmt, dass die beiden Schichtspannungen sich im Wesentlichen kompensieren, d. h. eine z. B. negative Schichtspannung der Anti-Reflexbeschichtung kann durch eine betragsmäßig im Wesentlichen gleich große positive Schichtspannung der reflektierenden Beschichtung ausgeglichen werden und umgekehrt. Unter einer Kompensation „im Wesentlichen” wird verstanden, dass die Abweichung des Absolutbetrags der Schichtspannungen bei ca. 20% oder weniger liegt.In Another variant is the layer tension of the anti-reflection coating tuned to the layer tension of the reflective coating, that the two layer stresses are substantially compensated, d. H. a z. B. negative layer tension of the anti-reflective coating can be substantially equal in amount large positive film stress of the reflective coating be compensated and vice versa. Under a compensation "im Essentially "it is understood that the deviation of the Absolute amount of layer stresses is about 20% or less.

In einer Variante wird die Anti-Reflexbeschichtung zunächst flächig auf das Spiegelsubstrat aufgebracht und nachfolgend selektiv im Bereich der reflektierenden Oberfläche von dem Spiegelsubstrat entfernt. Auf diese Weise kann die reflektierende Beschichtung im Bereich der reflektierenden Oberfläche direkt auf das Spiegelsubstrat aufgebracht werden, so dass das Spiegelsubstrat, das von sich aus (z. B. im Falle von Silizium) bereits eine hohe Reflektivität für die einfallende Strahlung aufweist, nur noch durch eine geringe Anzahl von reflektierenden Schichten ergänzt werden muss, um die gewünschte hohe Reflektivität für die einfallende Strahlung im Bereich der reflektierenden Oberfläche zu erhalten.In one variant, the anti-reflection coating is first applied flatly to the mirror substrate and subsequently selectively removed from the mirror substrate in the region of the reflective surface. In this way, the reflective coating in the region of the reflective surface can be applied directly to the mirror substrate, so that the mirror substrate, which by itself (for example in the case of silicon dioxide), can be applied by itself Um) already has a high reflectivity for the incident radiation, only has to be supplemented by a small number of reflective layers in order to obtain the desired high reflectivity for the incident radiation in the region of the reflective surface.

In einer weiteren Variante wird mindestens eine, bevorzugt jede Schicht der Anti-Reflexbeschichtung durch plasmaunterstützte chemische Gasphasenabscheidung aufgebracht. Insbesondere bei ausschließlicher Verwendung von Silizium-Verbindungen als Materialien für die Schichten der Anti-Reflexbeschichtung kann die Anti-Reflexbeschichtung in einer Beschichtungsanlage in einem einzigen Beschichtungsvorgang aufgebracht werden, indem die Reaktivgasanteile geeignet variiert werden.In Another variant is at least one, preferably each layer the anti-reflection coating by plasma-enhanced chemical Applied vapor deposition. Especially for exclusive use of silicon compounds as materials for the layers The anti-reflective coating can provide the anti-reflective coating in a coating system in a single coating process be applied by the reactive gas varies appropriately become.

Weitere Merkmale und Vorteile der Erfindung ergeben sich aus der nachfolgenden Beschreibung von Ausführungsbeispielen der Erfindung, anhand der Figuren, die erfindungswesentliche Einzelheiten zeigen, und aus den Ansprüchen. Die einzelnen Merkmale können je einzeln für sich oder zu mehreren in beliebiger Kombination bei einer Variante der Erfindung verwirklicht sein.Further Features and advantages of the invention will become apparent from the following Description of embodiments of the invention, based Figures, the invention essential details show, and from the claims. The individual features can each individually or in any combination be realized in a variant of the invention.

Figurencharacters

Ausführungsbeispiele sind in den schematischen Figuren dargestellt und werden in der nachfolgenden Beschreibung erläutert. Es zeigt:embodiments are shown in the schematic figures and are in the explained below description. It shows:

1a eine schematische Darstellung einer erfindungsgemäßen Mikrospiegelanordnung in einer Draufsicht, 1a a schematic representation of a micromirror arrangement according to the invention in a plan view,

1b die Mikrospiegelanordnung von 1a in einer Schnittdarstellung nach dem Beschichten und vor dem Strukturieren der Anti-Reflexbeschichtung mittels einer strahlungsempfindlichen, strukturierten Materialschicht, sowie 1b the micromirror arrangement of 1a in a sectional view after coating and before structuring the anti-reflection coating by means of a radiation-sensitive, structured material layer, as well

1c die Mikrospiegelanordnung von 1b nach dem Strukturieren der Anti-Reflexbeschichtung und nach dem Entfernen der strahlungsempfindlichen Materialschicht, 1c the micromirror arrangement of 1b after structuring the anti-reflection coating and after removing the radiation-sensitive material layer,

2a–c eine schematische Darstellung einer ersten Variante der Beschichtung der Mikrospiegelanordnung von 1a mit einer Anti-Reflexbeschichtung und einer reflektierenden Beschichtung, 2a C is a schematic representation of a first variant of the coating of the micromirror arrangement of FIG 1a with an anti-reflection coating and a reflective coating,

3a–c eine schematische Darstellung einer zweiten Variante der Beschichtung der Mikrospiegelanordnung von 1a, 3a C is a schematic representation of a second variant of the coating of the micromirror arrangement of FIG 1a .

4a–c eine schematische Darstellung einer dritten Variante der Beschichtung der Mikrospiegelanordnung von 1a, 4a C is a schematic representation of a third variant of the coating of the micromirror arrangement of FIG 1a .

5 eine Darstellung der Reflektivität einer ersten Variante der Anti-Reflexbeschichtung in Abhängigkeit vom Einfallswinkel, 5 a representation of the reflectivity of a first variant of the anti-reflection coating as a function of the angle of incidence,

6 eine Darstellung der Reflektivität einer zweiten Variante der Anti-Reflexbeschichtung in Abhängigkeit vom Einfallswinkel und von der Dicke einer auf dem Spiegelsubstrat gebildeten Siliziumoxidschicht, 6 a representation of the reflectivity of a second variant of the anti-reflection coating as a function of the angle of incidence and the thickness of a silicon oxide layer formed on the mirror substrate,

7 eine Darstellung der Reflektivität eines zweiten Ausführungsbeispiels zur zweiten Variante der Anti-Reflexbeschichtung in Abhängigkeit von der Wellenlänge, 7 a representation of the reflectivity of a second embodiment of the second variant of the anti-reflection coating as a function of the wavelength,

8 eine schematische Darstellung des Schichtaufbaus eines Ausführungsbeispiels zu der Beschichtung der Mikrospiegelanordnung von 1a, 8th a schematic representation of the layer structure of an embodiment of the coating of the micromirror arrangement of 1a .

9 eine Darstellung der Reflektivität des Ausführungsbeispiels von 8 in Abhängigkeit von der Nutzwellenlänge, und 9 a representation of the reflectivity of the embodiment of 8th depending on the useful wavelength, and

10 eine Darstellung der Reflektivität des Ausführungsbeispiels von 8 in Abhängigkeit von der Messwellenlänge. 10 a representation of the reflectivity of the embodiment of 8th depending on the measuring wavelength.

In 1a ist schematisch eine Mikrospiegelanordnung 1 gezeigt, die ein plattenförmiges Spiegelsubstrat 2 aufweist, an dem eine Mehrzahl von Mikrospiegeln 3 zwischen als Scharnieren dienenden Teilbereichen 4 des Spiegelsubstrats 2 mit verringerter Dicke an säulenförmigen Stützstrukturen 5 beweglich gelagert ist. Unterhalb des Spiegelsubstrats 2 sind im Bereich jedes Mikrospiegels 3 mehrere, typischer weise drei (nicht gezeigte) Elektroden angebracht, durch die sich die Mikrospiegel 3 gegenüber einer jeweiligen, gestrichelt angedeuteten Achse verkippen lassen, die im Bereich der Stützstrukturen 5 durch das Spiegelsubstrat 2 verläuft. Es versteht sich, dass die Mikrospiegel 3 auch um zwei z. B. zueinander senkrechte Achsen verkippt werden können, wenn die Anordnung bzw. Formgebung der Scharniere 4 geeignet modifiziert wird, z. B. wenn diese in den Eckbereichen der Mikrospiegel 3 angeordnet werden.In 1a is schematically a micromirror arrangement 1 shown a plate-shaped mirror substrate 2 comprising a plurality of micromirrors 3 between hinged portions 4 of the mirror substrate 2 with reduced thickness of columnar support structures 5 is movably mounted. U.N below the mirror substrate 2 are in the range of every micromirror 3 a plurality, typically three electrodes (not shown) attached through which the micromirrors 3 can tilt over a respective, indicated by dashed lines axis, in the region of the support structures 5 through the mirror substrate 2 runs. It is understood that the micromirror 3 also by two z. B. mutually perpendicular axes can be tilted when the arrangement or shaping of the hinges 4 is suitably modified, for. B. if these in the corners of the micromirror 3 to be ordered.

Um die in 1a gezeigte Mikrospiegelanordnung 1 zu erhalten, wird auf das noch unstrukturierte, plane Spiegelsubstrat 2 eine Anti-Reflexbeschichtung 7 sowie eine reflektierende Beschichtung 8 aufgebracht, wie nachfolgend anhand der 2a–c, 3a–c und 4a–c näher erläutert wird, welche unterschiedliche Varianten des Beschichtungsvorgangs zeigen.To the in 1a shown micromirror arrangement 1 is obtained on the still unstructured, flat mirror substrate 2 an anti-reflective coating 7 and a reflective coating 8th applied, as described below with reference to 2a c, 3a -C and 4a C is explained in more detail, which show different variants of the coating process.

In 2a ist eine Beschichtungs-Variante dargestellt, bei der auf das Spiegelsubstrat 2 die Anti-Reflexbeschichtung 7 und auf diese die reflektierende Beschichtung 8 flächig aufgebracht ist. Auf die reflektierende Beschichtung 8 ist eine strahlungsempfindliche Materialschicht 9 aufgebracht, im Folgenden auch Resist genannt, die in einem vorausgehenden Schritt durch Bestrahlung bzw. Belichtung und anschließendes Entwickeln strukturiert und nachfolgend in den unbelichteten Bereichen entfernt wurde. Die Materialschicht 9 kann hierbei aus den in der Mikrolithographie üblichen Resist-Materialien bestehen, welche selektiv abgetragen werden können, so dass die reflektierende Beschichtung 8 beim teilweisen Abtrag der Materialschicht 9 intakt bleibt.In 2a a coating variant is shown, in which the mirror substrate 2 the anti-reflective coating 7 and on this the reflective coating 8th is applied flat. On the reflective coating 8th is a radiation-sensitive material layer 9 applied, hereinafter also called resist, which was structured in a previous step by irradiation or exposure and subsequent development and subsequently removed in the unexposed areas. The material layer 9 This may consist of the usual in microlithography resist materials, which can be selectively removed, so that the reflective coating 8th during partial removal of the material layer 9 remains intact.

Wie ebenfalls in 2a gezeigt ist, wird nachfolgend die reflektierende Beschichtung 8 selektiv in den Bereichen durch einen Ätzprozess abgetragen, an denen keine strukturierte Materialschicht 9 vorhanden ist. Der Ätzangriff ist hierbei durch gestrichelte Pfeile 10 angedeutet und kann mittels trockenem oder nassem Ätzen auf bekannte Weise durchgeführt werden. Durch das Ätzen erfolgt ein vollständiger Abtrag der reflektierenden Beschichtung 8 in den nicht durch den Resist 9 geschützten Bereichen, wie in 2b dargestellt ist, d. h. der Resist 9 dient als Ätzmaske für die Strukturierung der reflektierenden Beschichtung 8. In 2c wird das Ergebnis der Beschichtung dargestellt, nachdem der Resist 9 von der reflektierenden Beschichtung 8 entfernt wurde, wodurch eine reflektierende Oberfläche 11 mit der gewünschten Geometrie an der reflektierenden Beschichtung 8 gebildet wird.Like also in 2a is shown below, the reflective coating 8th selectively removed in the areas by an etching process, where no structured material layer 9 is available. The etching attack is here by dashed arrows 10 indicated and can be carried out by means of dry or wet etching in a known manner. The etching results in a complete removal of the reflective coating 8th not in the resist 9 protected areas, such as in 2 B is shown, ie the resist 9 serves as an etching mask for the structuring of the reflective coating 8th , In 2c the result of the coating is shown after the resist 9 from the reflective coating 8th was removed, creating a reflective surface 11 with the desired geometry on the reflective coating 8th is formed.

Der in 3a–c dargestellte Beschichtungsprozess unterscheidet sich von dem der 2a–c gezeigten dadurch, dass vor dem Aufbringen der reflektierenden Beschichtung 8 auf die Anti-Reflexbeschichtung 7 zunächst der Resist 9 aufgebracht und strukturiert wird, vgl. 3a. Der Resist 9 dient hierbei als Opferschicht und kann wie in 3b durch Pfeile 12 angedeutet ist, mittels geeigneter, ebenfalls bekannter Verfahren von der Anti-Reflexbeschichtung 7 abgehoben werden, nachdem auf diese die reflektierende Beschichtung 8 aufgebracht wurde. Wie in 3c gezeigt, bleibt auf diese Weise ebenfalls nur der gewünschte Bereich der reflektierenden Beschichtung 8 mit der reflektierenden Oberfläche 11 auf der Anti-Reflexbeschichtung 7 zurück.The in 3a -C coating process differs from that of 2a C show that before applying the reflective coating 8th on the anti-reflective coating 7 first the resist 9 is applied and structured, cf. 3a , The resist 9 serves as a sacrificial layer and can as in 3b through arrows 12 is indicated by means of suitable, also known method of the anti-reflection coating 7 be lifted off after this the reflective coating 8th was applied. As in 3c In this way, only the desired area of the reflective coating remains 8th with the reflective surface 11 on the anti-reflective coating 7 back.

4a–c zeigen schließlich eine Variante des Verfahrens, bei denen die Beschichtungsschritte der 2a–c und 3a–c kombiniert werden. Ausgangspunkt ist hierbei die in 3b dargestellte Situation, bei der auf den strukturierten Resist 9 die reflektierende Beschichtung 8 aufgebracht wurde. In diesem Fall wurde aber ein Resist-Material gewählt, das nicht als Opferschicht, sondern als Ätzstopp für die darunter liegende Anti-Reflexbeschichtung 7 dient. Wie in 4b dargestellt, wird auf den Teilbereich der reflektierenden Beschichtung 8, welcher unmittelbar auf die Anti-Reflexbeschichtung 7 aufgebracht wurde, eine weitere Resist-Schicht 9a als Ätzstopp aufgebracht und strukturiert, so dass der nicht von der Resist-Schicht 9a bedeckte Teil der reflektierenden Beschichtung 9 in einem nachfolgenden Ätzschritt abgetragen werden kann, wie in 4b durch Pfeile 10 dargestellt ist, wobei das Ätzen an dem Resist 9 gestoppt wird. Nach dem Abtragen des Resists 9 und der Resist-Schicht 9a wird ebenfalls die reflektierende Oberfläche 11 mit der gewünschten Form auf der Anti-Reflexbeschichtung 7 erhalten, wie in 4c gezeigt ist. 4a Finally, c show a variant of the method in which the coating steps of 2a -C and 3a -C are combined. The starting point here is the in 3b illustrated situation in which on the structured resist 9 the reflective coating 8th was applied. In this case, however, a resist material was chosen, not as a sacrificial layer, but as an etch stop for the underlying anti-reflective coating 7 serves. As in 4b is shown on the portion of the reflective coating 8th , which directly on the anti-reflective coating 7 was applied, another resist layer 9a applied as an etch stop and structured so that the non-resist layer 9a covered part of the reflective coating 9 can be removed in a subsequent etching step, as in 4b through arrows 10 is shown, wherein the etching on the resist 9 is stopped. After removing the resist 9 and the resist layer 9a also becomes the reflective surface 11 with the desired shape on the anti-reflective coating 7 get as in 4c is shown.

Die beiden in 3a–c und 4a–c gezeigten Varianten bieten sich an, wenn die reflektierende Beschichtung 8 nicht selektiv bezüglich der Anti-Reflexbeschichtung 7 geätzt werden kann. Bei den oben beschriebenen Beschichtungsvarianten können die einzelnen Schichten jeweils durch übliche Dünnschicht-Beschichtungsverfahren, z. B. durch plasmaunterstützte chemische Gasphasenabscheidung (PECVD), durch thermisches Verdampfen oder durch Sputtern aufgebracht werden.The two in 3a -C and 4a -C variants are useful when the reflective coating 8th not selective with respect to the anti-reflective coating 7 can be etched. In the case of the coating variants described above, the individual layers can each be removed by conventional thin-layer coating methods, for. As by plasma enhanced chemical vapor deposition (PECVD), by thermal evaporation or by sputtering.

Neben den in 2a–c bis 4a–c gezeigten Beschichtungsvarianten ist es auch möglich, zunächst die Anti-Reflexbeschichtung 7 zu strukturieren und in den Bereichen gezielt abzutragen, in denen die reflektierende Beschichtung 8 bzw. die optische Oberfläche 11 gebildet werden soll. Auf diese Weise kann die reflektierende Beschichtung 8 unmittelbar auf das Spiegelsubstrat 2 aufgebracht werden, so dass dessen hohe Reflektivität beim Design der reflektiven Beschichtung berücksichtigt und genutzt werden kann.In addition to the in 2a -C to 4a It is also possible, first the anti-reflective coating 7 to structure and selectively ablate in the areas where the reflective coating 8th or the optical surface 11 should be formed. In this way, the reflek coating 8th directly on the mirror substrate 2 can be applied so that its high reflectivity can be considered and used in the design of the reflective coating.

In jedem Fall wird nachfolgend auf das Substrat 3 eine weitere Resistschicht 9b flächig aufgebracht, die in den als Scharniere dienenden Teilbereichen 4 strukturiert ist, wie in 1b dargestellt, in der auf die Darstellung Anti-Reflexbeschichtung sowie die reflektierenden Beschichtung der besseren Übersicht halber verzichtet wurde. Die in 1b gezeigte Darstellung folgt hierbei der in 1a gezeigten Schnittlinie vor der Strukturierung des Substrats 2. In einem nachfolgenden Ätzschritt werden in den Teilbereichen 4 Durchbrüche gebildet, wie in 1c gezeigt ist, in der die Mikrospiegelanordnung 1 entlang der Schnittlinie von 1a im Endzustand nach dem Entfernen der Resistschicht 9b dargestellt ist.In any case, subsequent to the substrate 3 another resist layer 9b applied flat, in serving as hinged portions 4 is structured as in 1b in which the representation anti-reflective coating and the reflective coating has been omitted for the sake of clarity. In the 1b shown representation follows the in 1a shown cutting line before the structuring of the substrate 2 , In a subsequent etching step are in the sub-areas 4 Breakthroughs formed as in 1c is shown in which the micromirror arrangement 1 along the intersection of 1a in the final state after removal of the resist layer 9b is shown.

In 1c ist außerdem der Aufbau der Anti-Reflexbeschichtung 7 genauer gezeigt: Diese weist eine erste, absorbierende Schicht 7a auf, auf die eine weitere Schicht 7b aufgebracht ist, die zum Einkoppeln der auf die Anti-Reflexbeschichtung 7 auftreffenden, (nicht gezeigten) Strahlung in die absorbierende Schicht 7a dient und deren Brechungsindex geringer ist als derjenige der absorbierenden Schicht 7a. Auf das erste Schichtenpaar 7a, 7b folgen noch zwei weitere, identische Schichtenpaare 7a, 7b. Die absorbierende Schicht 7a besteht im vorliegenden Fall aus Silizium-Nitrid (SiN), welches in Abhängigkeit von der gewählten Prozessführung einen Realteil des Brechungsindex n zwischen ca. 2,20 und 2,65 und einen Imaginärteil k (Absorptionskoeffizienten) zwischen 0,17 und 0,7 bei einer Wellenlänge von 193 nm aufweist. Die weitere Schicht 7b besteht hierbei aus SiO2, das einen Brechungsindex n zwischen 1,56 und 1,70 und einen Absorptionskoeffizienten k zwischen 0,0002 und 0,015 aufweist.In 1c is also the structure of the anti-reflective coating 7 More specifically, this has a first, absorbent layer 7a on top of that, another layer 7b applied to the coupling of the on the anti-reflective coating 7 incident (not shown) radiation into the absorbing layer 7a is used and whose refractive index is less than that of the absorbent layer 7a , On the first pair of layers 7a . 7b followed by two more, identical pairs of layers 7a . 7b , The absorbing layer 7a consists in the present case of silicon nitride (SiN), which depending on the selected process control a real part of the refractive index n between about 2.20 and 2.65 and an imaginary k (absorption coefficient) between 0.17 and 0.7 at has a wavelength of 193 nm. The further layer 7b consists here of SiO 2 , which has a refractive index n between 1.56 and 1.70 and an absorption coefficient k between 0.0002 and 0.015.

Wie aus 1c ebenfalls zu ersehen ist, wird ca. 50% der Fläche der Mikrospiegelanordnung 1 zur gezielten Umlenkung der einfallenden Strahlung an den reflektierenden Oberflächen 11 verwendet. Außerhalb der reflektierenden Oberflächen 11 trifft noch ca. 1% der gesamten Intensität der einfallenden Strahlung auf, von denen maximal 10% reflektiert werden dürfen, wenn die Mikrospiegelanordnung 1 zur Pupillenformung verwendet werden soll, da in diesem Fall die nicht gezielt reflektierte Strahlung direkt in die Pupille gelangt. Da das Spiegelsubstrat 2 aus Silizium eine Reflektivität von ca. 65% aufweist, muss eine Anti-Reflexbeschichtung 7 so ausgelegt sein, dass die Reflektivität um ca. 55–60% reduziert wird.How out 1c is also seen, is about 50% of the area of the micromirror array 1 for the targeted deflection of the incident radiation at the reflecting surfaces 11 used. Outside the reflective surfaces 11 still accounts for about 1% of the total intensity of the incident radiation, of which a maximum of 10% may be reflected when the micromirror arrangement 1 is to be used for pupil shaping, since in this case the non-targeted reflected radiation passes directly into the pupil. Because the mirror substrate 2 Silicon has a reflectivity of about 65%, must have an anti-reflective coating 7 be designed so that the reflectivity is reduced by about 55-60%.

Ein typisches Schichtdesign (6-Schichter) für die Anti-Reflexbeschichtung 7, das eine Reflektivität R von weniger als 10% auch bei hohen Inzidenzwinkeln α von 50° und darüber aufweist, ist in 5 gezeigt, wobei die Schichtdicken gegeben sind durch: Si (2,9 H 1,95 L) 3 (physikalische Dicke jeweils in Nanometern) und für die einzelnen Schichten folgende Daten zu Grunde gelegt wurden: n k Silizium-Substrat: 0,88 2,78 SiN: H 2,5 0,3 SiO2: L 1,56 0,0002 A typical layer design (6-layer) for the anti-reflective coating 7 , which has a reflectivity R of less than 10% even at high incidence angles α of 50 ° and above, is in 5 The layer thicknesses are given by: Si (2.9 H 1.95 L) 3 (physical thickness in each case in nanometers) and the following data were used for the individual layers: n k Silicon substrate: 0.88 2.78 SiN: H 2.5 0.3 SiO 2 : L 1.56 0.0002

Es versteht sich, dass durch Anpassung der Dicken der Schichten 7a, 7b bzw. der Anzahl der verwendeten Schichten die Reflektivität geeignet anpassen, insbesondere weiter verringern lässt, wobei für die Entspiegelung des nicht transparenten Siliziums die vergleichsweise hohe Absorption (Absorptionskoeffizient größer 0,1) von Silizium-Nitrid als absorbierendem Schichtmaterial günstig ist. Es versteht sich, dass auch andere Schichtmaterialien für die Anti-Reflexbeschichtung 7 in Frage kommen, z. B. Silizium-Nitride anderer Zusammensetzung (SixNy) bzw. Silizium-Oxid-Nitride (SiOxNy), wobei die Anzahl und Reihenfolge der aufgebrachten Schichten von der zu erzielenden Reflektivität und dem Inzidenzwinkelbereich abhängig ist, unter dem die Strahlung auf die Mikrospiegelanordnung 1 einfällt.It is understood that by adjusting the thicknesses of the layers 7a . 7b or the number of layers used to adjust the reflectivity suitable, in particular further reduce, for the anti-reflective coating of non-transparent silicon, the comparatively high absorption (absorption coefficient greater than 0.1) of silicon nitride is advantageous as absorbent layer material. It is understood that other coating materials for the anti-reflective coating 7 come into question, for. Silicon nitrides of other composition (Si x N y ) or silicon oxide nitrides (SiO x N y ), wherein the number and order of the deposited layers depends on the reflectivity to be achieved and the angle of incidence range, below which the radiation on the micromirror arrangement 1 incident.

Bei der ausschließlichen Verwendung der oben erwähnten, Silizium enthaltenden Materialien für die Schichten der Anti-Reflexbeschichtung 7 können alle Schichten in derselben Beschichtungsanlage aufgebracht werden, indem die Reaktivgasanteile in der Anlage geeignet eingestellt werden. Es versteht sich, dass auch Schichten aus anderen Materialien, mit denen sich die gewünschte Reflektivität erzielen lässt, in der Anti-Reflexbeschichtung Verwendung finden können. Insbesondere können als absorbierende Schichten ggf. auch Metalle wie Aluminium, Chrom oder Titan eingesetzt werden. Auch für die weitere Schicht 7b können andere Materialien als Siliziumoxid (SiO2) verwendet werden, die für die einfallende Strahlung im Wesentlichen transparent sind und einen Brechungsindex aufweisen, der unter dem Brechungsindex der absorbierenden Schicht 7a liegt.In the exclusive use of the above-mentioned silicon-containing materials for the layers of the anti-reflection coating 7 For example, all layers can be applied in the same coating system by suitably setting the reactive gas components in the system. It goes without saying that layers of other materials with which the desired reflectivity can be achieved can also be used in the anti-reflection coating. In particular, it is also possible to use metals such as aluminum, chromium or titanium as absorbing layers. Also for the further shift 7b For example, materials other than silicon oxide (SiO 2 ) that are substantially transparent to the incident radiation and have a refractive index that is below the refractive index of the absorbing layer may be used 7a lies.

Bei dem im Zusammenhang mit 5 beschriebenen Beispiel für eine Anti-Reflexbeschichtung ist die Schichtdicke der absorbierenden Schicht 7a nicht groß genug, um die einfallende Strahlung vollständig zu absorbieren. Demzufolge muss das Spiegelsubstrat 2 bei der Berechnung des Schichtdesigns berücksichtigt werden. In der Regel bildet sich jedoch an der Oberfläche des Spiegelsubstrats eine dünne Schicht aus Siliziumoxid (maximal 7 nm) aus, wobei die Dicke ortsabhängig sein kann und auch in Abhängigkeit vom Herstellungsprozess des Spiegelsubstrats variiert. Da die Dicke der Oxidschicht in der Regel bei der Berechnung des Designs nicht genau bekannt ist, ist es schwierig, ein Design mit den gewünschten Eigenschaften zu erzeugen. Daher ist es vorteilhaft, die reflektierende Schicht 7a der Anti-Reflexbeschichtung mit einer solchen Dicke zu versehen, dass die einfallende Strahlung nicht oder nur in geringem Umfang bis zum Spiegelsubstrat 2 bzw. zu der oxidischen Schicht gelangt, so dass diese für die Wirkung der Entspiegelung unerheblich bleibt. Je nach Zielwert für die Restreflexion liegt bei Silizium-Nitrid als absorbierender Schicht die hierfür benötigte Dicke zwischen einigen 10 nm und über 100 nm. Die benötigte Dicke hängt vom Absorptionskoeffizienten und damit auch vom Herstellungsprozess der Silizium-Nitrid-Schicht ab.When related to 5 example described for an anti-reflective coating is the Layer thickness of the absorbent layer 7a not big enough to completely absorb the incident radiation. Consequently, the mirror substrate must 2 be considered in the calculation of the layer design. As a rule, however, a thin layer of silicon oxide (maximum 7 nm) forms on the surface of the mirror substrate, wherein the thickness may be location-dependent and also varies depending on the production process of the mirror substrate. Since the thickness of the oxide layer is typically not known exactly in the design calculation, it is difficult to create a design with the desired properties. Therefore, it is advantageous to the reflective layer 7a the anti-reflection coating to be provided with a thickness such that the incident radiation is not or only slightly to the mirror substrate 2 or reaches the oxide layer, so that it remains irrelevant to the effect of the anti-reflection. Depending on the target value for the residual reflection, the required thickness for silicon nitride as an absorbing layer is between a few 10 nm and more than 100 nm. The required thickness depends on the absorption coefficient and thus also on the production process of the silicon nitride layer.

6 zeigt ein Beispiel für die Reflektivität R eines Schichtdesigns (2-Schichters) mit einer Reflexion von ca. ≤ 1% bei senkrechtem Einfall und einer Wellenlänge von 193 nm. Das Design weist folgende physikalische Schichtdicken auf: (Si-Substrat) (0 bis 7 nm N) (97.9 nm H) (28.5 nm L) 6 shows an example of the reflectivity R of a layer design (2-layer) with a reflection of about ≤ 1% at normal incidence and a wavelength of 193 nm. The design has the following physical layer thicknesses: (Si substrate) (0 to 7 nm N) (97.9 nm H) (28.5 nm L)

Für die einzelnen Schichten wurden folgende Daten zu Grunde gelegt: Brechzahl n k Substrat Si 0,88 2,78 N Nat. SiO2 1,56 0,0002 H PECVD SiN 2,38 0,44 L PECVD SiO2 1,66 0,0005 The following data was used for the individual layers: refractive index n k Substrate Si 0.88 2.78 N Nat. SiO2 1.56 0.0002 H PECVD SiN 2.38 0.44 L PECVD SiO2 1.66 0.0005

Die in 6 dargestellten Reflektivitätskurven 10a bis 10e wurden hierbei für folgende Dicken der Siliziumoxidschicht im Bereich zwischen 0 nm und 7 nm ermittelt: Kurve 10a: 0 nm, 10b: 1 nm, 10c: 3 nm, 10d: 5 nm, 10e: 7 nm. Wie deutlich zu erkennen ist, nimmt die Reflektivität R mit zunehmender Schichtdicke der nativen SiO2-Schicht ab, die Dicke der absorbierenden Schicht 7a von ca. 100 nm ist aber ausreichend, um bei allen betrachteten Fällen eine Reflektivität R zu erreichen, die bei senkrechtem Einfall im Bereich um ca. 1% und darunter liegt. Bei diesem Design ist abweichend von dem in 1c dargestellten Fall somit ein einziges Schichtenpaar 7a, 7b ausreichend, um die gewünschte Reflektivität R zu erzielen.In the 6 illustrated Reflektivitätskurven 10a to 10e were determined for the following thicknesses of the silicon oxide layer in the range between 0 nm and 7 nm: Curve 10a : 0 nm, 10b : 1 nm, 10c : 3 nm, 10d : 5 nm, 10e As can be clearly seen, the reflectivity R decreases with increasing layer thickness of the native SiO 2 layer, the thickness of the absorbing layer 7a of about 100 nm is sufficient, however, to achieve in all the cases considered a reflectivity R, which is at normal incidence in the range of about 1% and below. In this design is different from the in 1c thus represented a single layer pair 7a . 7b sufficient to achieve the desired reflectivity R.

Zusätzlich zur Entspiegelung bei einer einzelnen Wellenlänge, z. B. bei 193 nm, ist es auch möglich, eine Entspiegelung über einen Wellenlängenbereich z. B. zwischen 185 nm und 230 nm zu realisieren. In diesem Fall muss das optische Design bzw. müssen die Schichtdicken der Schichten 7a, 7b und ggf. weiterer Schichten entsprechend angepasst werden Für die Optimierung der Schichtdicken bei bekannten Brechzahlen der verwendeten Schichtmaterialien werden typischerweise handelsübliche Schichtdesignprogramme verwendet. Vorteile einer Breitband-Entspiegelung sind höhere Fertigungstoleranzen und die Abdeckung eines breiteren Bereiches der Einfallswinkel für eine ausgewählte Wellenlänge.In addition to the anti-reflection at a single wavelength, z. B. at 193 nm, it is also possible to have an anti-reflection over a wavelength range z. B. between 185 nm and 230 nm to realize. In this case, the optical design or must the layer thicknesses of the layers 7a . 7b and, if appropriate, further layers can be adapted accordingly. Commercially available layer design programs are typically used to optimize the layer thicknesses for known refractive indices of the layer materials used. Advantages of broadband antireflection are higher manufacturing tolerances and the coverage of a wider range of angles of incidence for a selected wavelength.

Bei der in 1c dargestellten Konfiguration, bei der die reflektierende Beschichtung 8 auf die Anti-Reflexbeschichtung 7 aufgebracht ist, ist es möglich, die Schichtspannung der Anti-Reflexbeschichtung so auf die Schichtspannung der reflektierenden Beschichtung abzustimmen, dass die beiden Schichtspannungen sich im Wesentlichen kompensieren, d. h. eine resultierende positive Schichtspannung der einen Beschichtung kann durch eine betragsmäßig im Wesentlichen gleich große (Abweichung maximal ca. 20%) negative Schichtspannung der anderen Beschichtung kompensiert werden. Hierbei kann ausgenutzt werden, dass die Schichtspannungen der einzelnen Schichten, insbesondere der absorbierenden Schicht 7a (z. B. aus SiN) in Abhängigkeit vom gewählten Beschichtungsverfahren bzw. der gewählten Beschichtungsparameter variiert, so dass die Schichtspannung der Anti-Reflexbeschichtung geeignet angepasst werden kann.At the in 1c illustrated configuration in which the reflective coating 8th on the anti-reflective coating 7 is applied, it is possible to match the layer tension of the anti-reflection coating to the layer tension of the reflective coating such that the two layer stresses are substantially compensated, ie a resulting positive layer tension of the one coating can be substantially equal in magnitude (maximum deviation) about 20%) negative layer stress of the other coating can be compensated. In this case, it can be utilized that the layer stresses of the individual layers, in particular of the absorbing layer 7a (For example, SiN) depending on the selected coating method or the selected coating parameters varies, so that the layer stress of the anti-reflection coating can be suitably adjusted.

7 zeigt die Reflektivität eines zweiten Ausführungsbeispiels zur zweiten Variante der Anti-Reflexbeschichtung 7 gemäß 6 in Abhängigkeit von der Wellenlänge. Die Reflektion dieser Anti-Reflexbeschichtung 7 liegt bei der Nutzwellenlänge von 193 nm bei senkrechtem Einfall des Nutzlichtes der Mikrospiegelanordnung unter 1%. Das Design weist folgende physikalische Schichtdicken auf: (Si-Substrat) (90.2 nm H) (85.8 nm L). 7 shows the reflectivity of a second embodiment of the second variant of the anti-reflection coating 7 according to 6 depending on the wavelength. The reflection of this anti-reflective coating 7 is at the Nutzwellenlänge of 193 nm at normal incidence of the useful light of the micromirror arrangement below 1%. The design has the following physical layer thicknesses: (Si substrate) (90.2 nm H) (85.8 nm L).

Für die einzelnen Schichten wurden hierbei die Daten gemäß den Ausführungsbeispielen zu 6 zu Grunde gelegt, wobei die Beschichtung des Ausführungsbeispiels zu 7 direkt auf dem Si-Spiegelsubstrat aufgebracht ist und nicht durch eine SiO2-Schicht von diesem getrennt ist. Die absorbierende Schicht 7a besteht somit aus SiN und die weitere Schicht 7b, welche zum Einkoppeln der auf die Anti-Reflexbeschichtung 7 auftreffenden Strahlung aufgebracht ist, besteht aus SiO2.For the individual layers in this case the data according to the embodiments to 6 based on the coating, the coating of the embodiment too 7 is applied directly on the Si mirror substrate and is not separated by an SiO 2 layer thereof. The absorbing layer 7a be is thus made of SiN and the other layer 7b , which for coupling the on the anti-reflective coating 7 incident radiation is applied, consists of SiO 2 .

Es ist anhand von 7 zu erkennen, dass die zugehörige Anti-Reflexbeschichtung 7 in der Lage ist, bis zu einer Nutzwellenlänge von 212 nm dafür zu sorgen, dass die Reflektivität der Bereiche außerhalb der reflektiven Oberflächen 11 einer Mikrospiegelanordnung unter 10% bleibt.It is based on 7 to recognize that the associated anti-reflective coating 7 is able to provide, up to a useful wavelength of 212 nm, the reflectivity of the regions outside the reflective surfaces 11 a micromirror arrangement remains below 10%.

8 ist eine schematische Darstellung des Schichtaufbaus eines Ausführungsbeispiels zu der Beschichtung eines Mikrospiegels 3 der Mikrospiegelanordnung von 1a, welches neben der Anti-Reflexbeschichtung 7 mit den Schichten 7a und 7b auf einem Substrat 2 auch die reflektierende Beschichtung 8 mit umfasst. Die reflektierende Beschichtung 8 besteht hierbei aus zwei Schichtteilsysteme, wobei das erste Schichtteilsystem Schichten 8a, 8b aus einer periodischen Abfolge alternierender hoch und niedrig brechender Schichten aus einem nicht-metallischen Material aufweist und bezüglich der Nutzwellenlänge von 193 nm im Hinblick auf die Reflektivität optimiert ist. Das zweite Schichtteilsystem besteht aus den hoch und niedrig brechenden Schichten 8c, 8d und ist bezüglich der Messwellenlänge von 633 nm im Hinblick auf die Reflektivität optimiert. Das konkrete Schichtdesign für dieses Ausführungsbeispiel lässt sich wie folgt angeben:
(Si-Substrat) (4,288 SiN)(2,783 SiO2) 2×(1,796 TiO2 4,170 MgF2) (1,796 TiO2) 9×(1 MgF2 1 LaF3).
8th is a schematic representation of the layer structure of an embodiment of the coating of a micromirror 3 the micromirror arrangement of 1a , which in addition to the anti-reflective coating 7 with the layers 7a and 7b on a substrate 2 also the reflective coating 8th includes. The reflective coating 8th consists of two layer subsystems, the first layer subsystem layers 8a . 8b consists of a periodic sequence of alternating high and low refractive layers of a non-metallic material and is optimized with respect to the useful wavelength of 193 nm in terms of reflectivity. The second layer subsystem consists of the high and low refractive layers 8c . 8d and is optimized with respect to the measurement wavelength of 633 nm in terms of reflectivity. The concrete layer design for this embodiment can be stated as follows:
(Si substrate) (4.288 SiN) (2.783 SiO 2 ) 2x (1.796 TiO 2 4.170 MgF 2 ) (1.776 TiO 2 ) 9x (1 MgF 2 1 LaF 3 ).

Dabei beziehen sich die Zahlenangaben auf die Einheit Quarter Wave Optical Thickness (QWOT), also auf ein Viertel der Wellenlänge, bei der Nutzwellenlänge von 193 nm bei nahezu senkrechtem Einfall. Das Ausführungsbeispiel zu 8 umfasst somit eine Anti-Reflexbeschichtung 7 gemäß 7, ein zweites reflektierendes Schichtteilsystem aus zwei Perioden von Schichten 8c aus TiO2 und Schichten 8d aus MgF2 für die Wellenlänge 633 nm, eine Trennschicht 8t aus TiO2 und ein erstes Schichtteilsystem aus 9 Perioden von Schichten 8b aus MgF2 und 8a aus LaF3 für die Wellenlänge 193 nm. Statt einer Trennschicht aus TiO2 zwischen dem ersten und dem zweiten Schichtteilsystem hätten hierbei auch Schichten aus den Materialien ZrO2, Ta2O5, HfO2, Si, Ge, ZnS, CuInSe2 oder CuInS2 gewählt werden können. Die Trennschicht dient dazu, die Reflektionseigenschaften des ersten Schichtteilsystems von dem darunter liegenden Schichtteilsystem zu entkoppeln, indem es dafür sorgt, dass möglichst wenig Licht der Nutzwellenlänge die Trennschicht zu dem darunterliegenden Schichtteilsystem passiert. Ferner kann eine zusätzliche Haftvermittlungsschicht zwischen der Anti-Reflexbeschichtung 7 und dem Substrat 2 und/oder zwischen der Anti-Reflexbeschichtung 7 und der reflektierenden Beschichtung 8 beim Ausführungsbeispiel zu 8 vorgesehen werden.In this case, the numbers refer to the unit Quarter Wave Optical Thickness (QWOT), ie to a quarter of the wavelength, at the useful wavelength of 193 nm with almost vertical incidence. The embodiment too 8th thus includes an anti-reflective coating 7 according to 7 , a second reflective layer subsystem of two periods of layers 8c made of TiO 2 and layers 8d made of MgF 2 for the wavelength 633 nm, a separation layer 8t TiO 2 and a first layer subsystem of 9 periods of layers 8b from MgF 2 and 8a of LaF 3 for the wavelength 193 nm. Instead of a separating layer of TiO 2 have in this case between the first and the second layer subsystem also layers of the materials ZrO 2, Ta 2 O 5, HfO 2, Si, Ge, ZnS, CuInSe 2 or CuInS 2 can be selected. The separation layer serves to decouple the reflection properties of the first layer subsystem from the underlying layer subsystem, by ensuring that the least possible light of the useful wavelength passes through the separation layer to the underlying layer subsystem. Furthermore, an additional primer layer between the anti-reflection coating 7 and the substrate 2 and / or between the anti-reflective coating 7 and the reflective coating 8th in the embodiment too 8th be provided.

Die Reflektionseigenschaften dieses Ausführungsbeispiels außerhalb der reflektierenden Oberfläche 11 wurden schon anhand der 7 diskutiert. Die Reflektionseigenschaften innerhalb der reflektierenden Oberfläche 11 eines Mikrospiegels 3 mit einer Beschichtung gemäß dem Ausführungsbeispiel zu 8 werden nachfolgend anhand der 9 und 10 diskutiert.The reflection properties of this embodiment outside the reflective surface 11 were already based on the 7 discussed. The reflection properties within the reflective surface 11 a micromirror 3 with a coating according to the embodiment 8th will be described below on the basis of 9 and 10 discussed.

9 zeigt eine Darstellung der Reflektivität des Ausführungsbeispiels von 8 in Abhängigkeit von der Wellenlänge in der Umgebung der Nutzwellenlänge von 193 nm. Es ist anhand 8 zu erkennen, dass das Ausführungsbeispiel zu 8 eine Reflektivität von über 95% bei der Nutzwellenlänge von 193 nm bei einem Einfallswinkel von 10° gegenüber der Normalen der reflektierenden Oberfläche 11 des Mikrospiegels 3 aufweist. Ferner ist zu erkennen, dass die Reflektivität bei einer Nutzwellenlänge zwischen 185 nm und 205 nm bei einem Einfallswinkel von 10° dieses Ausführungsbeispiels über 85% beträgt. 9 shows a representation of the reflectivity of the embodiment of 8th as a function of the wavelength in the vicinity of the useful wavelength of 193 nm. It is based on 8th to realize that the embodiment too 8th a reflectivity of over 95% at the useful wavelength of 193 nm at an angle of incidence of 10 ° with respect to the normal of the reflective surface 11 of the micromirror 3 having. It can also be seen that the reflectivity at a useful wavelength between 185 nm and 205 nm at an incident angle of 10 ° of this embodiment is over 85%.

10 zeigt eine Darstellung der Reflektivität des Ausführungsbeispiels von 8 in Abhängigkeit von der Wellenlänge in der Umgebung der Messwellenlänge von 633 nm der Mikrospiegelanordnung. Es ist anhand von 10 zu erkennen, dass das Ausführungsbeispiel zu 8 eine Reflektivität von über 90% bei der Messwellenlänge von 633 nm bei einem Einfallswinkel von 45° gegenüber der Normalen der reflektierenden Oberfläche 11 des Mikrospiegels 3 aufweist. Darüber hinaus ist zu erkennen, dass die Reflektivität dieses Ausführungsbeispiels gleichzeitig zu der Reflektivität bei der Nutzwellenlänge, welche in 9 dargestellt ist, bei einer Messwellenlänge zwischen 500 nm und 800 nm und bei einem Einfallswinkel von 45° mehr als 80% beträgt. Somit ist die reflektierende Beschichtung 8, insbesondere das zweite Schichtteilsystem derart ausgelegt, dass eine Reflektivität von mehr als 65%, insbesondere von mehr als 80% bei einer von der Nutzwellenlänge abweichenden Messwellenlänge unter einem Einfallswinkel zur Normalen der reflektierenden Oberfläche 11 resultiert, wobei der Einfallswinkel um mehr als 15°, insbesondere um mehr als 20° von einem Einfallswinkel des Nutzlichtes abweicht und wobei die Einfallswinkel des Nutzlichtes durch die beabsichtigte Nutzung der Mikrospiegelanordnung vorgegeben sind. 10 shows a representation of the reflectivity of the embodiment of 8th as a function of the wavelength in the vicinity of the measuring wavelength of 633 nm of the micromirror arrangement. It is based on 10 to realize that the embodiment too 8th a reflectivity of over 90% at the measurement wavelength of 633 nm at an angle of incidence of 45 ° relative to the normal of the reflective surface 11 of the micromirror 3 having. In addition, it can be seen that the reflectivity of this embodiment coincides with the reflectivity at the use wavelength, which in 9 is shown, at a measuring wavelength between 500 nm and 800 nm and at an angle of incidence of 45 ° is more than 80%. Thus, the reflective coating is 8th , In particular, the second layer subsystem designed such that a reflectivity of more than 65%, in particular more than 80% at a deviating from the useful wavelength measurement wavelength at an angle of incidence to the normal of the reflective surface 11 results, wherein the angle of incidence deviates by more than 15 °, in particular by more than 20 ° from an incident angle of the useful light and wherein the angles of incidence of the useful light are predetermined by the intended use of the micromirror arrangement.

Es versteht sich, dass die Anti-Reflexbeschichtung nicht zwingend auf der Oberseite des Spiegelsubstrats 2 aufgebracht werden muss, sondern dass bei geeigneter Geometrie der Mikrospiegelanordnung auch eine Anbringung der Anti-Reflexbeschichtung an der Rückseite des Spiegelsubstrats 2 oder an einem darunter liegenden Substrat, das ggf. ebenfalls aus Silizium besteht, aufgebracht werden kann, wie in der eingangs zitierten US 6,891,655 B2 dargestellt ist, welche bezüglich dieses Aspekts durch Bezugnahme zum Inhalt dieser Anmeldung gemacht wird.It is understood that the anti-reflection coating is not necessarily on top of the mirror substrate 2 must be applied, but that with a suitable geometry of the micromirror arrangement also an attachment of the anti-reflection coating on the back of the mirror substrate 2 or on an underlying substrate, which optionally also consists of silicon, can be applied, as in the cited above US Pat. No. 6,891,655 B2 which is related to this aspect by reference to the content of this application.

Die oben beschriebene Mikrospiegelanordnung eignet sich insbesondere zur Pupillenformung in Beleuchtungssystemen für die Mikrolithographie, die bei einer Wellenlänge von 193 nm betrieben werden. Ferner können auch mehrere Mikrospiegelanordnungen parallel oder nacheinander in solchen Beleuchtungssystemen betrieben werden. Es versteht sich, dass die oben beschriebenen Konzepte mit geeigneten Abwandlungen auch bei anderen Wellenlängen, wie z. B. 248 nm, Verwendung finden können. Ferner kann die oben beschriebene Mikrospiegelanordnung auch in anderen optischen Anlagen bzw. auf anderen Gebieten der Optik als der Mikrolithographie gewinnbringend eingesetzt werden. Wesentlich ist hierbei, dass die Anti-Reflexbeschichtung durch die Fotolithographie strukturiert werden kann, weshalb bei der Strukturierung nur geringe Toleranzen auftreten und die Anti-Reflexbeschichtung nahezu die gesamte Fläche außerhalb der reflektierenden Oberflächen bedecken kann, so dass der „Rahmen” der einzelnen Mikrospiegel im Wesentlichen vollständig mit ihr beschichtet werden kann.The The micromirror arrangement described above is particularly suitable for pupil shaping in illumination systems for microlithography, which are operated at a wavelength of 193 nm. Furthermore, several micromirror arrangements can be parallel or operated sequentially in such lighting systems. It is understood that the concepts described above with appropriate Modifications also at other wavelengths, such. Eg 248 nm, can be used. Furthermore, the above-described Micromirror arrangement in other optical systems or on other areas of optics than microlithography profitable be used. It is essential that the anti-reflective coating can be structured by photolithography, why at the structuring only small tolerances occur and the anti-reflective coating almost the entire surface outside the reflective surfaces can cover, so the "frame" of each Micromirror essentially completely coated with it can be.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list The documents listed by the applicant have been automated generated and is solely for better information recorded by the reader. The list is not part of the German Patent or utility model application. The DPMA takes over no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • - WO 98/09289 A1 [0003] WO 98/09289 A1 [0003]
  • - US 2004/0190281 A1 [0003] - US 2004/0190281 A1 [0003]
  • - US 7307775 [0004] - US 7307775 [0004]
  • - US 6816302 [0004] US 6816302 [0004]
  • - US 6778315 [0004] US 6778315 [0004]
  • - US 6891655 [0004] US 6891655 [0004]
  • - WO 2006000445 [0004] WO 2006000445 [0004]
  • - US 5572543 [0004] US 5572543 [0004]
  • - US 6746886 [0004] - US 6746886 [0004]
  • - US 6891655 B2 [0007, 0062] US 6891655 B2 [0007, 0062]

Claims (10)

Verfahren zum Herstellen einer Beschichtung für eine Mikrospiegelanordnung (1), umfassend: Beschichten eines Spiegelsubstrats (2) mit einer Anti-Reflexbeschichtung (7), sowie Strukturieren der Anti-Reflexbeschichtung (7) unter Aufbringen einer durch Bestrahlung strukturierbaren Materialschicht (9b) auf die Anti-Reflexbeschichtung (9) und/oder auf das Spiegelsubstrat (2).Method for producing a coating for a micromirror arrangement ( 1 ), comprising: coating a mirror substrate ( 2 ) with an anti-reflective coating ( 7 ), and structuring the anti-reflection coating ( 7 ) with the application of a radiation-structurable material layer ( 9b ) on the anti-reflective coating ( 9 ) and / or on the mirror substrate ( 2 ). Verfahren nach Anspruch 1, bei dem das Spiegelsubstrat (2) mit wenigstens einer absorbierenden Schicht (7a) aus einem nicht-metallischen Material beschichtet wird, das bei einer Wellenlänge im UV-Bereich einen Absorptionskoeffizienten von 0,1 oder mehr aufweist.Method according to Claim 1, in which the mirror substrate ( 2 ) with at least one absorbent layer ( 7a ) is coated from a non-metallic material having an absorption coefficient of 0.1 or more at a wavelength in the UV range. Verfahren nach Anspruch 2, bei dem das Spiegelsubstrat (2) mit wenigstens einer absorbierenden Schicht (7a) aus einem nicht-metallischen Material beschichtet wird, das bei einer Wellenlänge im UV-Bereich einen Absorptionskoeffizienten von 0,2 oder mehr aufweist.Method according to Claim 2, in which the mirror substrate ( 2 ) with at least one absorbent layer ( 7a ) is coated from a non-metallic material having an absorption coefficient of 0.2 or more at a wavelength in the UV range. Verfahren nach Anspruch 3, bei dem das Spiegelsubstrat (2) mit wenigstens einer absorbierenden Schicht (7a) aus einem nicht-metallischen Material beschichtet wird, das bei einer Wellenlänge im UV-Bereich einen Absorptionskoeffizienten von 0,4 oder mehr aufweist.Method according to Claim 3, in which the mirror substrate ( 2 ) with at least one absorbent layer ( 7a ) is coated from a non-metallic material having an absorption coefficient of 0.4 or more at a wavelength in the UV range. Verfahren nach einem der Ansprüche 2 bis 4, bei dem als Material der absorbierenden Schicht (7a) ein Material ausgewählt ist aus der Gruppe umfassend: Silizium-Oxide (SixOy), Al2O3, TiO2, Ta2O3, SiN, YF3, Silizium-Nitride (SixNy) und Silizium-Oxid-Nitride (SiNxOy).Method according to one of Claims 2 to 4, in which the material of the absorbent layer ( 7a ) a material is selected from the group comprising: silicon oxides (Si x O y ), Al 2 O 3 , TiO 2 , Ta 2 O 3 , SiN, YF 3 , silicon nitrides (Si x N y ) and silicon Oxide nitrides (SiN x O y ). Verfahren nach einem der Ansprüche 2 bis 5, bei dem auf die absorbierende Schicht (7a) mindestens eine weitere Schicht (7b) mit einem niedrigeren Brechungsindex als dem Brechungsindex der absorbierenden Schicht (7a) aufgebracht wird.Method according to one of Claims 2 to 5, in which the absorbent layer ( 7a ) at least one further layer ( 7b ) having a lower refractive index than the refractive index of the absorbing layer ( 7a ) is applied. Verfahren nach einem der Ansprüche 1 bis 6, weiter umfassend: Aufbringen einer reflektierenden Beschichtung (8) auf die Anti-Reflexbeschichtung (7) und/oder auf das Spiegelsubstrat (2) zur Erzeugung mindestens einer reflektierenden Oberfläche (11) an einem Mikrospiegel (3).Method according to one of claims 1 to 6, further comprising: applying a reflective coating ( 8th ) on the anti-reflective coating ( 7 ) and / or on the mirror substrate ( 2 ) for producing at least one reflective surface ( 11 ) on a micromirror ( 3 ). Verfahren nach Anspruch 7, bei dem die reflektierende Beschichtung (8) zunächst flächig aufgebracht und nachfolgend selektiv außerhalb der reflektierenden Oberfläche (11) von der Anti-Reflexbeschichtung entfernt wird.Method according to Claim 7, in which the reflective coating ( 8th ) first applied flat and then selectively outside the reflective surface ( 11 ) is removed from the anti-reflection coating. Verfahren nach einem der Ansprüche 7 oder 8, bei dem die Schichtspannung der Anti-Reflexbeschichtung (7) so auf die Schichtspannung der reflektierenden Beschichtung (8) abgestimmt ist, dass beide Schichtspannungen sich im Wesentlichen kompensieren.Method according to one of Claims 7 or 8, in which the layer tension of the anti-reflection coating ( 7 ) so on the layer tension of the reflective coating ( 8th ) that both layer stresses substantially compensate each other. Verfahren nach einem der Ansprüche 1 bis 9, bei dem die Anti-Reflexbeschichtung (7) zunächst flächig auf das Spiegelsubstrat (2) aufgebracht und nachfolgend selektiv im Bereich der reflektierenden Oberfläche (11) von dem Spiegelsubstrat (2) entfernt wird.Method according to one of claims 1 to 9, wherein the anti-reflection coating ( 7 ) initially flat on the mirror substrate ( 2 ) and subsequently selectively in the region of the reflective surface ( 11 ) from the mirror substrate ( 2 ) Will get removed.
DE102009061024A 2009-08-20 2009-08-20 Micro-mirror arrangement used for micro lithography, comprises micro-mirror having reflective surface applied on mirror substrate and anti-reflective coating applied on mirror substrate outside of reflective surface Withdrawn DE102009061024A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102009038000A DE102009038000A1 (en) 2009-08-20 2009-08-20 Micro-mirror arrangement used for micro lithography, comprises micro-mirror having reflective surface applied on mirror substrate and anti-reflective coating applied on mirror substrate outside of reflective surface
DE102009061024A DE102009061024A1 (en) 2009-08-20 2009-08-20 Micro-mirror arrangement used for micro lithography, comprises micro-mirror having reflective surface applied on mirror substrate and anti-reflective coating applied on mirror substrate outside of reflective surface

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102009038000A DE102009038000A1 (en) 2009-08-20 2009-08-20 Micro-mirror arrangement used for micro lithography, comprises micro-mirror having reflective surface applied on mirror substrate and anti-reflective coating applied on mirror substrate outside of reflective surface
DE102009061024A DE102009061024A1 (en) 2009-08-20 2009-08-20 Micro-mirror arrangement used for micro lithography, comprises micro-mirror having reflective surface applied on mirror substrate and anti-reflective coating applied on mirror substrate outside of reflective surface

Publications (1)

Publication Number Publication Date
DE102009061024A1 true DE102009061024A1 (en) 2011-02-24

Family

ID=43495547

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102009061024A Withdrawn DE102009061024A1 (en) 2009-08-20 2009-08-20 Micro-mirror arrangement used for micro lithography, comprises micro-mirror having reflective surface applied on mirror substrate and anti-reflective coating applied on mirror substrate outside of reflective surface

Country Status (1)

Country Link
DE (1) DE102009061024A1 (en)

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5572543A (en) 1992-04-09 1996-11-05 Deutsch Aerospace Ag Laser system with a micro-mechanically moved mirror
WO1998009289A1 (en) 1996-08-27 1998-03-05 Quinta Corporation Optical head using micro-machined elements
US6746886B2 (en) 2001-03-19 2004-06-08 Texas Instruments Incorporated MEMS device with controlled gas space chemistry
US6778315B2 (en) 2002-09-25 2004-08-17 Rosemount Aerospace Inc. Micro mirror structure with flat reflective coating
US20040190281A1 (en) 2003-03-24 2004-09-30 Memphis Eye & Cataract Associates Ambulatory Surgery Center (Dba Meca Laser And Surgery Center Digital micromirror device having a window transparent to ultraviolet (UV) light
US6816302B2 (en) 1998-03-02 2004-11-09 Micronic Laser Systems Ab Pattern generator
US6891655B2 (en) 2003-01-02 2005-05-10 Micronic Laser Systems Ab High energy, low energy density, radiation-resistant optics used with micro-electromechanical devices
WO2006000445A1 (en) 2004-06-25 2006-01-05 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. High-reflective coated micromechanical mirror, method for producing the same and its use
US20060245036A1 (en) * 2005-04-20 2006-11-02 Texas Instruments Incorporated Isolation layer for semiconductor devices and method for forming the same
US7307775B2 (en) 2000-12-07 2007-12-11 Texas Instruments Incorporated Methods for depositing, releasing and packaging micro-electromechanical devices on wafer substrates
US20090161233A1 (en) * 2007-12-19 2009-06-25 Kuniyoshi Kobayashi Lens position detecting device and lens position detecting method

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5572543A (en) 1992-04-09 1996-11-05 Deutsch Aerospace Ag Laser system with a micro-mechanically moved mirror
WO1998009289A1 (en) 1996-08-27 1998-03-05 Quinta Corporation Optical head using micro-machined elements
US6816302B2 (en) 1998-03-02 2004-11-09 Micronic Laser Systems Ab Pattern generator
US7307775B2 (en) 2000-12-07 2007-12-11 Texas Instruments Incorporated Methods for depositing, releasing and packaging micro-electromechanical devices on wafer substrates
US6746886B2 (en) 2001-03-19 2004-06-08 Texas Instruments Incorporated MEMS device with controlled gas space chemistry
US6778315B2 (en) 2002-09-25 2004-08-17 Rosemount Aerospace Inc. Micro mirror structure with flat reflective coating
US6891655B2 (en) 2003-01-02 2005-05-10 Micronic Laser Systems Ab High energy, low energy density, radiation-resistant optics used with micro-electromechanical devices
US20040190281A1 (en) 2003-03-24 2004-09-30 Memphis Eye & Cataract Associates Ambulatory Surgery Center (Dba Meca Laser And Surgery Center Digital micromirror device having a window transparent to ultraviolet (UV) light
WO2006000445A1 (en) 2004-06-25 2006-01-05 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. High-reflective coated micromechanical mirror, method for producing the same and its use
US20060245036A1 (en) * 2005-04-20 2006-11-02 Texas Instruments Incorporated Isolation layer for semiconductor devices and method for forming the same
US20090161233A1 (en) * 2007-12-19 2009-06-25 Kuniyoshi Kobayashi Lens position detecting device and lens position detecting method

Similar Documents

Publication Publication Date Title
DE102008042212A1 (en) Reflective optical element and method for its production
DE102011075579A1 (en) Mirror and projection exposure apparatus for microlithography with such a mirror
DE10155112A1 (en) Reflection mask for EUV lithography and manufacturing process therefor
DE102011084117A1 (en) Reflective optical element for the EUV wavelength range, method for generating and correcting such an element, projection objective for microlithography with such an element and projection exposure apparatus for microlithography with such a projection objective
DE102009054986B4 (en) Reflective mask for EUV lithography
DE102017205629A1 (en) Method and apparatus for repairing defects of a photolithographic mask for the EUV range
WO2015043832A1 (en) Mirror, more particularly for a microlithographic projection exposure apparatus
DE102018220629A1 (en) Mirror for an illumination optics of a projection exposure system with a spectral filter in the form of a grating structure and method for producing a spectral filter in the form of a grating structure on a mirror
DE102005027697A1 (en) Extreme ultraviolet mask e.g. absorber mask having elevated sections and trenches, includes substrate with low coefficient of thermal expansion, multilayer and capping layer, where elevated sections are formed on continuous conductive layer
DE10223113A1 (en) Photolithographic mask with structure and absorber, for structurization of photosensitive material, e.g. on wafer, has chemically- and mechanically-stable protective coating, preferably applied by atomic layer chemical vapor deposition
DE102009033511A1 (en) Micro mirror arrangement for forming pupil in lighting system utilized for microlithography, has anti-reflex coating with absorbing layer made of non-metallic material whose absorption coefficient and wavelength are set as specific value
DE102011005940A1 (en) Extreme ultraviolet mirror arrangement for optical system for extreme ultraviolet microlithography, comprises multiple mirror elements that are arranged side by side, such that mirror elements form mirror surface
WO2019029990A1 (en) Mirror, in particular for a microlithographic projection exposure system
DE102019219177A1 (en) Optical element with a protective coating, process for its production and optical arrangement
EP1215512A2 (en) Anti-reflection coating for ultraviolet light at large angles of incidence
EP3030936B1 (en) Mirror for a microlithographic projection exposure apparatus
WO2018104250A1 (en) Intensity adaptation filter for euv microlithography, method for producing same, and illumination system having a corresponding filter
DE112004002199B4 (en) A method of making an extreme ultraviolet radiation reflective mask using atomic force microscope lithography
EP2463693A2 (en) Micro-mirror assembly with coating and method for its production
DE102012204833A1 (en) Mirror i.e. facet mirror, for use in lighting system for projection exposure system, has layer partially formed from graphene and arranged as finishing layer on layer arrangement, and substrate made of material e.g. glass and ceramic
DE102009038000A1 (en) Micro-mirror arrangement used for micro lithography, comprises micro-mirror having reflective surface applied on mirror substrate and anti-reflective coating applied on mirror substrate outside of reflective surface
DE102009061024A1 (en) Micro-mirror arrangement used for micro lithography, comprises micro-mirror having reflective surface applied on mirror substrate and anti-reflective coating applied on mirror substrate outside of reflective surface
DE102013203364A1 (en) Reflective coating with optimized thickness
EP3411735A1 (en) Method for producing a reflective optical element and reflective optical element
DE102012222466A1 (en) Reflective optical element for EUV lithography

Legal Events

Date Code Title Description
AC Divided out of

Ref document number: 102009038000

Country of ref document: DE

Kind code of ref document: P

OP8 Request for examination as to paragraph 44 patent law
R120 Application withdrawn or ip right abandoned
R120 Application withdrawn or ip right abandoned

Effective date: 20130720