CN1484288A - Method for making semiconductor device with ultra-shallow and ultra-gradient reverse surface channel by boron fluoride compound doping - Google Patents

Method for making semiconductor device with ultra-shallow and ultra-gradient reverse surface channel by boron fluoride compound doping Download PDF

Info

Publication number
CN1484288A
CN1484288A CNA031423477A CN03142347A CN1484288A CN 1484288 A CN1484288 A CN 1484288A CN A031423477 A CNA031423477 A CN A031423477A CN 03142347 A CN03142347 A CN 03142347A CN 1484288 A CN1484288 A CN 1484288A
Authority
CN
China
Prior art keywords
layer
channel doping
doping layer
channel
ion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA031423477A
Other languages
Chinese (zh)
Other versions
CN1243372C (en
Inventor
孙容宣
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN1484288A publication Critical patent/CN1484288A/en
Application granted granted Critical
Publication of CN1243372C publication Critical patent/CN1243372C/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

This invention relates to a method for fabricating a semiconductor device with the epi-channel structure, which is adapted to overcome an available energy limitation and to improve the productivity by providing the method of SSR epi Channel doping by boron-fluoride compound ion implantation without using ultra low energy ion implantation and a method for fabricating the semiconductive device with epi-channel structure adapted to prevent the crystal defects caused by the epitaxial growth on ion bombarded and fluorinated channel doping layer. The method for forming the epi-channel of a semiconductor device includes the steps of: forming a channel doping layer below a surface of a semiconductive substrate by implanting boron-fluoride compound ions containing boron; performing an annealing process to remove fluorine ions, injected during above ion implantation, within the channel doping layer; performing the surface treatment process to remove the native oxide layer formed on the surface of the channel doping layer and simultaneously to remove remaining fluorine ions within the channel doping layer; and growing epitaxial layer on the channel doping layer using the selective epitaxial growth method.

Description

By the compound doped method of making semiconductor device of boron fluoride with super shallow super steep reflecting surface raceway groove
Technical field
The present invention relates to a kind of method of making semiconductor device, more particularly, the present invention relates to have the gate signal width (gate length) less than the manufacture method of the semiconductor device of the super shallow super steep reflecting surface raceway groove (super-steep-retrograde epi-channel) of 100nm.
Background technology
In general, in transistor such as mos field effect transistor (MOSFET) or metal insulatioin semiconductor field effect transistor (MISFET), the effect that is configured in the surf zone of the semiconductive substrate below grid (gate electrode) and the gate oxide is owing to be applied to the electric field of source electrode (source) and/or drain electrode (drain), under the state that is applied to above the voltage that triggers on the grid, make current flowing.Therefore, this surf zone is called as raceway groove (channel).
Above-mentioned transistorized performance also depends on the concentration of dopant of raceway groove, and accurately doped channel is extremely important, because concentration of dopant has determined multiple performance such as transistorized threshold voltage (V T), drain current (I d) etc.
As the doping method of raceway groove, use the channel ion implantation (channel ion implantation) (or critical voltage is adjusted the ion implantation) of ionic-implantation (ion implantation method) to be used widely.The smooth raceway groove (flat channel) that uses the formed channel structure of above-mentioned ionic-implantation to comprise in raceway groove, to have constant density in its degree of depth, form the buried channel (buried channel) of raceway groove at desired depth place and have low surface concentration and reverse raceway groove (retrograde channel) that its concentration in raceway groove increases fast along depth direction etc. away from the surface.
In above-mentioned raceway groove, oppositely raceway groove is widely used in high-performance microprocessor, and its channel length is 0.2 μ m or littler.Reverse raceway groove is implanted the heavy ion of In (indium), As (arsenic), Sb (antimony) etc. and is formed.Has high surface migration because of the low surface dopant concentration of reverse raceway groove, so it has been applied in the high performance device with high drive current characteristic.
Yet along with channel length reduces, required channel depth must be more shallow.And when being used to form its channel depth and being 50nm or littler reverse raceway groove, ion embedding technology is restricted.
In order to meet these demands, proposed a kind of surface channel (epi-channel) structure, wherein go up and form epitaxial loayer (epitaxial layer) in channel doping layer (channel doping layer).
Figure 1A is the drawing in side sectional elevation with semiconductor device of conventional surface channel structure.
With reference to Figure 1A, on Semiconductor substrate 11, form gate dielectric 12 and grid 13, comprise that the surface channel of epitaxial loayer 14 and channel doping layer 15 is formed on the Semiconductor substrate 11, substrate 11 is configured in below the gate dielectric 12.On two sides of surface channel, also form source/drain extension area (source/drain extension) (back is called SDE) 16 and the source/drain regions (source/drain area) 17 of high concentration (high-concentration).
Yet, owing to form the technology and the subsequent thermal technology of epitaxial loayer, be difficult to the diffusion of loss of controlled doping thing and channel doping layer 15, be problematic so enforcement has the needed ON/OFF of improvement of high performance device (ON/OFF) current characteristics of surface channel structure.
In order to address this problem, a kind of method has been proposed, carry out the surface channel that triangle (delta) mixes to form, shown in Figure 1B by the doped epitaxial layer of stepped doping and two epitaxial loayers that doped epitaxial layer was not constituted.
Figure 1B is illustrated in before the surface channel that forms delta doped, and doping curve (doping profile) is with the variation diagram of transient state enhanced diffusion (transient enhanced diffusion) (back is called TED) or heat balance (thermal budget).With reference to Figure 1B, because the stepped delta doped curve of the surface channel under gate dielectric (Gox) is because TED or heat balance and do not keep desirable delta doped curve (P1), thereby widen (P2) of doping curve taken place.
Thereby, under the situation of using the surface channel that forms delta doped by two epitaxial loayers that doped epitaxial layer and unadulterated epitaxial loayer constituted, because can not deposit 1 * 10 19Atom/cm 3(atoms/cm 3) or low concentration epitaxial layer still less, owing to TED or heat balance make the diffusion (D) of alloy excessive, make when the enforcement channel depth is the surface channel of 30nm or littler delta doped, to be restricted.
In order to improve these problems, a kind of method is proposed, wherein after implanting the delta doped n-channel doping layer that forms the concentration with accurate control with ultra-low calorie boron ion, instantaneous enforcement LASER HEAT annealing (laser thermal annealing) (LTA) technology prevents the diffusion (with reference to Fig. 2 A and 2B) of the n-channel doping layer of delta doped.
Fig. 2 A and 2B represent to have with ultra-low energy ion and implant and with the drawing in side sectional elevation of the manufacture method of the semiconductor device of the formed surface channel of LASER HEAT annealing (LTA) technology.
Shown in Fig. 2 A, have the shallow slot ditch isolate (shallow trench isolation) (STI) field oxide of structure (field oxide layer) 22 be formed on the Semiconductor substrate 21, and P-type dopant ions is implanted in the Semiconductor substrate 21, thereby forms P-type trap (well) 23.Subsequently, form the channel doping layer 24 of delta doped at the following boron implant ion of ultra-low calorie (1kev).
Then, directly carry out 0.36J/cm 3To 0.44J/cm 3LASER HEAT annealing (LTA) technology, and need not any amorphization in advance (preamorphization) make the surperficial amorphization of Semiconductor substrate 21.By Fig. 2 B as seen, the LASER HEAT annealing process suppresses the redistribution of boron in channel doping layer 24, and change channel doping layer 24 becomes chemically stable channel doping layer 24A.
Shown in Fig. 2 B, epitaxial loayer 25 is in optionally growth on channel doping layer 24A under 600 ℃ to 800 ℃ the temperature, thus super steep oppositely (SSB) surface channel structure of formation.
Simultaneously, can use rapid thermal annealing (RTA) technology and LASER HEAT annealing process to prevent the TED of the channel doping layer of delta doped.
Fig. 3 A and 3B are illustrated respectively on the boron doped sample that the 1KeV ion is implanted or the 5KeV ion is implanted the optionally doping curve of the formed SSR surface channel of epitaxial growth.
From Fig. 3 A and 3B as can be seen, using ultra-low energy ion to implant in the doping curve of the SSR surface channel that forms, because ion implantation energy becomes lower, so the distribution of delta doped becomes narrower.Because the delta doped of this narrow distribution shown in Fig. 3 A can significantly reduce the junction capacitance (junotion capacitance) of device and the leakage current of node (junction), so be the basic fundamental of making low power consumption and high efficiency semiconductor device.
Yet the shortcoming that ultra-low energy ion is implanted is the available energy quantitative limitation, because be difficult to extract enough ion beam currents in this ultra-low calorie scope, and manufacturing time is longer.
Summary of the invention
Therefore, the purpose of this invention is to provide a kind of manufacture method with semiconductor device of surface channel structure, it implants and need not use the method for the doping SSR surface channel that ultra-low energy ion implants to be fit to overcome the available energy quantitative limitation by providing with the boron fluoride compound ions, and is used to improve productivity ratio.
In addition, another object of the present invention provides a kind of manufacture method with semiconductor device of surface channel structure, is applicable to prevent in ion bombardment (ion bombarded) and fluoridize the crystal defect that epitaxial growth on the channel doping layer causes.
In one aspect of the invention, provide a kind of method that forms the surface channel of semiconductor device, may further comprise the steps: a), under the surface of Semiconductor substrate, formed channel doping layer by implanting the boron fluoride compound ions of boracic; B) carry out annealing process, the fluorine ion that removes in channel doping layer to be injected; C) carry out surface treatment, removing formed local oxide layer (native oxide layer) on the channel doping laminar surface, and remove simultaneously in the channel doping layer residual fluorine ion; And d) use selective epitaxial growth method (selective epitaxial growth method) at the channel doping layer growing epitaxial layers.
In another aspect of this invention, provide a kind of manufacture method of semiconductor device, may further comprise the steps: a), under the surface of Semiconductor substrate, formed channel doping layer by implanting the boron fluoride compound ions of boracic; B) carry out first annealing process, remove the fluorine ion that during above-mentioned channel doping is implanted, is infused in the channel doping layer; C) carry out process of surface treatment, remove the local oxide layer that forms on the surface of channel doping layer, and remove simultaneously on the channel doping layer residual fluorine ion; D) at the channel doping layer growing epitaxial layers; E) on epitaxial loayer, form gate dielectric and gate electrode successively; F) be formed on the source/drain extension area of the edge configuration of gate electrode, wherein the source/drain extension area is more shallow than channel doping layer; G) form the dividing plate (spacer) that contacts the gate electrode both sides; H) formation is configured in the source/drain regions of the edge of gate electrode dividing plate, and wherein source/drain regions is than channel doping layer depth; And i) carries out second annealing process, under the temperature that suppresses the channel doping layer diffusion, be used to activate the alloy that is comprised in source/drain extension area and the source/drain regions.
Description of drawings
With reference to the explanation of accompanying drawing to embodiment, other purpose of the present invention and aspect will become more apparent according to the summer literary composition, wherein:
Figure 1A is the drawing in side sectional elevation with semiconductor device of conventional surface channel;
Figure 1B is that the interior doping curve of surface channel is with TED or thermally equilibrated variation diagram;
Fig. 2 A and 2B are the figure of the method for semiconductor device with surface channel of (LTA) technology manufacturing of describing that ion with ultra-low calorie injects and LASER HEAT is annealed;
Fig. 3 A is the coordinate figure that is illustrated in the doping curve of the SSR surface channel that forms by use selective epitaxial growth method on the sample of implanting with 1keV boron ion;
Fig. 3 B is the coordinate figure that is illustrated in the doping curve of the SSR surface channel that forms by use selective epitaxial growth method on the sample of implanting with 5keV boron ion;
Fig. 4 works as B +Ion or 49BF 2 +The distribution map of ion boron concentration respectively in the implanted silicon substrate time;
Fig. 5 A to 5F illustrates the drawing in side sectional elevation of making the method for NMOSFET according to first embodiment of the invention;
Fig. 6 A to 6F illustrates the drawing in side sectional elevation of making the method for CMOSFET according to second embodiment of the invention;
Fig. 7 is the drawing in side sectional elevation according to the CMOSFET of third embodiment of the invention;
Fig. 8 is the drawing in side sectional elevation according to the CMOSFET of four embodiment of the invention;
Fig. 9 is the drawing in side sectional elevation according to the CMOSFET of fifth embodiment of the invention;
Figure 10 is the drawing in side sectional elevation according to the CMOSFET of sixth embodiment of the invention;
Figure 11 is the drawing in side sectional elevation according to the CMOSFET of seventh embodiment of the invention;
Figure 12 is wherein 49BF 2 +Ion is implanted the distribution map of the interior boron concentration of SSR surface channel of channel region.
Embodiment
Hereinafter with reference to the accompanying drawings the preferred embodiments of the invention are elaborated.
The invention provides a kind of method that the ion that is used to form channel doping layer (channel doping layer) is implanted energy that when forming the surface channel structure, increases, wherein use the molecular ion beam (molecular ion beam) that contains dopant ions.
The embodiment that hereinafter will describe is used from BF 3Extract in the gas 49BF 2 +Or 30BF +As the molecular ion beam that forms channel doping layer.
With boron (B +) ion implants and to compare, 49BF 2 +Ion is implanted has the same ion implantation depth of implanting energy at 4.5 times of ions.In addition, because can under 4.5 times of higher-energy, implant by ion, so manufacturing process can use general low energy ion implanted device to carry out, and need not any ultra-low energy ion implanted device.Further, implant under the energy in same ion, compare with the situation of boron ion, the ion implantation depth is more shallow, so the narrower delta doped of width has the characteristic that more can adjust.
Further, from BF 3Other kind ion of gas extraction is 30BF + 30BF +Ion is via using BF 3The ion beam quality analysis of gas and to select quality be 30 ions that extract. 30BF +Fluorine bond close number and be 49BF 2 +Half of ion.And, because 30BF +The fluorine implantation amount of ion is 49BF 2 +Half of ion is so can prevent that the fluorine compounds of finding because too much fluorine implants from precipitating and the generation of fluorine gas bubble (fluorine bubbles) after the subsequent thermal annealing process.
In addition, 30BF +It is identical that the ion implantation depth that ion is implanted and boron ion are implanted, and advantage is, 30BF +Ion is implanted can use than boron ion and is implanted high 2.7 times ion implantation energy.
Even the advantage that the ion of the molecular ion that extracts from fluorine compounds is implanted is to use the energy higher than boron ion, but the boron ion is implanted with fluorine ion inevitably, because 30BF +Ion is implanted and to be comprised fluorine ion, thus the fluorine-containing crystal defect that causes at follow-up outer layer growth of non-expectation, and can be owing at gate dielectric or the fluorine ion of accumulation at the interface between gate dielectric and Semiconductor substrate and civilian dress is put deterioration in characteristics.
Thereby, following embodiment is used the fluorine compounds ion, it is when forming channel doping layer, can use than macroion implantation energy and form shallow junction (shallow junction), and a kind of method is described, be used for being released in the fluorine ion that injected during the fluorine compounds ion is implanted to outside through following annealing process and process of surface treatment.
Fig. 4 represents to work as B +Ion or 49BF 2 +The boron concentration profile of Semiconductor substrate in the time of in the ion implanted silicon substrate.
In Fig. 4, trunnion axis is represented the degree of depth in the substrate, and vertical axis is represented boron concentration.Curve P3 and P4 represent B respectively +Or 49BF 2 +Situation.At this, the boron ion is implanted the acceleration energy and 1 * 10 with 5KeV 14Atom/cm 3Dosage carry out and BF 2 +Ion is implanted the acceleration energy and 1 * 10 with 5KeV 14Atom/cm 3Dosage carry out.
With reference to Fig. 4, in the boron ion was implanted, the boron ion was implanted to the substrate depths, and the peak Distribution of curve is in the position that is deeper than 10nm. 49BF 2 +Situation under, the peak Distribution of curve is at about 3nm place, and boron concentration reduces fast in darker position.
Curve P3 and P4 represent different decline curve mutually.Curve P4 has narrower boron and distributes.Comparison curves P3 and curve P4, the peak value of curve P4 is higher than P3.This means, 49BF 2 +Ion is implanted and is used less than boron (B +) the more small ion implantation amount of ion dose, can obtain identical or higher peak concentration.
The drawing in side sectional elevation of the method for NMOSFET is made in Fig. 5 A to 5F explanation according to first embodiment of the invention.
Shown in Fig. 5 A, the field oxide layer 32 that is used for the device isolation uses shallow slot ditch isolation STI technologies or silicon selective oxidation (LOCOS) technology to be formed at the predetermined portions of Semiconductor substrate 31.Then, P-type alloy is implanted to and forms dark P-type trap 33 in the Semiconductor substrate 31.Subsequently, implant P-type alloy, thereby form the P-type field stop layer (field stop layer) 34 more shallow than P-type trap 33.At this, boron (B) is used to form P-type trap 33 and P-type field stop layer as P-type alloy.
Then, as P-type alloy, such as 49BF 2 +Or 30BF +The molecular ion of fluorine compounds implanted, thereby form shallow P-type n-channel doping layer 35, its degree of depth is 10nm to 50nm apart from the surface of Semiconductor substrate 31.
At this moment, when implementing to be used to form the ion implantation of P-type n-channel doping layer 35, implant from BF 3Gas extraction 49BF 2 +Or 30BF +Molecular ion. 30BF +The implantation of molecular ion have and 49BF 2 +The similar effectiveness of molecular ion.In other words, its advantage is to use with the boron ion implants the same high ion implantation energy, makes to have the same ion implantation depth.In addition, the fluorine ion of being implanted be reduced to and 49BF 2 +The identical implantation amount of molecular ion 49BF 2 +Half of molecular ion.
Then, shown in Fig. 5 B, implement recovery annealing technology (recovery annealing process).Recovery annealing technology is replied the crystal defect (crystal defect) in the surface of Semiconductor substrate 31, and it is by being caused by ion bombardment in the ion that is used to form P-type n-channel doping layer 35 is implanted.And recovery annealing technology stably combines the alloy that is implanted in the P-type n-channel doping layer 35 with intracrystalline adjacent silicon atom, and discharges as SiF 4The fluorine of volatilization gas (F) ion is to outside.
For recovery annealing technology, implement (SRTA) technology of rapid thermal annealing (RTA) technology or peak value rapid thermal annealing (spike rapid thermal annealing) with the temperature below 1414 ℃ (fusing points of silicon), it can reply crystal defect, so that prevent to implant the alloy diffusion in the P-type n-channel doping layer 35.
At this, peak value rapid thermal annealing (SRTA) technology is represented a kind of annealing process (heating rate (rampingrate): 150 ℃/second or higher, time of delay (delay time): 1 second or littler), it is elevated to target temperature in the short time from room temperature, then without any lingeringly directly dropping to room temperature from target temperature.
Preferably, rapid thermal annealing (RTA) technology is implemented under 600 ℃ to 1050 ℃ temperature, and peak value rapid thermal annealing (SRTA) technology is implemented under 600 ℃ to 1100 ℃ temperature,
As a result, by recovery annealing technology, P-type n-channel doping layer 35 in conjunction with the alloy implanted and the silicon ion of Semiconductor substrate 31, and is improved the layer that becomes not have crystal defect by stably.In other words, fluorine (F) ion is released out during annealing process, and boron (B) is ion stabilizedly in conjunction with silicon (Si) ion.
As mentioned above, by recovery annealing technology, 35 activation of P-type n-channel doping layer are chemically stable very shallow P-type n-channel doping layer 35A.
Shown in Fig. 5 C, in nitrogen atmosphere, carry out surface treatment, so that remove local oxide layer (the native oxide layer) (not shown) that on shallow P-type n-channel doping layer 35A, is forming after the recovery annealing technology.Simultaneously, if in nitrogen atmosphere, carry out surface treatment, hydrogen (H 2) and local oxide layer (SiO 2) reaction and as H 2The O volatilization, thus remove the local oxide layer.And, it is desirable to, the surface-treated temperature is the temperature (as 600 ℃ to 950 ℃) that can prevent the alloy diffusion of existence in P-type n-channel doping layer 35.
In the above-mentioned surface treatment of carrying out under nitrogen atmosphere, fluorine (F) ion of staying after recovery annealing technology in the P-type n-channel doping layer 35A discharges as the HF form in addition.Simultaneously, exist 30BF +Molecular ion is implanted under the situation in the P-type n-channel doping layer 35, the fluorine ion of implantation be reduced to and 49BF 2 +Molecular ion has identical implantation amount 49BF 2 +Half of molecular ion, feasible easier removal fluorine ion.
As a result, when forming channel doping layer, implant with bigger relatively implantation amount and to have less fluorine content 30BF +Molecular ion, it is very effective to remove fluorine ion.
Shown in Fig. 5 D, epitaxial loayer 36 preferably on P-type n-channel doping layer 35, uses selective epitaxial growth (SEG) to grow to thickness and is 5nm to 30nm on the Semiconductor substrate 31 that does not have the local oxide layer.
As mentioned above, because P-type n-channel doping layer 35 activates into chemically stable very shallow P-type n-channel doping layer 35A via recovery annealing technology, even, also form the SSR surface channel structure that makes dopant loss and redistribute the minimized SSR of having delta doped curve so under nitrogen atmosphere, carry out the growing period of surface treatment and epitaxial loayer 36.
Shown in Fig. 5 E, gate dielectric layer 37 is formed on the SRR surface channel structure with 650 ℃ to 750 ℃ temperature, as is distributed on the epitaxial loayer 36 of bottom of P-type n-channel doping layer 35A.Simultaneously, the temperature range that is used to form gate dielectric layer 37 is very low, so that prevent the redistribution and the diffusion of the alloy that exists in P-type n-channel doping layer 35A.
Therefore, the stack layer (stack layer) of low temperature oxide (LTO) layer that forms at low temperature, silicon oxynitride (silicon oxynitride) layer, high dielectric substance layer or oxide skin(coating)/high dielectric substance layer is as gate dielectric layer 37.Owing to form the low thermal process of gate dielectric layer 37 at low temperatures, so can keep SSR doping curve by the redistribution and the diffusion of the alloy that prevents from P-type n-channel doping layer 35A, to exist.
For example, low temperature oxide layer (that is silicon thermal oxidation thing layer) forms under 650 ℃ to 750 ℃ temperature.Under 650 ℃ to 750 ℃ temperature, form silicon thermal oxidation thing layer (silicon thermal oxide layer) afterwards, by on silicon thermal oxidation thing layer, carrying out the nitride plasma or ammonia plasma treatment forms silicon oxynitride layer.High dielectric substance layer forms by following technology: carry out depositing operation under 300 ℃ to 650 ℃ temperature, under 400 ℃ to 700 ℃ temperature, carry out furnace annealing technology (furnaceannealing process) then, or under 300 ℃ to 650 ℃ temperature, carry out depositing operation, under 600 ℃ to 800 ℃ temperature, carry out rapid thermal anneal process then.Under the situation of using high dielectric substance layer, the maximum temperature when carrying out annealing process is restricted to 300 ℃ to 700 ℃, so that improve the layer quality (layer quality) of dielectric substance layer.
Then, the conductive layer deposition that is used for gate dielectric layer is in gate dielectric layer 37, and the conductive layer that patterning deposited, thereby forms gate electrode 38.At this, the conductive layer that is used to form gate electrode 38 can be polysilicon layer (polysilicon layer), the stack layer of polysilicon layer/metal level or the stack layer of polysilicon layer/silicide layer.
Then, utilize other photoresist mask (photoresist mask) (not shown) and gate electrode 38 to implant mask,, thereby form N-type source/drain extension area 39 with the N-type alloy of low-yield implantation implantation amount greatly as ion.Simultaneously, the N-type alloy that is used to form N-type source/drain extension area 39 is phosphorus (P) or arsenic (As).
Subsequently, be used to contain the insulating barrier of whole lip-deep dividing plate of gate electrode 38 in deposition after, the insulating barrier that is used for dividing plate by deep etch (etch back) to form the dividing plate 40 that contacts with the sidewall of gate electrode 38.At this, dividing plate uses nitride layer or oxide skin(coating).
Then, use other photoresist mask, gate electrode 38 and dividing plate 40 to implant mask as ion, the N-type alloy of implanting big implantation amount is to form N-type source/drain regions 41, and it is electrically connected to N-type source/drain extension area 39.Simultaneously, N-type source/drain regions 41 has the darker ion implantation depth of comparison N-type source/drain extension area 39.
Shown in Fig. 5 F, carry out activation annealing technology (activation annealing process) in N-type source/drain regions 41 and N-type source/drain extension area 39 so that the alloy that the electricity activation exists.Simultaneously, under predetermined temperature, carry out activation annealing technology, suppress the junction depth of P-type n-channel doping layer 35A diffusion and N-type source/drain regions 41 and N-type source/drain extension area 39 simultaneously and deepen.
Preferably, activation annealing technology is selected from: 600 ℃ to 1000 ℃ rapid thermal annealing (RTA) technology, 300 ℃ to 750 ℃ furnace annealing technology, 600 ℃ to 1100 ℃ peak value rapid thermal annealing (SRTA) technology and combination thereof.
Simultaneously, undertaken by low temperature process, then can keep wherein suppressing the SSR surface channel structure of alloy diffusion with low heat balance if form the technology of gate electrode 38 and N-type source/drain regions 41.
In the above-described embodiment, P-type n-channel doping layer 35A also as prevent short-channel effect only wear the layer (a punch stop layer).In addition, by forming the maximum doping depth of the P-type n-channel doping layer 35A more shallow, and reduce the junction capacitance (junctioncapacitance) and the junction leakage (junction leakage current) of NP knot than N-type source/drain regions 41.
Fig. 6 A to 6F is a drawing in side sectional elevation of making the method for CMOSFET according to second embodiment of the invention.
As shown in Figure 6A, use shallow trench isolation on the predetermined portions of Semiconductor substrate 51, to be formed for the field oxide layer 52 of device isolation from (STI) technology or silicon selective oxidation (LOCOS) technology.Then, use exposure and developing process is coated on photoresist on the Semiconductor substrate 51 and with its patterning, thereby form first mask 53, the zone (hereinafter being called " PMOS " zone) that is used to expose and will form PMOSFET.
Then, in the implanted Semiconductor substrate 51 of N-type alloy such as phosphorus (P), thereby form dark N-type trap 54 by mask 53 exposures.Implant N-type alloy successively and form the N-type field stop layer 55 more shallow than N-type trap 54.
Then, implant the energy implantation N-type alloy of energy to be lower than the ion that forms N-type field stop layer 55, and form shallow N-type p-channel doping layer 56, its degree of depth is 10nm to 50nm from the surface of Semiconductor substrate 51.Simultaneously, use phosphorus (P) or arsenic (As) as N-type alloy.
Shown in Fig. 6 B, after removing first mask 53, re-use exposure and developing process is coated on photoresist on the Semiconductor substrate 51 and with its patterning, thereby form second mask 57, the zone (hereinafter being called " NMOS " district) that is used to expose and will form NMOSFET.
Then, P-type alloy is implanted in the Semiconductor substrate 51 of exposing by second mask 57, thereby forms dark P-type trap 58.Implant P-type alloy successively to form the P-type field stop layer 59 more shallow than P-type trap 54.At this moment, boron (B) is used as P-type alloy.
Then, implant fluorine compounds such as 49BF 2 +Or 30BF +Molecular ion, thereby form shallow P-type n-channel doping layer 60, its degree of depth is 10nm to 50nm apart from Semiconductor substrate 51 surfaces.
Shown in Fig. 6 C, after removing second mask 57, carry out recovery annealing technology.Recovery annealing technology is replied the crystal defect in Semiconductor substrate 51 surfaces, and the ion bombardment during this defective is implanted by the ion that is used to form N-type p-channel doping layer 56 and P-type n-channel doping layer 60 is caused.And, recovery annealing technology stably combines the alloy that is implanted in N-type p-channel doping layer 56 and the P-type n-channel doping layer 60 with intracrystalline adjacent silicon atom, and fluorine (F) ion that will be implanted in the P-type n-channel doping layer 60 is discharged into the outside.
For recovery annealing technology, under the temperature that is lower than 1414 ℃ (fusing points of silicon), carry out rapid thermal annealing (RTA) technology or peak value rapid thermal annealing (SRTA) technology, it can reply crystal defect, so that avoid being implanted to the alloy diffusion in N-type p-channel doping layer 56 and the P-type n-channel doping layer 60.Preferably, rapid thermal annealing (RTA) technology is carried out under 600 ℃ to 1050 ℃ temperature, and peak value rapid thermal annealing (SRTA) technology is carried out under 600 ℃ to 1100 ℃ temperature.
As mentioned above, by recovery annealing technology, N-type p-channel doping layer 56 and P-type n-channel doping layer 60 are improved the layer that becomes not have defective by the silicon ion in conjunction with the alloy implanted and Semiconductor substrate stably.Especially, in P-type n-channel doping layer 60, during annealing process, discharge fluorine (F) ion, and boron (B) ion combines ion stabilizedly with silicon (Si).
As a result, after recovery annealing technology, N-type p-channel doping layer 56 and 35 activation of P-type n-channel doping layer are very shallow N-type p-channel doping layer 56A and very shallow P-type n-channel doping layer, and their chemical property is stable.
Shown in Fig. 6 D, after recovery annealing technology, in nitrogen atmosphere, carry out surface treatment, so that remove formed local oxide layer (not shown) on N-type p-channel doping layer 56A that does not have crystal defect during the recovery annealing technology and P-type n-channel doping layer 60A.Simultaneously, if in nitrogen atmosphere, carry out surface treatment, hydrogen (H 2) and local oxide layer (SiO 2) reaction is with as H 2The O volatilization makes the local oxide layer be removed.In addition, even after recovery annealing technology, fluorine (F) ion of staying in the P-type n-channel doping layer 60A is released out in addition.
Shown in Fig. 6 E, use selective epitaxial growth (SEG) on N-type p-channel doping layer 56A that does not have the local oxide layer and P-type n-channel doping layer 60A, epitaxial loayer 61 and 62 side by side to be grown to the thickness of 5nm to 30nm.
As indicated above, because N-type p-channel doping layer 56 and P-type n-channel doping layer 60 become stable very shallow N-type p-channel doping layer 56A of chemical property and very shallow P-type n-channel doping layer 60A by the recovery annealing activation processes, even so growing period of the surface treatment under nitrogen atmosphere and epitaxial loayer 61 and 62, formation has the SSR surface channel structure that the SSR delta doped distributes, and wherein dopant loss and the redistribution in nmos area and PMOS district minimizes.
Shown in Fig. 6 F, gate dielectric layer 63 is formed at SSR surface channel structure under 650 ℃ to 750 ℃ temperature, on N-type p-channel doping layer 56A and P-type n-channel doping layer 60A.At this moment, the temperature range that is used to form gate dielectric layer 63 is lower, thereby is suppressed at the diffusion of existing alloy in the P-type n-channel doping layer 60A.
Therefore, the stack layer of low temperature oxide (LTO) layer, silicon oxynitride layer, high dielectric substance layer or oxide skin(coating)/high dielectric substance layer is as gate dielectric layer 63.Because in the low thermal process of low temperature formation gate dielectric layer 63, can keep the SSR dopant profiles by redistribution and the diffusion that prevents existing alloy in N-type p-channel doping layer 56A and the P-type n-channel doping layer 60A.
For example, under 650 ℃ to 750 ℃ temperature, form silicon thermal oxidation thing layer.After forming silicon thermal oxidation thing layer, by on silicon thermal oxidation thing layer, carrying out the nitride plasma or ammonia plasma treatment forms silicon oxynitride layer with 650 ℃ to 750 ℃ temperature.High dielectric substance layer forms by following technology: carry out depositing operation under 300 ℃ to 650 ℃ temperature, carry out furnace annealing technology under 400 ℃ to 700 ℃ the temperature then, or under 300 ℃ to 650 ℃ temperature, carry out depositing operation, carry out rapid thermal anneal process 600 ℃ to 800 ℃ temperature then.Under the situation of using high dielectric substance layer, maximum temperature is limited in 300 ℃ to 700 ℃ when carrying out annealing process, so that improve the layer quality of dielectric substance layer.
Then, the conductive layer deposition that is used for gate dielectric layer is in gate dielectric layer 63, and the conductive layer that patterning deposited, thereby forms gate electrode 64.Then, to PMOS district and nmos area, use other photoresist mask (not shown) and gate dielectric layer 64 to implant mask, implant in the PMOS district, thereby form P-type source/drain extension area 65 with low-yield P-type alloy with implantation amount greatly as ion separately.Be implanted in the nmos area with low-yield N-type alloy, thereby form N-type source/drain extension area 66 implantation amount greatly.
At this, the conductive layer that forms gate electrode 64 can be the stack layer of polysilicon layer, polysilicon layer/metal level or the stack layer of polysilicon layer/silicide layer.In addition, the N-type alloy that is used to form N-type source/drain extension area 66 is phosphorus (P) or arsenic (As), and the P-type alloy that is used to form P-type source/drain extension area 65 is boron (B), BF 2Or the boron compound ion of boracic.
Sequentially, after containing on the whole surface of gate electrode 64 deposition and being used for the insulating barrier of dividing plate, the insulating barrier that is used for dividing plate by deep etch to form the dividing plate 67 that contacts with gate electrode 64 sidewalls.Herein, dividing plate uses the combination of nitride layer, oxide skin(coating) or nitride layer and oxide skin(coating).
Then, use other photoresist mask, gate electrode 64 and dividing plate 67 to implant mask as ion, the P-type alloy (boron or boron compound) of implantation amount greatly is implanted in the PMOS district, forms P-type source/drain regions 68, it is electrically connected on the P-type source/drain extension area 65.
In addition, use other photoresist mask, gate electrode 64 and dividing plate 67 to implant mask as ion, it is interior to form N-type source/drain regions 69 that the N-type alloy (phosphorus or arsenic) of implantation amount is greatly implanted nmos area, and it is electrically connected on the P-type source/drain extension area 66.
At this moment, N-type source/drain regions 69 and P-type source/drain regions 68 have the ion implantation depth darker than N-type source/drain extension area 66 and P-type source/drain extension area 65 respectively.
Then, carry out activation annealing technology, so that the electricity activation is implanted to the alloy in N-type source/drain regions 69, N-type source/drain extension area 66, P-type source/drain regions 68 and the P-type source/drain extension area 65.
Simultaneously, under predetermined temperature, carry out activation annealing technology, suppress P-type source/ drain regions 68 and 65 intensifications of P-type source/drain extension area simultaneously.
Reason is, P-type source/drain regions 68 and P-type source/drain extension area 65 have than N-type source/drain regions 69 and the 66 more serious diffusions of N-type source/drain extension area and change.
Preferably, activation annealing technology is selected from: 600 ℃ to 1000 ℃ rapid thermal annealing (RTA) technology, 300 ℃ to 750 ℃ furnace annealing technology, 600 ℃ to 1100 ℃ peak value rapid thermal annealing (SRTA) technology and combination thereof.
Simultaneously, carry out the SSR surface channel structure that then can keep wherein alloy diffusion to be suppressed by low temperature process with low heat balance if form the technology of gate electrode 64, P-type source/drain extension area 65, N-type source/drain extension area 66, P-type source/drain regions 68 and N-type source/drain regions 69.
In above-mentioned second embodiment, N-type p-channel doping layer 56A and P-type n-channel doping layer 60A also only wear layer as what prevent short-channel effect.In addition, by forming, reduce the junction capacitance and the junction leakage current of coming PN junction and NP knot than shallow N-type p-channel doping layer 56B of P-type source/drain regions 68 and N-type source/drain regions 69 and the maximum separately doping depth of P-type n-channel doping layer 60A.
Fig. 7 is the drawing in side sectional elevation according to the CMOSFET of third embodiment of the invention.The CMOSFET of Fig. 7 except a N-type only wear the layer the 70, the 2nd a N-type only wear the layer the 72, the one a P-type only wear the layer the 71 and the 2nd a P-type only wear the layer 73, have the structure identical with second embodiment.Hereinafter, in Fig. 7, use with Fig. 6 F in identical label, and the detailed description of its same section omission.
According to the above-mentioned second embodiment same way as, in the PMOS district, form the surface channel structure.Surface channel comprises by implanting phosphorus or the formed N-type of arsenic ion only to be worn layer 70 and only wears the epitaxial loayer 61 of growth on the layer 70 in a N-type.Simultaneously, on nmos area, form surface channel.Surface channel comprises by implanting the P-type that the fluorine compounds ion forms only to be worn layer 71 and only wears the epitaxial loayer 62 of growth on the layer 71 in a P-type.
Then, the 2nd N-type is only worn layer the 72 and the 2nd a P-type and is only worn layer and 73 be respectively formed on the lower part of P-type source/drain extension area 65 and N-type source/drain extension area 66.At this moment, only wear layer 70 equal N-type alloys (phosphorus or arsenic) formation the 2nd a N-type by an implantation and a N-type and only wear layer 72.Simultaneously, be different from a P-type that forms by boron implant-fluorine compounds and only wear layer 71, form the 2nd P-type by boron implant or boron compound and only wear layer 73.
At this, only wear layer the 72 and the 2nd a P-type and only wear layer 73 in order on the lower part of P-type source/drain extension area 65 and N-type source/drain extension area 66, to form the 2nd N-type respectively, before forming P-type source/drain regions 68 and N-type source/drain regions 69, carry out ion implantation technology.
The one P-type is only worn layer the 71 and the one N-type and is only worn layer 70 as preventing the channel doping layer of short-channel effect and only wearing layer.
As a result, the CMOSFET according to the 3rd embodiment of the present invention has two layer structure of only wearing.Competitive list is only worn a layer structure, twoly only wears break-through (punch-through) characteristic that layer structure has improvement.
Fig. 8 is the drawing in side sectional elevation according to the CMOSFET of the 4th embodiment of the present invention.The CMOSFET of Fig. 8 has the structure identical with the 3rd embodiment except the source/drain regions that raises.Hereinafter, use the label identical among Fig. 8 with Fig. 6 F, and the detailed description of omitting relevant same section.
With reference to Fig. 8, according to the mode identical with the 3rd embodiment, have a N-type that is included in the PMOS district according to the CMOSFET of the 4th embodiment and only wear floor 70 and the 2nd N-type and only wear the two of floor 72 and only wear floor structure, and a P-type that is included on the nmos area is only worn two layer structure of only wearing that layer the 71 and the 2nd P-type only worn layer 73.In addition, epitaxial loayer growth on P-type source/drain regions 68 and N-type source/drain regions 69 respectively, thus form the source/ drain regions 74 and 75 that raises.
In the 4th embodiment of Fig. 8, improve the break-through characteristic by two layers of only wearing of implanting via the ion of boron fluorine compounds are provided, and prevent the increase of the junction resistance of source/drain by the source that rising is provided.
Fig. 9 is the drawing in side sectional elevation according to the CMOSFET of the 5th embodiment of the present invention.
With reference to Fig. 9, N-type trap 83 and P-type trap 84 form in the Semiconductor substrate 81 with field oxide layer 82 defined PMOS districts and nmos area respectively.N-type field stop layer 85 forms on the part more shallow than N-type trap 83, and P-type field stop layer 86 forms on the part more shallow than P-type trap 84.
Gate dielectric layer 87, polysilicon layer 88, metal level 89 and hard mask 90 sequentially are formed on the PMOS and nmos area in Semiconductor substrate 81 districts, thereby form stacked gate architectures.Then, on the two side of the polysilicon layer 88 that constitutes grid structure, form side wall layer 88 respectively.On the two side of grid structure, form dividing plate 92.
The gate dielectric layer of surface channel in the PMOS district with N-type p-channel doping layer 93 and epitaxial loayer 94 forms for 87 times, and has the gate dielectric layer 87 time formation of the surface channel of P-type n-channel doping layer 93 and epitaxial loayer 96 at nmos area.
P-type source/drain extension area 97 forms on the both sides of the surface channel in PMOS district, and the P-type source/drain regions 98 that contacts with P-type source/drain extension area 97 is forming than P-type source/drain extension area 97 darker junction depth places.N-type source/drain extension area 99 forms on the both sides of the surface channel of nmos area, and the P-type source/drain regions 100 that contacts with N-type source/drain extension area 99 is forming than N-type source/drain extension area 99 darker junction depth places.
In Fig. 9, the metal level 89 that forms on polysilicon layer 88 is applicable to the resistance (resistivity) and the high speed operation of gate electrode, and uses tungsten and tungsten silicide usually.In addition, diffusion barrier (diffusion barrier layer) can be inserted between polysilicon layer 88 and the metal level 89.
The sidewall oxide layer 91 that forms on the two side of polysilicon layer 88 utilizes gate re-ox technology to come oxidation polysilicon layer 88 and form, and is used to reply the gate dielectric layer 87 that is damaged during the etch process of grid structure being used to form.As everyone knows, carry out gate re-ox technology so that improve reliability, described improvement is to be undertaken by the thickness that the microflute ditch (microtrench) of replying the gate dielectric layer 87 that causes when the etch-gate electrode and loss, oxidation are stayed gate dielectric layer 87 lip-deep etch residue materials (etching remaining material) and be increased in the gate dielectric layer 87 of gate electrode edge formation.
Carry out gate re-ox technology, so that prevent to be implanted to the alloy in the P-type n-channel doping layer 95 because excessively thermal process (excessive thermal process) spreads the SSR doping curve destruction of causing.Simultaneously, if utilize rapid thermal oxidation (RTO) to carry out thermal oxidation technology such as reoxidizing technology, its maximum temperature is limited in 750 ℃ to 950 ℃.Simultaneously, if utilize furnace annealing technology to carry out thermal oxidation technology, then its maximum temperature is limited in 650 ℃ to 800 ℃.
As mentioned above, if utilize the low temperature process with low heat balance to carry out gate re-ox technology, then can keeping wherein, alloy spreads downtrod SSR surface channel structure.
In the 5th embodiment of Fig. 9, N-type p-channel doping layer 93 and P-type n-channel doping layer 95 are also only worn layer as what prevent short-channel effect.In addition, by forming than the more shallow N-type p-channel doping layer 93 of P-type source/drain regions 98 and N-type source/drain regions 100 and each maximum doping depth of P-type n-channel doping layer 95, the junction capacitance and the junction leakage current of PN junction and NP knot are reduced.
Figure 10 is the drawing in side sectional elevation according to the CMOSFET of the 6th embodiment of the present invention.
The CMOSFET of Figure 10 has a N-type that is included in the PMOS district and only wears floor 93 and the 2nd N-type and only wear the two of floor 101 and only wear floor structure, comprises that the P-type on the nmos area is only worn two layer structure of only wearing that layer the 95 and the 2nd P-type only worn layer 102.The CMOSFET of other structure and Fig. 9 is identical.
Figure 11 is the drawing in side sectional elevation according to the CMOSFET of seventh embodiment of the invention.
The CMOSFET of Figure 11 has a N-type that is included in the PMOS district and only wears floor 93 and the 2nd N-type and only wear the two of floor 101 and only wear floor structure, and a P-type that is included on the nmos area is only worn two layer structure of only wearing that layer the 95 and the 2nd P-type only worn layer 102.In addition, epitaxial loayer is grown in respectively on P-type source/drain regions 98 and the N-type source/drain regions 100, thereby forms the source/drain regions 103 and 104 that raises.Other structure is identical with the CMOSFET of Fig. 9 and Figure 10.
When first to the 7th embodiment is made NMOSFET and CMOSFET according to the present invention, in order to prevent that SSR doping curve is owing to the diffusion of the alloy in the channel doping layer destroys, alloy diffusion is to be caused by the excessive thermal process that forms during the subsequent technique that SSR surface channel structure carries out afterwards, so the maximum temperature in follow-up rta technique is limited in 600 ℃ to 1000 ℃.In addition, the maximum temperature in follow-up peak value rapid thermal anneal process is limited in 600 ℃ to 1100 ℃, and is limited in 300 ℃ to 750 ℃ in the maximum temperature of follow-up furnace annealing technology.
Simultaneously, though in the present invention's first to the 5th embodiment, the semiconductor device with source/drain extension area has been described, the present invention also can be applicable to have light dope (lightly doped) drain electrode (LDD) structure semiconductor device.
Figure 12 is implanted at channel region 49BF 2 +The boron concentration profile of the SSR surface channel of ion.Figure 12 is illustrated in to finish and makes the required whole thermal process of semiconductor device such as the gate oxidation after forming source/drain and the result of peak value rapid thermal anneal process.Trunnion axis is illustrated in the degree of depth in the substrate, and vertical axis is represented boron concentration.Curve P5 is with 2 * 10 13Atom/cm 3Dosage and 5KeV acceleration energy are implanted 49BF 2 +The result that ion obtained, and curve P6 is with 2 * 10 13Atom/cm 3Dosage and 10KeV acceleration energy implant 49BF 2 +The result that ion obtained.
With reference to Figure 12, implanting 49BF 2 +During ion, the peak value of concentration is positioned at about 30nm, and boron concentration reduces apace in dark position.
Curve P5 and P6 have different reduction curve mutually.Curve P5 has narrower boron diffusion, and the peak value of curve P5 is higher than the peak value of curve P6.
Because the present invention can easily implement to have the super shallow SSR channel structure of the narrow width of delta doped curve, so can realize high speed device by the junction capacitance that reduces inferior 100nm level device.
In addition, compare, use the low-energy boron ion to implant and to improve productivity, so can low-costly make high performance device with the SSR doping method.The present invention can prevent because alloy is induced (induced) and the change of the limit voltage that causes and prevent the short-channel effect of inferior 10nm grid length simultaneously at random, thereby improves the productivity ratio of device.
Compare with the Cmax of channel doping layer, the concentration of dopant of channel surface area can be reduced to 1/100 or lower, thereby has improved surface migration and drive current characteristic.
In addition, owing to carry out super shallow SSR channel structure easily, realize having the voltage devices of low critical voltage and low energy consumption easily.
Though only certain preferred embodiments has been described in detail the present invention relatively, under the situation that departs from claims spirit and scope required for protection, can make other change and modification to the present invention.

Claims (17)

1. method that forms the surface channel of semiconductor device comprises the following step:
A) by implanting the boron fluoride compound ions of boracic, under the surface of Semiconductor substrate, form channel doping layer;
B) carry out annealing process to remove the fluorine ion that during above-mentioned ion is implanted, is injected in this channel doping layer;
C) carry out process of surface treatment to remove formed local oxide layer on the channel doping laminar surface, remove institute's remaining fluorine ions in this channel doping layer simultaneously; And
D) utilize the selective epitaxial growth method, at this channel doping layer growing epitaxial layers.
2. method as claimed in claim 1, wherein the fluorine compounds ion of this boracic comprises 49BF 2 +Or 30BF +
3. method as claimed in claim 1, wherein step b) is a kind of in rapid thermal anneal process or the peak value rapid thermal anneal process.
4. method as claimed in claim 3, wherein rapid thermal anneal process carries out under 600 ℃ to 1050 ℃ temperature, and this peak value rapid thermal anneal process carries out under 600 ℃ to 1l00 ℃ temperature.
5. method as claimed in claim 1, wherein step c) is carried out in the atmosphere of hydrogen.
6. method of making semiconductor device comprises the following step:
A) by implanting the boron fluoride compound ions of boracic, under the surface of Semiconductor substrate, form channel doping layer;
B) carry out first annealing process to remove the fluorine ion that during above-mentioned ion is implanted, in this channel doping layer, injects;
C) carry out process of surface treatment to remove the local oxide layer that on the surface of channel doping layer, forms, remove institute's remaining fluorine ions in this channel doping layer simultaneously;
D) at this channel doping layer growing epitaxial layers;
E) on epitaxial loayer, sequentially form gate dielectric and gate electrode;
F) be formed on the source/drain extension area that gate electrode edge aligns, wherein this source/drain extension area is more shallow than channel doping layer;
G) dividing plate of formation and gate electrode contact both sides;
H) be formed on the source/drain regions of the separator edge place alignment of gate electrode, wherein the source/drain extension area is than channel doping layer depth; And
I) carry out second annealing process, under the temperature of restraining the channel doping layer diffusion, contained alloy in activation source/drain extension area and the source/drain regions.
7. method as claimed in claim 6 further comprises: after step f), form the step of only wearing doped layer under the source/drain extension area, its termination is worn doped layer and is contacted with channel doping layer.
8. method as claimed in claim 7 wherein forms by the boron implant ion and only wears doped layer.
9. method as claimed in claim 6, wherein step b) is a kind of in rapid thermal anneal process or the peak value rapid thermal anneal process.
10. method as claimed in claim 9, wherein rapid thermal anneal process carries out under 600 ℃ to 1050 ℃ temperature, and the peak value rapid thermal anneal process carries out under 600 ℃ to 1100 ℃ temperature.
11. method as claimed in claim 6, wherein the boron fluoride compound ions of boracic comprises 49BF 2 +With 30BF +
12. method as claimed in claim 6, wherein the second activation annealing technology is selected from 600 ℃ to 1000 ℃ rapid thermal anneal process, 300 ℃ to 750 ℃ furnace annealing technology, 600 ℃ to 1100 ℃ peak value rapid thermal anneal process and combination thereof.
13. method as claimed in claim 6 further comprises: in this step I) step of the source/drain regions that selective growth raises on source/drain regions afterwards.
14. method as claimed in claim 6, wherein step e) further comprises: after forming gate electrode, and the step that the gate dielectric of exposure is reoxidized.
15., wherein use maximum temperature to be limited in the step that quick method for oxidation in 750 ℃ to 950 ℃ the scope reoxidizes gate dielectric as the method for claim 14.
16. as the method for claim 14, the step that wherein reoxidizes gate dielectric is to be undertaken by the thermal oxidation process of using maximum temperature to be limited in 750 ℃ to the 950 ℃ stoves in the scope.
17. method as claimed in claim 6, wherein step c) is carried out under the atmosphere of hydrogen.
CNB031423477A 2002-09-17 2003-06-13 Method for making semiconductor device with ultra-shallow and ultra-gradient reverse surface channel by boron fluoride compound doping Expired - Fee Related CN1243372C (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR56435/2002 2002-09-17
KR56435/02 2002-09-17
KR10-2002-0056435A KR100464935B1 (en) 2002-09-17 2002-09-17 Method of fabricating semiconductor device with ultra-shallow super-steep-retrograde epi-channel by Boron-fluoride compound doping

Publications (2)

Publication Number Publication Date
CN1484288A true CN1484288A (en) 2004-03-24
CN1243372C CN1243372C (en) 2006-02-22

Family

ID=36096132

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB031423477A Expired - Fee Related CN1243372C (en) 2002-09-17 2003-06-13 Method for making semiconductor device with ultra-shallow and ultra-gradient reverse surface channel by boron fluoride compound doping

Country Status (4)

Country Link
US (1) US6730568B2 (en)
KR (1) KR100464935B1 (en)
CN (1) CN1243372C (en)
TW (1) TWI254364B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101752313B (en) * 2008-12-04 2012-10-03 上海华虹Nec电子有限公司 Surface P-channel metal oxide semiconductor (PMOS) device with self-aligned contact hole and method for producing same
CN103187286A (en) * 2011-12-29 2013-07-03 中芯国际集成电路制造(上海)有限公司 Manufacturing method of fin type field effect transistor
US9142387B2 (en) 2009-10-27 2015-09-22 Entegris, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US9455147B2 (en) 2005-08-30 2016-09-27 Entegris, Inc. Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
CN109585301A (en) * 2014-10-22 2019-04-05 意法半导体公司 For including the technique with the production of integrated circuits of liner silicide of low contact resistance

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040115889A1 (en) * 2002-12-17 2004-06-17 Amitabh Jain Ultra shallow junction formation
KR100521383B1 (en) * 2003-11-17 2005-10-12 삼성전자주식회사 Semiconductor device with source/drain formed on isolation layers and method of fabricating the same
US7273794B2 (en) 2003-12-11 2007-09-25 International Business Machines Corporation Shallow trench isolation fill by liquid phase deposition of SiO2
US7186622B2 (en) 2004-07-15 2007-03-06 Infineon Technologies Ag Formation of active area using semiconductor growth process without STI integration
US7002214B1 (en) * 2004-07-30 2006-02-21 International Business Machines Corporation Ultra-thin body super-steep retrograde well (SSRW) FET devices
KR100603510B1 (en) * 2004-10-07 2006-07-20 삼성전자주식회사 Method for manufacturing a semiconductor device
JP2006245338A (en) * 2005-03-03 2006-09-14 Nec Electronics Corp Method of manufacturing field effect transistor
US7259075B2 (en) * 2005-03-03 2007-08-21 Nec Electronics Corporation Method for manufacturing field effect transistor
KR100721661B1 (en) * 2005-08-26 2007-05-23 매그나칩 반도체 유한회사 Image sensor, and method for fabricating the same
US20100112795A1 (en) * 2005-08-30 2010-05-06 Advanced Technology Materials, Inc. Method of forming ultra-shallow junctions for semiconductor devices
KR100748342B1 (en) 2005-09-14 2007-08-09 매그나칩 반도체 유한회사 Method for manufacturing a cmos image sensor
US20070212861A1 (en) * 2006-03-07 2007-09-13 International Business Machines Corporation Laser surface annealing of antimony doped amorphized semiconductor region
JP2007281038A (en) * 2006-04-03 2007-10-25 Toshiba Corp Semiconductor device
US8329564B2 (en) * 2007-10-26 2012-12-11 International Business Machines Corporation Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method
US7855110B2 (en) * 2008-07-08 2010-12-21 International Business Machines Corporation Field effect transistor and method of fabricating same
JP4911158B2 (en) * 2008-10-30 2012-04-04 ソニー株式会社 Semiconductor device and solid-state imaging device
US8058134B2 (en) * 2009-02-19 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Junction profile engineering using staged thermal annealing
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US8236661B2 (en) * 2009-09-28 2012-08-07 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
US8421162B2 (en) * 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US7932143B1 (en) * 2009-10-22 2011-04-26 Globalfoundries Inc. Methods for protecting gate stacks during fabrication of semiconductor devices and semiconductor devices fabricated from such methods
US8062965B2 (en) * 2009-10-27 2011-11-22 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8138071B2 (en) * 2009-10-27 2012-03-20 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
KR20110057645A (en) * 2009-11-24 2011-06-01 삼성전자주식회사 Method of forming insulating layer and method of manufacturing transistor using the same
WO2011068033A1 (en) 2009-12-04 2011-06-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
EP2507823B1 (en) 2009-12-04 2018-09-26 Semiconductor Energy Laboratory Co. Ltd. Manufacturing method for semiconductor device
US8779383B2 (en) 2010-02-26 2014-07-15 Advanced Technology Materials, Inc. Enriched silicon precursor compositions and apparatus and processes for utilizing same
TWI689467B (en) 2010-02-26 2020-04-01 美商恩特葛瑞斯股份有限公司 Method and apparatus for enhanced lifetime and performance of ion source in an ion implantation system
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
EP2612349A4 (en) 2010-08-30 2016-09-14 Entegris Inc Apparatus and method for preparation of compounds or intermediates thereof from a solid material, and using such compounds and intermediates
US8361872B2 (en) * 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
JP5870478B2 (en) * 2010-09-30 2016-03-01 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US8377783B2 (en) * 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
KR101891373B1 (en) 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 Semiconductor devices having fin structures and fabrication methods thereof
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
TWI583442B (en) 2011-10-10 2017-05-21 恩特葛瑞斯股份有限公司 B2f4 manufacturing process
FR2983635B1 (en) * 2011-12-05 2014-05-23 Soitec Silicon On Insulator SEMICONDUCTOR STRUCTURE ON INSULATION WITH IMPROVED ELECTRICAL CHARACTERISTICS
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9960042B2 (en) 2012-02-14 2018-05-01 Entegris Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
CN103377947B (en) * 2012-04-28 2016-05-11 中国科学院微电子研究所 A kind of semiconductor structure and manufacture method thereof
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
CN104854698A (en) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 Dram-type device with low variation transistor peripheral circuits, and related methods
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
SG11201601015RA (en) 2013-08-16 2016-03-30 Entegris Inc Silicon implantation in substrates and provision of silicon precursor compositions therefor
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0423427A (en) * 1990-05-18 1992-01-27 Fujitsu Ltd Manufacture of semiconductor device
KR970053015A (en) * 1995-12-07 1997-07-29 김주용 Transistor manufacturing method of semiconductor device
JPH10125901A (en) * 1996-10-17 1998-05-15 Mitsubishi Electric Corp Field-effect transistor and manufacture thereof
KR100265227B1 (en) * 1998-06-05 2000-09-15 김영환 Method for fabricating cmos transistor
US6444550B1 (en) * 1999-08-18 2002-09-03 Advanced Micro Devices, Inc. Laser tailoring retrograde channel profile in surfaces
US6426279B1 (en) * 1999-08-18 2002-07-30 Advanced Micro Devices, Inc. Epitaxial delta doping for retrograde channel profile
KR100522758B1 (en) * 2000-06-28 2005-10-21 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
KR100398041B1 (en) * 2000-06-30 2003-09-19 주식회사 하이닉스반도체 Method of forming a epi-channel in a semicondector device
KR20020049938A (en) 2000-12-20 2002-06-26 박종섭 Method of forming an epi channel in a semiconductor device
KR100365414B1 (en) * 2001-04-30 2002-12-18 Hynix Semiconductor Inc Method for forming ultra-shallow junction using laser annealing process

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9455147B2 (en) 2005-08-30 2016-09-27 Entegris, Inc. Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
CN101752313B (en) * 2008-12-04 2012-10-03 上海华虹Nec电子有限公司 Surface P-channel metal oxide semiconductor (PMOS) device with self-aligned contact hole and method for producing same
US9142387B2 (en) 2009-10-27 2015-09-22 Entegris, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US9685304B2 (en) 2009-10-27 2017-06-20 Entegris, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
CN103187286A (en) * 2011-12-29 2013-07-03 中芯国际集成电路制造(上海)有限公司 Manufacturing method of fin type field effect transistor
CN103187286B (en) * 2011-12-29 2016-08-10 中芯国际集成电路制造(上海)有限公司 The manufacture method of fin formula field effect transistor
CN109585301A (en) * 2014-10-22 2019-04-05 意法半导体公司 For including the technique with the production of integrated circuits of liner silicide of low contact resistance
CN109585301B (en) * 2014-10-22 2022-01-04 意法半导体公司 Integrated circuit with low contact resistance liner silicide and manufacturing method thereof

Also Published As

Publication number Publication date
TWI254364B (en) 2006-05-01
KR100464935B1 (en) 2005-01-05
CN1243372C (en) 2006-02-22
KR20040025958A (en) 2004-03-27
TW200405441A (en) 2004-04-01
US20040053457A1 (en) 2004-03-18
US6730568B2 (en) 2004-05-04

Similar Documents

Publication Publication Date Title
CN1243372C (en) Method for making semiconductor device with ultra-shallow and ultra-gradient reverse surface channel by boron fluoride compound doping
CN1458675A (en) Method for preparing semiconductor device with super shallow and super steep backword surface groove
US8394687B2 (en) Ultra-abrupt semiconductor junction profile
US7645665B2 (en) Semiconductor device having shallow b-doped region and its manufacture
US6667200B2 (en) Method for forming transistor of semiconductor device
CN1291500C (en) Semiconductor device and producing method thereof
KR100301273B1 (en) Ultra-shallow semiconductor junction formation
US7400018B2 (en) End of range (EOR) secondary defect engineering using chemical vapor deposition (CVD) substitutional carbon doping
CN1109360C (en) Fabrication method of semiconductor device with CMOS structure
US20090311840A1 (en) Method of manufacturing semiconductor device
CN1679169A (en) Semiconductor device and method for fabricating the same
US7795101B2 (en) Method of forming a MOS transistor
US7429771B2 (en) Semiconductor device having halo implanting regions
US7071069B2 (en) Shallow amorphizing implant for gettering of deep secondary end of range defects
JP2006059843A (en) Semiconductor device and its manufacturing method
JP2006060208A (en) Source and drain structure for high-performance sub-0.1 micrometer transistor
US6709961B2 (en) Method for fabricating semiconductor device
US20100015788A1 (en) Method for manufacturing semiconductor device
US7235450B2 (en) Methods for fabricating semiconductor devices
US8999861B1 (en) Semiconductor structure with substitutional boron and method for fabrication thereof
JP2006093658A (en) Semiconductor apparatus and manufacturing method thereof
JP2781989B2 (en) Method for manufacturing semiconductor device
JPH0415950A (en) Complementary field-effect semiconductor device and manufacture thereof
CN1617352A (en) Manufacturing method of semiconductor device
KR100567030B1 (en) Methood for manufacturing Transistor

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060222

Termination date: 20130613