CN112368645A - 用于euv光刻的粘附层 - Google Patents

用于euv光刻的粘附层 Download PDF

Info

Publication number
CN112368645A
CN112368645A CN201980039710.6A CN201980039710A CN112368645A CN 112368645 A CN112368645 A CN 112368645A CN 201980039710 A CN201980039710 A CN 201980039710A CN 112368645 A CN112368645 A CN 112368645A
Authority
CN
China
Prior art keywords
layer
substrate
photoresist layer
adhesion layer
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980039710.6A
Other languages
English (en)
Inventor
A·M·查克
V·克里西那莫西
梁懿宸
李�昊
S·格莱曼
D·J·格雷罗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brewer Science Inc
Original Assignee
Brewer Science Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brewer Science Inc filed Critical Brewer Science Inc
Publication of CN112368645A publication Critical patent/CN112368645A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

提供了用作EUV粘附层的新型光刻组合物。本发明提供了使用那些组合物制造微电子结构的方法以及由那些方法所形成的结构。方法涉及在紧邻光刻胶层下方使用粘附层。粘附层可以直接施加于基材,或者也可以施加于可能施加到基材的中间层,例如α‑碳、旋涂碳、旋涂硅硬掩模、金属硬掩模、或沉积的硅层。优选的粘附层由可旋涂的聚合组合物形成。本发明的方法改善粘附性并降低或消除图案崩塌的问题。

Description

用于EUV光刻的粘附层
发明背景
相关申请
本申请要求2018年06月13日提交的题为“用于EUV光刻的粘附层”的美国临时专利申请系列号62/684,359的优先权,其通过引用全文纳入本文。
发明领域
本发明大体上涉及用EUV(极紫外)光刻制造微电子结构的方法。
现有技术说明
随着半导体产业继续遵循摩尔定律,对不断减小的特征尺寸的需求要求使用更薄的膜以防止图案崩塌。更薄的膜会需要使用硬掩模将图案转印到基材上。极紫外(EUV)曝光有望成为以单次曝光光刻来实现7nm节点及以上的所要求的临界尺寸(CD)目标的方法选项。不幸的是,EUV光刻受到许多问题的阻碍,其中包括缺乏强大的辐射源、随机效应和粘附性问题。
含有含碳层、含硅层和光刻胶的传统三层堆叠体经常存在光刻胶和硅底层之间粘附不良的问题。这种粘附不良经常导致在图案化的抗蚀剂中发生严重崩塌,特别是在临界尺寸(CDs)较低时。
一种方法是实施旋涂硅硬掩模,由于它们相对高的碳含量,提供了对光刻胶更好的粘附性。为了改善粘附性的一个重大折衷是,由于硅硬掩模(Si-HM)层中的硅含量较低,导致CF4蚀刻速率大大降低。
发明概述
广泛而言,本发明提供一种形成结构的方法,该方法包括提供基材,该基材任选地包括其上的一个或多个中间层。在基材上,或如果存在的话,在所述一个或多个中间层上形成粘附层。粘附层具有大于单层但是小于9nm的平均厚度以及基于粘附层的总重量为100重量%时小于约0.001重量%的金属含量。在粘附层上形成光刻胶层,并对光刻胶层的至少一部分实施EUV辐射。
在另一实施方式中,本发明提供一种形成结构的方法,该方法包括提供基材,该基材任选地包括其上的一个或多个中间层。在基材上,或如果存在的话,在所述一个或多个中间层上形成粘附层。粘附层为非导电性的并且具有大于单层但是小于9nm的平均厚度。在所述粘附层上形成光刻胶层,并对光刻胶层的至少一部分实施EUV辐射。
附图简要说明
图1为显示实施例2的母液2的HPLC表征的图;
图2为显示实施例2的最终制剂的HPLC表征的图;
图3为显示实施例2的材料的膜厚和均匀度的图像;以及
图4为实施例11的光刻堆叠体和光刻结果的图像。
优选实施方式详述
本发明的方法
更详细而言,本发明提供一种形成特别适合于EUV(即13.5nm)光刻的微电子结构的方法。在本发明的方法中,提供了具有表面的基材。可以使用任何微电子基材。基材优选为半导体基材,例如:硅、SiGe、SiO2、Si3N4、SiON、铝、钨、硅化钨、砷化镓、锗、钽、氮化钽、Ti3N4、铪、HfO2、钌、磷化铟、珊瑚、黑金刚石、玻璃、或上述的混合物。在加工前可以在基材上形成任选的中间层。基材可以具有平坦的表面,或其可以包括形貌特征(通孔、沟槽、接触孔、凸起特征、线等)。如本文所用,“形貌”是指基材表面之内或之上的结构的高度或深度。
可以在基材或任何中间层上形成富碳层。可以通过任何已知的施加方法来形成富碳层,一种优选的方法是用旋涂,速度为约1,000~约5,000rpm,优选约1,250~约1,750rpm,时长为约30~约120秒,优选约45~75秒。术语“富碳”是指形成层的组合物在基于组合物中的总固体为100重量%时包含大于约50重量%的碳,优选大于约70重量%的碳,并且更优选约75~约80重量%的碳。合适的富碳层选自:旋涂碳层(SOC)、无定形碳层和碳平面化层。
示例性的富碳层通常会抵消溶解或分散在溶剂体系中的聚合物以及以下任选的成分:酸和/或碱淬灭剂、催化剂、交联剂和表面改性添加剂。优选的组合物会适合于形成较厚的层,并且优选基于组合物的总重量为100重量%时具有约0.1重量%~约70重量%、更优选约1重量%~约5重量%、甚至更优选约1重量%~约3重量%的固体含量。在施加富碳组合物后,优选加热至温度为约100℃~约400℃,更优选约160℃~约350℃,并且加热时长为约30秒~约120秒,优选约45秒~约60秒,以使溶剂蒸发。烘烤后富碳层的厚度优选为约10nm~约120nm,更优选约20nm~约100nm,甚至更优选约40nm~约60nm。可以通过其他已知的施加方法来形成富碳层,例如:化学气相沉积(CVD)、等离子体增强的化学气相沉积(PECVD)、原子层沉积(ALD)、或等离子体增强的原子层沉积(PEALD)。
可以在富碳材料、基材、或任何中间层附近施加硬掩膜层。可以通过任何已知的施加方法来形成硬掩膜层,例如化学气相沉积(CVD)或等离子体增强的化学气相沉积(PECVD)。另一优选的方法为旋涂,速度为约1,000~约5,000rpm,优选约1,250~约1,750rpm,时长为约30~约120秒,优选约45~75秒。合适的硬掩膜层优选为选自下组的高硅含量材料:硅烷、硅氧烷、硅倍半氧烷、硅氧氮化物、氮化硅、多晶硅、无定形硅、或相对于下层具有高蚀刻偏差(etch bias)的任何层。示例性的硬掩膜层通常会抵消溶解或分散在溶剂体系中的聚合物以及以下任选的成分:表面活性剂、酸或碱催化剂和交联剂。优选的组合物优选基于组合物的总重量为100重量%时会具有约0.1重量%~约70重量%、更优选约0.5重量%~约10重量%、甚至更优选约0.5重量%~约1重量%的固体含量。在施加硬掩膜后,优选加热至温度为约100℃~约300℃,更优选约150℃~约250℃,并且加热时长为约30秒~约120秒,优选约45秒~约60秒,以使溶剂蒸发。烘烤后硬掩膜层的厚度优选为约5nm~约50,000nm,更优选约5nm~约1,000nm,甚至更优选约10nm~约30nm。在富氟等离子体气氛中,硬掩膜层的蚀刻速率应当至少为光刻胶的0.75倍,在富氧等离子体蚀刻气氛中应当至少比富碳层慢5倍。
可以使用一些商业硬掩膜层。其他优选的硬掩膜层含有选自下组的单体的共聚物:苯乙基三甲氧基硅烷(PETMS)、2-(碳(carbo)甲氧基)乙基三甲氧基硅烷(CMETMS)、四乙氧基硅烷(TEOS)、甲基三甲氧基硅烷、苯基三甲氧基硅烷、甲基三甲氧基硅烷(MTMS)、乙基三甲氧基硅烷(ETMS)、(3-缩水甘油基(glycidyoxy)丙基)三乙氧基硅烷和2-(3,4-环氧环己基)乙基三甲氧基硅烷(ECHTMS)。
然后将可用于形成根据本发明的粘附层的组合物施加于基材、富碳层、硬掩模、或其他中间层,以在光刻胶的下方形成层。优选地,将粘附层直接施加于硬掩膜。可以通过任何已知的施加方法来施加组合物,一种优选的方法是旋涂该组合物,速度为优选约1,000~约5,000rpm,更优选约1,250~约1,750rpm,时长为优选约30~约120秒,更优选约45~约75秒。然后烘烤粘附层以引起组合物的热交联以形成固化层。优选的烘烤条件优选涉及温度为约100℃~约300℃,更优选约150℃~约250℃,时长为约30秒~约120秒,优选为约45秒~约60秒。
烘烤后粘附层的平均厚度大于单层(即大于分子或原子的单层)但是小于9nm,优选为约1nm~9nm,更优选约2nm~约6nm,甚至更优选约4nm~约5nm。如果基材表面包括形貌,则优选施加粘附层使其厚度足以基本上覆盖基材形貌。
本发明的粘附层会具有低的金属含量。在一优选的实施方式中,基于粘附层的总重量为100重量%时,金属含量小于约0.005重量%,优选小于约0.001重量%,更优选为约0重量%。粘附层进一步优选为非导电层。
应当理解,本发明的粘附层的期望的接触角将取决于应用。烘烤后粘附层的水接触角优选为约50°~约95°。对于负性显影应用,当用水测量时,烘烤后粘附层的接触角优选为约55°~约70°。正性显影应用可能需要更高的水接触角,例如约70°~约90°。为此,可以使用任何常规设备来测量水接触角,其中VCA-3000S晶圆系统(马萨诸塞州比勒里卡的ASTProducts公司)是适用于此测量的一种设备。
固化后的粘附层基本上不溶于常规有机溶剂,例如:乳酸乙酯(EL)、丙二醇甲醚乙酸酯(PGMEA)、丙二醇甲醚(PGME)、丙二醇正丙醚(PnP)、环己酮、丙酮、γ-丁内酯(GBL)、及其混合物。因此,当对其实施剥离测试时,固化后的粘合层的剥离百分比会小于约5%,优选小于约1%,更优选为约0%。剥离测试涉及首先确定固化层的厚度(通过取五个不同位置的测量值的平均值)。该平均值为初始平均膜厚。接下来,将溶剂(例如乳酸乙酯)在固化的膜上捣拌约20秒,然后以大约3,000rpm旋转干燥约30秒以除去溶剂。再使用椭圆光度法在晶片上五个不同的点测量厚度,确定这些测量值的平均值。该平均值为最终平均膜厚。
剥离的量是起始平均膜厚度与最终平均膜厚度之间的差值。剥离百分比为:
Figure BDA0002831997960000051
粘附层固化后,可以将EUV光刻胶(即成像层)施加到粘附层以形成光刻胶层。可以使用任何商业的EUV光刻胶。在一实施方式中,光刻胶为化学增强的抗蚀剂(CAR)。在另一实施方式中,光刻胶为非化学增强的抗蚀剂。在一实施方式中,所选择的非化学增强的抗蚀剂包括金属,例如选自:钛、锌、锡、铪、锆、铟、钒、钴、钼、钨、铝、镓、硅、锗、磷、砷、钇、镧、铈、镥、以及上述的混合物。在另一实施方式中,在光刻胶组合物中作为金属氧化物或有机金属化合物的一部分提供金属。合适的EUV光刻胶的例子可以从包括下组的供应商获得:JSR、TOK、住友(Sumitomo)、信越(Shin Etsu)、富士胶片、Inpria、不可抗材料公司(原文:Irresistible Materials),以及瑞翁(Zeon)。在一特别优选的实施方式中,将如上所述的含金属光刻胶与本发明的粘附层和作为中间层的旋涂碳层组合使用。
在另一实施方式中,所选择的光刻胶不包含金属(即基本上不含,或优选完全不含金属)。更特别的是,基于光刻胶组合物或层的总重量为100重量%时,所使用的光刻胶组合物和所形成的最终光刻胶层分别包含小于约0.5重量%的金属,优选小于约0.1重量%的金属,更优选约0重量%的金属。
不管光刻胶的类型如何,都可以通过任何常规方法形成光刻胶层,一种优选的方法是旋涂光刻胶组合物,速度为约350rpm~约4,000rpm(优选约1,000rpm~约2,500rpm),时长为约10秒~约60秒(优选约10秒~约30秒)。然后任选地对光刻胶层进行施加后烘烤(“PAB”),温度为至少约45℃,优选约80℃~约250℃,更优选约100℃~约150℃,时长为约20秒~约30分钟,更优选约30秒~约20分钟。烘烤后光刻胶层的厚度常规为约5nm~约200nm,优选约10nm~约50nm,更优选约20nm~约40nm。
随后通过以约5mJ/cm2~约100mJ/cm2、优选约10mJ/cm2~约80mJ/cm2、更优选约20mJ/cm2~约60mJ/cm2的剂量将光刻胶层暴露于EUV辐射来使其图案化。更具体而言,在使用位于光刻胶层表面上方的掩膜的情况下暴露光刻胶层。掩模具有设计成允许EUV辐射从掩模反射并与光刻胶层的表面接触的区域。掩模的其余部分设计成吸收光以防止辐射在某些区域接触光刻胶层的表面。本领域技术人员将容易理解,反射和吸收部分的布置是基于要在光刻胶层中以及最终在基材或任何中间层中形成的期望的图案来设计的。
EUV暴露后,对光刻胶层实施暴露后烘烤(“PEB”),温度为至少约45℃,优选约80℃~约250℃,更优选约100℃~约150℃,时长为约20秒~约30分钟,更优选约30秒~约20分钟。
然后使光刻胶层与显影剂接触以形成图案。取决于所使用的光刻胶是正性作用或是负性作用,显影剂会除去光刻胶层的经曝光部分或除去光刻胶层的未经曝光部分,从而形成图案。然后将图案转印至粘附层、任何存在的中间层(例如,硬掩膜层、旋涂碳层、含硅硬掩膜加上旋涂碳层的组合等)、以及最后转印至基材。该图案转印可以通过等离子体蚀刻(例如,CF4蚀刻剂、O2蚀刻剂)或湿法蚀刻或显影工艺进行。在通过蚀刻将图案从光刻胶层转印至基材的实施方式中,优选地,粘附层的蚀刻速率相对于常规EUV光刻胶(例如有机、金属氧化物、或有机金属的光刻胶)至少为约1x,优选约1.5x~约2x。
在一实施方式中,所使用的粘附层可以是可溶于显影剂的。如本文所用,“可溶于显影剂的”或“可湿法显影的”是指,在EUV辐射下暴露过的粘附层的部分可以基本上用常规水性显影剂(例如氢氧化四甲基铵(TMAH)显影剂)除去。当光刻胶层被除去时,显影剂除去光刻胶层的暴露部分下方的粘附层的暴露部分,以在光刻胶层和光刻胶下方的层中形成期望的图案。图案可以是通孔、沟槽、线、空隙、柱等,最终会使用蚀刻或离子注入工艺被转印到基材。优选地,通过显影剂会除去粘附层的暴露部分的至少约95%,更优选至少约99%,甚至更优选除去约100%。合适的显影剂是有机或无机碱性溶液,例如但不限于TMAH,并且优选包含浓度为0.26N或更低的TMAH水性溶液。优选地,粘附层在0.26N TMAH显影剂中的溶解速率会是约100nm/s~约1,000nm/s,甚至更优选500nm/s~约1,000nm/s。然后可以在图案化的堆叠体上进行常规的蚀刻、金属化等,以完成器件的制造。
不管图案的转印是通过蚀刻还是通过显影来实现的,所得的特征均具有高分辨率。例如,用本发明的方法,可以实现小于约40nm半间距、并优选小于30nm半间距的分辨率。有利的是,本发明的粘附层还将改善最终特征的崩塌裕度。崩塌裕度是从剂量到尺寸以及结构仍然稳定的剂量的剂量范围。
本发明的组合物
本发明的组合物包含分散或溶解在溶剂体系中的聚合物或小分子以及任选的交联剂。本发明的组合物还可含有任选的成分,例如选自:表面活性剂、酸、酸催化剂、碱、碱催化剂、聚合物、催化剂、添加剂、及其混合物。应当理解,应选择与所使用的光刻胶相容的粘附层的组合物。
合适的聚合物和/或小分子包括以下物质的聚合物和小分子:丙烯酸盐/酯、甲基丙烯酸盐/酯、丙烯酸、苯乙烯、乙烯类、环氧类、酚醛清漆、硅烷、氰尿酸盐/酯、分子玻璃、及其混合物。特别优选的聚合物包含选自下组的单体:乙烯类单体、丙烯酸类单体和苯乙烯类单体。乙烯类单体优选选自:丙烯酸缩水甘油酯、甲基丙烯酸缩水甘油酯、及其组合。当使用交联剂时,丙烯酸类单体优选为选自下组的氨基塑料反应性单体:丙烯酸-2-羟基-3-苯氧基丙酯(HPPA)、甲基丙烯酸羟丙酯(HPM)、甲基丙烯酸-2-羟乙酯(HEMA)、丙烯酸-2-羟乙酯(HEA)、甲基丙烯酸叔丁酯、及其混合物。一种特别优选的聚合物是甲基丙烯酸缩水甘油酯和甲基丙烯酸羟丙酯的共聚物:
Figure BDA0002831997960000081
该实施方式中,甲基丙烯酸缩水甘油酯应包含至少约30摩尔百分比的单体单元,并且更优选地,40摩尔百分比的单体单元。聚合物的数均分子量(Mn)优选为约2,000~约30,000g/摩尔,更优选约10,000~约25,000g/摩尔。聚合物的重均分子量(Mw)优选为约5,000~100,000g/摩尔,更优选约30,000~约70,000g/摩尔。基于组合物中的固体总重量为100重量%时,聚合物在组合物中优选存在约50重量%~约90重量%,优选约60重量%~约80重量%的水平。
当使用小分子时,一种特别优选的小分子是三-(2,3-环氧丙基)异氰脲酸酯(TEPIC-S,可从日产化学美国公司获得)。基于组合物中的固体总重量为100重量%时,小分子在组合物中优选存在约40重量%~约90重量%,优选约60重量%~约80重量%的水平。
在一实施方式中,包含乙烯类单体的聚合物或小分子上接枝有官能化的羧酸部分。合适的部分包括但不限于:发色团,例如9-蒽羧酸;烷基(优选C1-C8,更优选C1-C4)羧酸,例如乙酸和丁酸;芳香族羧酸,例如苯甲酸、4-氰基苯甲酸和4-羟基苯甲酸;醚,例如乙醇酸醚;及其混合物。通过将聚合物与官能化的羧酸在溶剂中在催化剂的存在下反应使得聚合物官能化。合适的反应催化剂包括但不限于:苄基三乙基氯化铵(BTEAC)和四丁基溴化鏻。在反应过程中,羧酸官能团与聚合物的环氧基团接枝。优选地,聚合物活性位点接枝约20%~约100%,更优选接枝约40%~约100%。接枝聚合物的例子如下所示,其中单体比例是示例性的。
Figure BDA0002831997960000091
在另一实施方式中,将聚合物或小分子与官能化的羧酸部分物理混合。合适的部分包括但不限于:发色团,例如9-蒽羧酸;烷基(优选C1-C8,更优选C1-C4)羧酸,例如乙酸和丁酸;芳香族羧酸,例如苯甲酸、4-氰基苯甲酸和4-羟基苯甲酸;醚,例如乙醇酸醚;及其混合物。
优选的交联剂选自:乙烯基醚交联剂、氨基塑料、环氧类、及其混合物。商业可得的乙烯基醚的例子包括以商品名VECTomerTM(密苏里州圣路易斯的奥德里奇公司)所售的那些。商业可得的氨基塑料的例子包括以商品名
Figure BDA0002831997960000092
303和
Figure BDA0002831997960000093
1170所售的那些。
Figure BDA0002831997960000094
1170的结构如下:
Figure BDA0002831997960000095
合适的环氧类的例子包括来自亨斯迈先进材料的商品名为
Figure BDA0002831997960000096
(例如MY720四官能环氧树脂)的那些。
当使用时,基于组合物中的固体总重量为100重量%时,交联剂在组合物中优选存在约10重量%~约50重量%,优选约25重量%~约45重量%的水平。
在一些实施方式中,使用催化剂。优选地,将催化剂简单地混合进粘附层组合物中。优选的催化剂包括但不限于选自下组的物质:5-磺基水杨酸(5-sulfosalycilicacid)、季铵封端的三氟甲磺酸(triflic acid)(例如以商品名K-Pure TAG2689销售的)、磺酸(例如对甲苯磺酸、苯乙烯磺酸)、磺酸盐/酯(例如对甲苯磺酸吡啶、三氟甲烷磺酸吡啶、3-硝基苯磺酸吡啶)、及其组合。基于组合物中的固体总重量为100重量%时,催化剂在组合物中应存在约0.01重量%~约0.05重量%,优选约0.01重量%~约0.02重量%的水平。
在一些实施方式中,使用PAG。优选地,PAG不与聚合物或小分子连接,而是简单地混合在粘附层组合物中。优选的PAG包括但不限于选自下组的物质:鎓盐(例如全氟磺酸三苯基锍、如TPS壬二酸盐(nonaflate)、TPS三氟甲磺酸盐、及其取代形式、如全氟-1-丁磺酸三-(4-叔丁基苯基)锍(烷基取代的TPS壬二酸盐),均可从西格玛-奥德里奇公司公司购得);肟磺酸盐(例如CIBA以商品名
Figure BDA0002831997960000101
所售的那些);三嗪(例如可从绿色化学株式会社获得的
Figure BDA0002831997960000102
);及其组合。基于组合物中的固体总重量为100重量%时,PAG在组合物中应存在约0.001重量%~约0.030重量%,优选约0.005重量%~约0.015重量%的水平。
在另一实施方式中,粘附层组合物基本上不含任何酸产生剂,例如PAG。即,基于组合物中的固体总重量为100重量%时,粘附层组合物将包含小于约0.001重量%的PAG,优选约0重量%的PAG。
在一些实施方式中,使用添加剂。优选地,将添加剂简单地混合进粘附层组合物中。优选的添加剂包括但不限于选自下组的物质:1,1,1-三-(4-羟苯基)乙烷(THPE)、表面活性剂、及其组合。基于组合物中的固体总重量为100重量%时,添加剂在组合物中应存在约0重量%~约0.1重量%,优选约0.01重量%~约0.05重量%的水平。
在一优选的实施方式中,粘附层组合物基本上不含金属。即,基于组合物中的固体总重量为100重量%时,组合物的金属含量小于约0.005重量%,优选小于约0.001重量%,更优选为约0重量%。
在另一优选的实施方式中,粘附层组合物基本上不含硅。即,基于组合物中的固体总重量为100重量%时,组合物的硅含量小于约1重量%,优选小于约0.5重量%,更优选小于约0.1重量%,甚至更优选为约0重量%。
优选的溶剂体系包括选自下组的溶剂:PGMEA、PGME、PnP、EL、环己酮、GBL、甲基异丁基甲醇、PGEE、及其混合物。优选地,溶剂体系的沸点为约70℃~约200℃,更优选约100℃~约150℃。基于组合物的总重量为100重量%时,优选使用约98%~约99.99重量%、更优选约99%~99.9%、甚至更优选约99.3%~约99.8重量%的溶剂体系水平。基于组合物的总重量为100重量%时,用于形成粘附层的组合物会优选包含固体含量为约0.1重量%~约1重量%的固体,更优选约0.1重量%~约0.8重量%的固体,甚至更优选约0.1重量%~约0.5重量%的固体。
上述成分在溶剂体系中混合在一起形成粘附层组合物。此外,使得任何任选的成分(例如表面活性剂)同时分散于溶剂体系中。
在美国专利号8,257,910和8,895,230中描述了可以在本发明的方法中用作粘附层的其他组合物,在此通过引用将其分别纳入本文。
实施例
实施例1
乙酸接枝的聚合物的合成与配方
该实施例中,在圆底烧瓶中称重1.9克冰醋酸(加利福尼亚加迪纳的斯百全化学公司)以及15克甲基丙烯酸缩水甘油酯和甲基丙烯酸羟丙酯(GMA-HPMA)的共聚物(20%的PGME溶液,日本大阪),并开始搅拌。搅拌过程中,加入0.18克苄基三乙基氯化铵(BTEAC)。接着,加入2.8克PGME(德克萨斯州沃思堡的KMG电子化学品公司)并用于淋洗器壁。圆底烧瓶安装有冷凝器和N2入口。将反应加热至110℃并持续16小时以产生母液1。
将母液1(0.532克)、0.3克
Figure BDA0002831997960000111
1170、0.02克5-磺基水杨酸(5-SSA,康涅狄格州诺沃克的国王工业特种化学品公司)、0.03克1,1,1-三-(4-羟苯基)乙烷(THPE,俄亥俄州奥兰多·赫劳斯)和0.009克TPS-C1溶解于74.73克PGME(德克萨斯州沃思堡的KMG电子化学品公司)和174.37克PGMEA(德克萨斯州沃思堡的KMG电子化学品公司)中,并在搅拌轮上混合数个小时。
实施例2
丁酸接枝的聚合物的合成与配方
该方案中,在圆底烧瓶中称重2.79克丁酸(密苏里州圣路易斯的西格玛-奥德里奇公司)和15克GMA-HPMA共聚物(20%的PGME溶液),并开始搅拌。搅拌过程中,加入0.18克BTEAC。加入5.34克PGME并用于淋洗器壁。圆底烧瓶安装有冷凝器和N2入口。将反应加热至110℃并持续16小时以产生母液2。
接着,将0.549克母液2、0.3克
Figure BDA0002831997960000121
1170、0.02克5-SSA、0.03克THPE和0.009克TPS-C1溶解于74.72克PGME和174.37克PGMEA中,并在搅拌轮上混合数个小时。
实施例3
2-(2-甲氧基乙氧基)乙酸接枝的聚合物的合成与配方
该实施例中,在圆底烧瓶中称重2.79克2-(2-甲氧基乙氧基)乙酸(密苏里州圣路易斯的西格玛-奥德里奇公司)和15克GMA-HPMA共聚物(20%的PGME溶液),并开始搅拌。搅拌过程中,加入0.18克BTEAC,然后加入9.49克PGME并用于淋洗器壁。圆底烧瓶安装有冷凝器和N2入口。将反应加热至110℃并持续16小时以产生母液3。
接着,将0.534克母液3、0.3克
Figure BDA0002831997960000122
1170、0.02克5-SSA、0.03克THPE和0.009克TPS-C1溶解于74.73克PGME和174.37克PGMEA中,并在搅拌轮上混合数个小时。
实施例4
2-[2-(2-甲氧基乙氧基)乙氧基]乙酸接枝的聚合物的合成与配方
该方案中,在圆底烧瓶中称重5.65克2-(2-甲氧基乙氧基)乙酸(密苏里州圣路易斯的西格玛-奥德里奇公司)和15克GMA-HPMA共聚物(20%的PGME溶液),并开始搅拌。搅拌过程中,加入0.18克BTEAC,然后加入13.48克PGME并用于淋洗器壁。圆底烧瓶安装有冷凝器和N2入口。将反应加热至110℃并持续16小时以产生母液4。
接着,将0.59克母液4、0.3克
Figure BDA0002831997960000131
1170、0.02克5-SSA、0.03克THPE和0.01克TPS-C1溶解于74.7克PGME和174.3克PGMEA中,并在搅拌轮上混合数个小时。
实施例5
9-蒽羧酸接枝的聚合物的合成与配方
该实施例中,在圆底烧瓶中称重3.28克9-蒽羧酸(加拿大魁北克的PCAS公司)和34.26克GMA-HPMA共聚物(20%的PGME溶液),并开始搅拌。搅拌过程中,加入0.08克BTEAC,然后加入2.33克PGME并用于淋洗器壁。圆底烧瓶安装有冷凝器和N2入口。将反应加热至116℃并持续24小时以产生母液5。
接着,将0.30克母液5、0.18克
Figure BDA0002831997960000132
1170、0.003克5-SSA、0.02克THPE和0.01克TPS-C1溶解于74.85克PGME和174.65克PGMEA中,并在搅拌轮上混合数个小时。
实施例6
苯乙烯-甲基丙烯酸缩水甘油酯聚合物的合成与配方
该实施例中,在圆底烧瓶中称重20.46克甲基丙烯酸缩水甘油酯(密苏里州圣路易斯的西格玛-奥德里奇公司)、60克苯乙烯(密苏里州圣路易斯的西格玛-奥德里奇公司)和0.234克AIBN(康涅狄格州诺沃克的Charkit公司),并用氮气吹扫。将反应加热至80℃,持续2小时。通过在甲醇中沉淀以淬灭反应,并收集固体以产生母液6。
接着,将4.09克母液6和0.007克TAG2689(康涅狄格州诺沃克的国王工业公司)溶解于14.96克PGME(德克萨斯州沃思堡的KMG电子化学品公司)和130.95克PGMEA(德克萨斯州沃思堡的KMG电子化学品公司)中,并在搅拌轮上混合数个小时。
实施例7
大分子的合成与配方
该方案中,在圆底烧瓶中称重17.288克三-(2,3-环氧丙基)异氰脲酸酯(TEPIC-S,日本东京的日产化学株式会社)、0.242克四丁基溴化鏻(日本东京的日本化学工业株式会社)、5.176克4-氰基苯甲酸(日本大阪的三共化成株式会社)和15.544克的4-羟基苯甲酸(日本大阪的三共化成株式会社),并溶解于46.65克PGME(德克萨斯州沃思堡的KMG电子化学品公司)中。在搅拌下将反应加热至116℃并持续18小时以产生母液7。
接着,将0.196克母液7、0.098克
Figure BDA0002831997960000141
1170(俄亥俄州范达利亚的贺利氏公司)和0.006克对甲苯磺酸吡啶(德国达姆施塔特的密理博西格玛公司)溶解于19.94克PGME(德克萨斯州沃思堡的KMG电子化学品公司)和79.760克PGMEA(德克萨斯州沃思堡的KMG电子化学品公司)中,并在搅拌轮上混合数个小时。
实施例8
酯聚合物的合成与配方
该实施例中,在圆底烧瓶中称重10.28克MA-DGIC(日本德岛的四国株式会社)、5.106克富马酸(伊利诺伊州贝德福德公园的泰莱公司)、0.200克对苯二酚(密苏里州圣路易斯的西格玛-奥德里奇公司)和0.414克苄基三乙基氯化铵(阿尔法·埃萨尔公司),并溶解于64.00克PGME(德克萨斯州沃思堡的KMG电子化学品公司)中。将反应加热至110℃并持续8小时以产生母液8。
接着,将0.1395克母液8、0.0349克
Figure BDA0002831997960000142
(俄亥俄州范达利亚的贺利氏公司)和0.0007克5-磺基水杨酸(5-SSA,康涅狄格州诺沃克的国王工业特种化学品公司)溶解于69.877克PGME(德克萨斯州沃思堡的KMG电子化学品公司)和29.947克PGMEA(德克萨斯州沃思堡的KMG电子化学品公司)中,并在搅拌轮上混合数个小时。
实施例9
材料表征
使用厚或THF流动相和HPLC对聚合物的MW和多分散性进行表征。结果如表1所示。配方也通过HPLC表征。图1显示了实施例2中合成的母液的HPLC,以及图2显示了由实施例2而得的最终制剂的HPLC。
材料 流动相 MW 分散性
母液1 8021 1.92
母液2 8034 1.94
母液3 THF 7696 1.73
母液4 THF 7699 1.93
母液5 THF 7365 2.14
母液6 THF 77051 1.66
母液7 THF 1155 1.19
母液8 THF 3241 1.94
表1.分子量与多分散性
膜厚用M2000椭圆记测仪进行测量。图3显示了实施例2中配制的材料的膜厚分布。
实施例10
光刻结果
通过在1241rpm下旋涂30秒,将实施例5的材料旋涂到硬掩模(实验的、接枝的硅倍半氧烷硬掩模,密苏里州罗拉的布鲁尔科技公司)上,并在205℃下烘烤60秒,以形成5nm的膜。然后通过在1931rpm下旋涂26秒来涂布抗蚀剂(JSR J3030,可从JSR迈科公司获得),并随后在130℃下烘烤60秒,以形成30nm的涂层。然后用表2所示的参数曝光抗蚀剂。表2中还显示了所用的抗蚀剂和成像工艺。NXE3300 EUV扫描仪(可从ASML获得)用于成像步骤,而Pro Z轨道(可从东京电子有限公司(TEL)获得)用于晶片加工。表3显示了在抗蚀剂下方仅使用SOC和HM时的光刻质量(表3的上半部分)与在抗蚀剂下方不仅使用SOC、HM还添加本发明的粘附层时(表3的下半部分)的光刻质量的比较。粘附层的使用明显拓宽了加工窗口。
参数 条件
PEB(℃/秒): 110/60
照明模式: Dipole45x
NA: 0.33
σ(外/内): 0.902/0.671
Dev.时间(s): TMAH,20秒
表2.EUV光刻所用的条件
Figure BDA0002831997960000161
表3.光刻结果
表3显示了实施例5的材料的剂量-聚焦矩阵。x轴(即顶部行)显示了剂量(mJ/cm2),而y轴(即最左列)显示了聚焦范围(μm)。“桥接”和“崩塌”分别表示单元格中的点或水平线,而单元格中的垂直线表示目标CD范围的10%之内的那些。(参阅表3底部的图例。)带有数字但没有单元格填充或阴影的单元格(即具有白色背景的数字)表示没有桥接或崩塌的样品。换句话说,特征和尺寸是理想的。没有数字的白单元格表示未进行测试的点。黑色背景的单元格在测试矩阵之外。
如表3中列出的结果所示,与不包括本发明的EUV底层的其他方面相同的工艺相比,使用本发明的EUV底层时的加工窗口增加了一倍以上(参见带有数字但没有阴影或填充的单元格)。
实施例11
施加于旋涂碳层的底层
将高温旋涂碳材料施加于两个硅晶片。将实施例5的材料旋涂于一个晶片上的旋涂碳材料上。将来自Inpria的含金属的EUV光刻胶涂布于两个晶片上,并使用EUV光刻使两个晶片图案化。如图4所示,使用实施例5的底层的工艺(右图)没有显示出线桥接或崩塌,而没有底层的工艺(左图)对于12nm的特征尺寸显示出了桥接和线崩塌。

Claims (39)

1.一种形成结构的方法,所述方法包括:
提供基材,所述基材任选地包括其上的一个或多个中间层;
在所述基材上,或如果存在的话,在所述一个或多个中间层上形成粘附层,所述粘附层具有大于单层但是小于9nm的平均厚度以及基于粘附层的总重量为100重量%时小于约0.001重量%的金属含量;
在所述粘附层上形成光刻胶层;以及
对所述光刻胶层的至少一部分实施EUV辐射。
2.如权利要求1所述的方法,其中,所述基材选自:硅、SiGe、SiO2、Si3N4、SiON、铝、钨、硅化钨、砷化镓、锗、钽、氮化钽、Ti3N4、铪、HfO2、钌、磷化铟、珊瑚、黑金刚石、玻璃、以及上述的混合物。
3.如权利要求1所述的方法,其中,所述粘附层的金属含量为约0重量%。
4.如权利要求1所述的方法,其中,所述形成粘附层包括:
在所述基材上,或如果存在的话,在所述一个或多个中间层上旋涂组合物;以及
烘烤所述组合物以形成所述粘附层。
5.如权利要求4所述的方法,其中,所述组合物包含选自下组的聚合物和/或小分子:丙烯酸盐/酯、甲基丙烯酸盐/酯、丙烯酸、苯乙烯、乙烯类、环氧类、酚醛清漆、硅烷、氰尿酸盐/酯、分子玻璃、及其混合物的聚合物和小分子。
6.如权利要求5所述的方法,其中,所述组合物包含聚合物,该聚合物包含选自下组的重复单体:乙烯类单体、丙烯酸类单体、苯乙烯类单体、及其组合。
7.如权利要求6所述的方法,其中:
所述乙烯类单体选自:丙烯酸缩水甘油酯、甲基丙烯酸缩水甘油酯、及其组合;以及
所述丙烯酸类单体选自:丙烯酸-2-羟基-3-苯氧基丙酯、甲基丙烯酸羟丙酯、甲基丙烯酸-2-羟乙酯、丙烯酸-2-羟乙酯、甲基丙烯酸叔丁酯、及其组合。
8.如权利要求6所述的方法,其中,所述聚合物包含重复乙烯类单体,该重复乙烯类单体上接枝有选自下组的部分:具有羧酸部分的发色团、烷基羧酸、芳香族羧酸、具有羧酸部分的醚、以及上述的组合。
9.如权利要求5所述的方法,其中,所述组合物进一步包含具有选自下组的部分的化合物:具有羧酸部分的发色团、烷基羧酸、芳香族羧酸、具有羧酸部分的醚、以及上述的组合。
10.如权利要求5所述的方法,其中,所述小分子为三-(2,3-环氧丙基)异氰脲酸酯。
11.如权利要求1所述的方法,其中,所述光刻胶层包含金属。
12.如权利要求1所述的方法,其中,所述光刻胶层不包含金属。
13.如权利要求1所述的方法,其中,所述对所述光刻胶层实施EUV辐射以约5mJ/cm2~约100mJ/cm2的剂量进行。
14.如权利要求1所述的方法,其在所述对所述光刻胶层实施EUV辐射之后进一步包括在所述光刻胶层中形成图案。
15.如权利要求14所述的方法,其进一步包括将所述图案转印至所述粘附层;如果存在的话,转印至所述中间层;以及转印至所述基材。
16.如权利要求15所述的方法,其中,所述在所述光刻胶层中形成图案包括使所述光刻胶层与显影剂接触以除去部分所述光刻胶层。
17.如权利要求16所述的方法,其中,所述转印所述图案包括蚀刻所述粘附层;如果存在的话,蚀刻中间层;以及蚀刻所述基材。
18.如权利要求15所述的方法,其中,所述图案的分辨率小于约40nm半间距。
19.如权利要求1所述的方法,其中,存在中间层,并且所述中间层为硬掩膜层。
20.如权利要求19所述的方法,其进一步包含第二中间层,所述第二中间层为旋涂碳层,所述硬掩膜层包含硅并且比所述旋涂碳层更靠近所述粘附层。
21.如权利要求11所述的方法,其中,存在中间层,并且所述中间层为旋涂碳层。
22.一种形成结构的方法,所述方法包括:
提供基材,所述基材任选地包括其上的一个或多个中间层;
在所述基材上,或如果存在的话,在所述一个或多个中间层上形成粘附层,所述粘附层为非导电性的并且具有大于单层但是小于9nm的平均厚度;
在所述粘附层上形成光刻胶层;以及
对所述光刻胶层的至少一部分实施EUV辐射。
23.如权利要求22所述的方法,其中,所述基材选自:硅、SiGe、SiO2、Si3N4、SiON、铝、钨、硅化钨、砷化镓、锗、钽、氮化钽、Ti3N4、铪、HfO2、钌、磷化铟、珊瑚、黑金刚石、玻璃、以及上述的混合物。
24.如权利要求22所述的方法,其中,所述形成粘附层包括:
在所述基材上,或如果存在的话,在所述一个或多个中间层上旋涂组合物;以及
烘烤所述组合物以形成所述粘附层。
25.如权利要求24所述的方法,其中,所述组合物包含选自下组的聚合物和/或小分子:丙烯酸盐/酯、甲基丙烯酸盐/酯、丙烯酸、苯乙烯、乙烯类、环氧类、酚醛清漆、硅烷、氰尿酸盐/酯、分子玻璃、及其混合物的聚合物和小分子。
26.如权利要求25所述的方法,其中,所述组合物包含聚合物,该聚合物包含选自下组的重复单体:乙烯类单体、丙烯酸类单体、苯乙烯类单体、及其组合。
27.如权利要求26所述的方法,其中:
所述乙烯类单体选自:丙烯酸缩水甘油酯、甲基丙烯酸缩水甘油酯、及其组合;以及
所述丙烯酸类单体选自:丙烯酸-2-羟基-3-苯氧基丙酯、甲基丙烯酸羟丙酯、甲基丙烯酸-2-羟乙酯、丙烯酸-2-羟乙酯、甲基丙烯酸叔丁酯、及其组合。
28.如权利要求26所述的方法,其中,所述聚合物包含重复乙烯类单体,该重复乙烯类单体上接枝有选自下组的部分:具有羧酸部分的发色团、烷基羧酸、芳香族羧酸、具有羧酸部分的醚、以及上述的组合。
29.如权利要求25所述的方法,其中,所述组合物进一步包含具有选自下组的部分的化合物:具有羧酸部分的发色团、烷基羧酸、芳香族羧酸、具有羧酸部分的醚、以及上述的组合。
30.如权利要求25所述的方法,其中,所述小分子为三-(2,3-环氧丙基)异氰脲酸酯。
31.如权利要求22所述的方法,其中,所述光刻胶层包含金属。
32.如权利要求22所述的方法,其中,所述光刻胶层不包含金属。
33.如权利要求22所述的方法,其中,所述对所述光刻胶层实施EUV辐射以约5mJ/cm2~约100mJ/cm2的剂量进行。
34.如权利要求22所述的方法,其在所述对所述光刻胶层实施EUV辐射之后进一步包括在所述光刻胶层中形成图案。
35.如权利要求34所述的方法,其进一步包括将所述图案转印至所述粘附层;如果存在的话,转印至所述中间层;以及转印至所述基材。
36.如权利要求34所述的方法,其中,所述图案的分辨率小于约40nm半间距。
37.如权利要求22所述的方法,其中,存在中间层,并且所述中间层为硬掩膜层。
38.如权利要求37所述的方法,其进一步包含第二中间层,所述第二中间层为旋涂碳层,所述硬掩膜层包含硅并且比所述旋涂碳层更靠近所述粘附层。
39.如权利要求31所述的方法,其中,存在中间层,并且所述中间层为旋涂碳层。
CN201980039710.6A 2018-06-13 2019-06-12 用于euv光刻的粘附层 Pending CN112368645A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862684359P 2018-06-13 2018-06-13
US62/684,359 2018-06-13
PCT/US2019/036791 WO2019241402A1 (en) 2018-06-13 2019-06-12 Adhesion layers for euv lithography

Publications (1)

Publication Number Publication Date
CN112368645A true CN112368645A (zh) 2021-02-12

Family

ID=68838769

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980039710.6A Pending CN112368645A (zh) 2018-06-13 2019-06-12 用于euv光刻的粘附层

Country Status (7)

Country Link
US (1) US11972948B2 (zh)
EP (1) EP3807721A4 (zh)
JP (1) JP7348210B2 (zh)
KR (1) KR20210010587A (zh)
CN (1) CN112368645A (zh)
TW (1) TW202001405A (zh)
WO (1) WO2019241402A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113708216A (zh) * 2021-07-26 2021-11-26 威科赛乐微电子股份有限公司 一种垂直共振腔面射型激光器的制备方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
EP3997516A4 (en) * 2019-07-12 2023-08-02 Inpria Corporation STABILIZED INTERFACES OF INORGANIC RADIATION STRUCTURING COMPOSITIONS ON SUBSTRATES
JP7189375B2 (ja) * 2020-01-15 2022-12-13 ラム リサーチ コーポレーション フォトレジスト接着および線量低減のための下層
US20220028684A1 (en) * 2020-06-18 2022-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer outgassing prevention
US20220102200A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including carbon-containing layer and method for semiconductor device fabrication
WO2022196259A1 (ja) * 2021-03-15 2022-09-22 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11955336B2 (en) * 2021-04-23 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
JP2024027460A (ja) * 2022-08-17 2024-03-01 信越化学工業株式会社 パターン形成方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100042959A (ko) * 2008-10-17 2010-04-27 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
CN102016724A (zh) * 2008-04-23 2011-04-13 布鲁尔科技公司 用于微型光刻的光敏性硬掩模
WO2015030060A1 (ja) * 2013-08-28 2015-03-05 日産化学工業株式会社 レジスト下層膜を適用したパターン形成方法
CN104937493A (zh) * 2013-01-24 2015-09-23 日产化学工业株式会社 光刻用抗蚀剂上层膜形成用组合物及使用了该组合物的半导体装置的制造方法
CN105739237A (zh) * 2014-12-31 2016-07-06 罗门哈斯电子材料有限责任公司 光刻方法
CN106019849A (zh) * 2015-03-27 2016-10-12 台湾积体电路制造股份有限公司 具有可湿剥离的中间层的半导体结构的图案化工艺
CN107870525A (zh) * 2016-09-28 2018-04-03 东京应化工业株式会社 控制基板的表面物性的方法
US20180120706A1 (en) * 2015-06-24 2018-05-03 Fujifilm Corporation Pattern forming method, laminate, and resist composition for organic solvent development

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10227986A (ja) 1997-02-17 1998-08-25 Hitachi Ltd 光スイッチとその製造方法及び光スイッチを用いた光通信機器
US5919599A (en) 1997-09-30 1999-07-06 Brewer Science, Inc. Thermosetting anti-reflective coatings at deep ultraviolet
US7361444B1 (en) 1998-02-23 2008-04-22 International Business Machines Corporation Multilayered resist systems using tuned polymer films as underlayers and methods of fabrication thereof
JP2000206680A (ja) 1999-01-14 2000-07-28 Mitsubishi Chemicals Corp 感光性組成物、感光性平版印刷版及び感光性平版印刷版の製版方法
US7709177B2 (en) 1999-02-23 2010-05-04 International Business Machines Corporation Multilayered resist systems using tuned polymer films as underlayers and methods of fabrication thereof
JP4299921B2 (ja) 1999-07-05 2009-07-22 関西ペイント株式会社 ポジ型可視光感光性樹脂組成物及びそれを用いたレジストパターン形成方法
KR20030007904A (ko) 2000-06-06 2003-01-23 이케이씨 테크놀로지, 인코포레이티드 전자 재료 제조 방법
KR101010496B1 (ko) 2001-04-17 2011-01-21 브레우어 사이언스 인코포레이션 개선된 스핀 보울 상화성을 갖는 반사 방지 코팅 조성물
US6809127B2 (en) 2001-10-04 2004-10-26 Cognis Corporation Radiation curable compositions with enhanced adhesion
US7323289B2 (en) 2002-10-08 2008-01-29 Brewer Science Inc. Bottom anti-reflective coatings derived from small core molecules with multiple epoxy moieties
KR100564694B1 (ko) * 2004-01-16 2006-03-30 삼성전자주식회사 (디클로로테트라메틸)디실라잔 화합물과 이를 이용한 접착력 향상방법 및 포토레지스트 패턴의 형성방법
US20050279995A1 (en) 2004-06-21 2005-12-22 Samsung Electronics Co., Ltd. Composition for preparing organic insulating film and organic insulating film prepared from the same
US8709705B2 (en) * 2004-12-13 2014-04-29 Pryog, Llc Metal-containing compositions and method of making same
KR101289809B1 (ko) 2005-06-13 2013-07-29 질렉스 오와이 탄화수소 기를 브릿징으로 작용기화된 실란 모노머를중합하는 것을 포함하는 반도체 광학장치를 위한 폴리머를제조하는 방법
WO2007004569A1 (ja) * 2005-07-05 2007-01-11 Hitachi Chemical Company, Ltd. 感光性接着剤組成物、並びにこれを用いて得られる接着フィルム、接着シート、接着剤層付半導体ウェハ、半導体装置及び電子部品
US7396631B2 (en) 2005-10-07 2008-07-08 3M Innovative Properties Company Radiation curable thermal transfer elements
US20090047517A1 (en) 2007-06-27 2009-02-19 Francesco Caruso Multilayer polymer films
US20090104474A1 (en) 2007-10-17 2009-04-23 Princeton University Functionalized substrates and methods of making same
KR100928111B1 (ko) * 2007-11-30 2009-11-24 주식회사 동부하이텍 반도체 소자의 제조 방법
US20090197086A1 (en) 2008-02-04 2009-08-06 Sudha Rathi Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
US8163461B2 (en) 2008-04-09 2012-04-24 Cornell Research Foundation, Inc. Photoacid generator compounds and compositions
KR20090117324A (ko) * 2008-05-09 2009-11-12 삼성전자주식회사 반도체 소자의 형성을 위한 포토레지스트의 패터닝 방법
US8257910B1 (en) 2008-06-24 2012-09-04 Brewer Science Inc. Underlayers for EUV lithography
US8207264B2 (en) 2008-07-11 2012-06-26 Tyco Healthcare Group Lp Functionalized inclusion complexes as crosslinkers
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
KR101762348B1 (ko) * 2010-06-11 2017-07-27 가부시키가이샤 아데카 규소 함유 경화성 조성물, 그 규소 함유 경화성 조성물의 경화물 및 그 규소 함유 경화성 조성물로 형성되는 리드 프레임 기판
JP5820676B2 (ja) 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
KR102058760B1 (ko) 2011-10-10 2019-12-23 브레우어 사이언스 인코포레이션 리소그래피 처리를 위한 스핀-온 탄소 조성물
KR102061919B1 (ko) 2011-11-21 2020-01-02 브레우어 사이언스 인코포레이션 Euv 리소그래피용 보조층
US10838123B2 (en) * 2012-01-19 2020-11-17 Supriya Jaiswal Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
JP6065235B2 (ja) 2012-03-08 2017-01-25 日産化学工業株式会社 高密着性レジスト下層膜形成用組成物
IN2015DN04178A (zh) 2012-11-05 2015-10-16 Dow Global Technologies Llc
JP2014202969A (ja) * 2013-04-05 2014-10-27 富士フイルム株式会社 パターン形成方法、電子デバイス及びその製造方法
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
KR102351281B1 (ko) 2013-09-11 2022-01-14 제이에스알 가부시끼가이샤 다층 레지스트 프로세스용 무기 막 형성 조성물 및 패턴 형성 방법
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
JP6196897B2 (ja) 2013-12-05 2017-09-13 東京応化工業株式会社 ネガ型レジスト組成物、レジストパターン形成方法及び錯体
JP6010564B2 (ja) * 2014-01-10 2016-10-19 信越化学工業株式会社 化学増幅型ネガ型レジスト組成物及びパターン形成方法
US20150234272A1 (en) 2014-02-14 2015-08-20 Intel Corporation Metal oxide nanoparticles and photoresist compositions
WO2015146523A1 (ja) 2014-03-24 2015-10-01 Jsr株式会社 パターン形成方法、樹脂及びレジスト下層膜形成組成物
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
JP6788222B2 (ja) 2014-11-19 2020-11-25 日産化学株式会社 架橋反応性シリコン含有膜形成組成物
EP3356445B1 (en) 2015-09-30 2021-01-06 E Ink Corporation Polyurethane adhesive layers for electro-optic assemblies
EP3391148B1 (en) 2015-10-13 2021-09-15 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
KR102515377B1 (ko) 2015-12-24 2023-03-28 삼성전자주식회사 하드 마스크 조성물, 탄소 나노 튜브 막구조체, 패턴 형성 방법 및 반도체 장치 제조 방법
JP2017181639A (ja) 2016-03-29 2017-10-05 株式会社先端ナノプロセス基盤開発センター パターン形成方法および半導体素子の製造方法
JP6534959B2 (ja) 2016-04-21 2019-06-26 信越化学工業株式会社 有機膜の形成方法及び半導体装置用基板の製造方法
US10627719B2 (en) 2016-08-12 2020-04-21 Inpria Corporation Methods of reducing metal residue in edge bead region from metal-containing resists
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US10082736B2 (en) * 2017-01-13 2018-09-25 International Business Machines Corporation Approach to lowering extreme ultraviolet exposure dose for inorganic hardmasks for extreme ultraviolet patterning
US10096477B2 (en) 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
KR102634520B1 (ko) 2017-11-20 2024-02-06 인프리아 코포레이션 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
TW202348612A (zh) 2018-04-05 2023-12-16 美商英培雅股份有限公司 包含錫化合物的組合物及其應用
US10381481B1 (en) * 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102016724A (zh) * 2008-04-23 2011-04-13 布鲁尔科技公司 用于微型光刻的光敏性硬掩模
KR20100042959A (ko) * 2008-10-17 2010-04-27 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
CN104937493A (zh) * 2013-01-24 2015-09-23 日产化学工业株式会社 光刻用抗蚀剂上层膜形成用组合物及使用了该组合物的半导体装置的制造方法
WO2015030060A1 (ja) * 2013-08-28 2015-03-05 日産化学工業株式会社 レジスト下層膜を適用したパターン形成方法
CN105739237A (zh) * 2014-12-31 2016-07-06 罗门哈斯电子材料有限责任公司 光刻方法
CN106019849A (zh) * 2015-03-27 2016-10-12 台湾积体电路制造股份有限公司 具有可湿剥离的中间层的半导体结构的图案化工艺
US20180120706A1 (en) * 2015-06-24 2018-05-03 Fujifilm Corporation Pattern forming method, laminate, and resist composition for organic solvent development
CN107870525A (zh) * 2016-09-28 2018-04-03 东京应化工业株式会社 控制基板的表面物性的方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113708216A (zh) * 2021-07-26 2021-11-26 威科赛乐微电子股份有限公司 一种垂直共振腔面射型激光器的制备方法

Also Published As

Publication number Publication date
JP7348210B2 (ja) 2023-09-20
JP2021527949A (ja) 2021-10-14
WO2019241402A1 (en) 2019-12-19
US20190385837A1 (en) 2019-12-19
EP3807721A1 (en) 2021-04-21
TW202001405A (zh) 2020-01-01
KR20210010587A (ko) 2021-01-27
EP3807721A4 (en) 2022-04-13
US11972948B2 (en) 2024-04-30

Similar Documents

Publication Publication Date Title
JP7348210B2 (ja) Euvリソグラフィ用接着層
US7989145B2 (en) Method for forming fine pattern of semiconductor device
JP4042981B2 (ja) リソグラフィ用反射防止ハードマスク組成物およびそれを用いた半導体デバイスの製造方法
EP2839341B1 (en) Method for directed self-assembly
US8877430B2 (en) Methods of producing structures using a developer-soluble layer with multilayer technology
KR101671289B1 (ko) 전자 장비 형성 방법
JP4488234B2 (ja) ハードマスク用塗布型窒化膜形成組成物
US9291909B2 (en) Composition comprising a polymeric thermal acid generator and processes thereof
US7648820B2 (en) Antireflective hardmask and uses thereof
US7439302B2 (en) Low refractive index polymers as underlayers for silicon-containing photoresists
US20130129995A1 (en) Assist layers for euv lithography
JP4852360B2 (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
JP2010529499A (ja) 反射防止ハードマスク組成物およびそれを使用した基板上の材料のパターン化方法
JP2014507795A (ja) 高度なパターン形成に必要な小型フィーチャのパターン形成プロセス
TWI411628B (zh) 具有抗反射特性的底層組成物
US20110250541A1 (en) Pattern forming method, method for manufacturing semiconductor device, and material for forming coating layer of resist pattern
US7972766B2 (en) Method for forming fine pattern of semiconductor device
US20240134281A1 (en) Euv-induced condensation of polysiloxane sol-gel thin film
JP2024056657A (ja) ポリシロキサンゾル-ゲル薄膜のeuv誘発縮合
JP2024500925A (ja) リソグラフィー用の化学的に均一なシリコンハードマスク
TW202411780A (zh) 製造半導體裝置的方法及光阻劑組成物
WO2006096221A1 (en) Low refractive index polymers as underlayers for silicon-containing photoresists

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination