CN103579087A - Manufacturing method of three-dimensional integrated circuit structure and three-dimensional integrated circuit structure - Google Patents

Manufacturing method of three-dimensional integrated circuit structure and three-dimensional integrated circuit structure Download PDF

Info

Publication number
CN103579087A
CN103579087A CN201210261929.0A CN201210261929A CN103579087A CN 103579087 A CN103579087 A CN 103579087A CN 201210261929 A CN201210261929 A CN 201210261929A CN 103579087 A CN103579087 A CN 103579087A
Authority
CN
China
Prior art keywords
dielectric layer
chip
conductive
hole
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201210261929.0A
Other languages
Chinese (zh)
Other versions
CN103579087B (en
Inventor
凌龙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201210261929.0A priority Critical patent/CN103579087B/en
Publication of CN103579087A publication Critical patent/CN103579087A/en
Application granted granted Critical
Publication of CN103579087B publication Critical patent/CN103579087B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15153Shape the die mounting substrate comprising a recess for hosting the device

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The invention discloses a manufacturing method of a three-dimensional integrated circuit structure and the three-dimensional integrated circuit structure. The manufacturing method of the three-dimensional integrated circuit structure comprises the steps that a substrate is provided; a first chip dielectric layer is formed on the substrate, a first chip groove is formed in the first chip dielectric layer, and a first chip is embedded in the first chip groove; a first through hole dielectric layer is formed on the first chip dielectric layer, and a first conductive through hole and a first conductive groove are formed in the first through hole dielectric layer; a second chip dielectric layer is formed on the first through hole dielectric layer, a second chip groove is formed in the second chip dielectric layer, and a second chip is embedded in the second chip groove; a second through hole dielectric layer is formed on the second chip dielectric layer, a second conductive through hole is formed in the second through hole dielectric layer, third conductive through holes are formed in the second chip dielectric layer and in the second through hole dielectric layer, and a second conductive groove is formed in the second through hole dielectric layer. According to the manufacturing method of the three-dimensional integrated circuit structure, the manufacturing cost of the three-dimensional integrated circuit structure can be reduced.

Description

A kind of manufacture method of three-dimensional integrated circuit structure and three-dimensional integrated circuit structure
Technical field
The present invention relates to semiconductor fabrication process, relate in particular to a kind of manufacture method and three-dimensional integrated circuit structure of three-dimensional integrated circuit structure.
Background technology
Along with the fast development of semiconductor industry, the integration density of integrated circuit improves constantly.The raising of this integration density mainly comes from reducing of characteristic size, and it allows more device to be integrated in a given region.
The raising of these integration densities is substantially on the basis of two-dimentional integrated circuit.Although the improvement of photoetching technique has promoted the significant improvement of two-dimentional integrated circuit, still there is the restriction to integration density.The characteristic size under ripe photoetching technique that is limited in is on the one hand difficult to breakthrough dwindling; Being limited in is on the other hand integrated in more device on a chip and needs more complicated design.
Further improving in the research of integration density, developed three dimensional integrated circuits.Fig. 1 is the cutaway view of existing three dimensional integrated circuits.As shown in Figure 1, chip 120,130,140 and 150 overlays in substrate 110 successively, and the chip 120-140 in the middle of being arranged in is formed with through-silicon-via (Through Silicon Vias, TSV) 160.Between adjacent chip and between the chip 120 of the bottom and substrate 110, by projection (Bump), through-silicon-via 160 is linked together, so that form and be electrically connected between stacking a plurality of chip 110-150.
Each chip in stacked structure forms separately in Semiconductor substrate.It is larger that the thickness and chip that forms the Semiconductor substrate of these chips itself is compared thickness, and the size of through-silicon-via 160 is very little, is approximately tens to hundreds of nanometer.If want to utilize photoetching technique to form through hole in Semiconductor substrate, and fill silicon to form through-silicon-via 160, need the Semiconductor substrate of each chip to carry out attenuate, and then form through-silicon-via 160 through techniques such as over etching, fillings.Therefore, the cost of making this three-dimensional integrated circuit structure is higher, is unfavorable for volume production.
Therefore, be badly in need of at present a kind of manufacture method and three-dimensional integrated circuit structure of three-dimensional integrated circuit structure, to address the above problem.
Summary of the invention
In summary of the invention part, introduced the concept of a series of reduced forms, this will further describe in embodiment part.Summary of the invention part of the present invention does not also mean that key feature and the essential features that will attempt to limit technical scheme required for protection, does not more mean that the protection range of attempting to determine technical scheme required for protection.
In order to solve problems of the prior art, the present invention proposes a kind of manufacture method of three-dimensional integrated circuit structure, comprising: steps A, provides substrate; Step B forms the first chip dielectric layer in described substrate, in described the first chip dielectric layer, forms the first chip groove, in described the first chip groove, embeds the first chip, and the upper surface of described the first chip has the first weld pad; Step C, on described the first chip dielectric layer, form the first via dielectric layer, in described the first via dielectric layer, form the first conductive through hole and the first conductive trench, wherein said the first conductive through hole is electrically connected to described the first weld pad, and described the first conductive trench is positioned at the upper surface of described the first via dielectric layer; Step D forms the second chip dielectric layer in described the first via dielectric layer, in described the second chip dielectric layer, forms the second chip groove, in described the second chip groove, embeds the second chip, and the upper surface of described the second chip has the second weld pad; And step e, on described the second chip dielectric layer, form the second via dielectric layer, in described the second via dielectric layer, form the second conductive through hole, in described the second chip dielectric layer and described the second via dielectric layer, form the 3rd conductive through hole, in described the second via dielectric layer, form the second conductive trench, wherein said the second conductive through hole is electrically connected to described the second weld pad, and described the 3rd conductive through hole is electrically connected to described the first conductive trench.
Preferably, between described the first chip dielectric layer and described substrate, be formed with pad oxide skin(coating).
Preferably, between described the first via dielectric layer and described the second chip dielectric layer, form etching stop layer.
Preferably, described etching stop layer is nitrogenous silicon carbide layer.
Preferably, described the first conductive trench comprises peripheral conductive trench, and the upper surface that described peripheral conductive trench is embedded in described the first via dielectric layer does not correspond in the region of described the first chip.
Preferably, on described the first chip, be formed with the first cover layer that covers described the first weld pad, on described the second chip, be formed with the second cover layer that covers described the second weld pad.
Preferably, described the first cover layer and described the second cover layer are nitrogenous silicon carbide layer or oxide skin(coating).
Preferably, described the first chip dielectric layer, described the first via dielectric layer, described the second chip dielectric layer and/or described the second via dielectric layer are low k dielectric.
Preferably, described manufacture method also comprises repetition above-mentioned steps D and E, to form a plurality of stacking chips in described substrate.
Preferably, described substrate is silicon wafer.
Preferably, the thickness of described the first chip dielectric layer is greater than the thickness of described the first chip, and the thickness of described the second chip dielectric layer is greater than the thickness of described the second chip.
Preferably, described the first via dielectric layer, described the second via dielectric layer, described the first chip dielectric layer are identical with the material of described the second chip dielectric layer.
The present invention also provides a kind of three-dimensional integrated circuit structure, and described three-dimensional integrated circuit structure as mentioned above any method is made.
The manufacture method of three-dimensional integrated circuit structure provided by the invention, without the reduction process and the through-silicon-via etching technics that carry out Semiconductor substrate, has therefore reduced the cost of manufacture of three-dimensional integrated circuit structure.
Accompanying drawing explanation
Following accompanying drawing of the present invention is used for understanding the present invention in this as a part of the present invention.Shown in the drawings of embodiments of the invention and description thereof, be used for explaining principle of the present invention.In the accompanying drawings,
Fig. 1 is the cutaway view of existing three dimensional integrated circuits;
Fig. 2 is according to the flow chart of the making three-dimensional integrated circuit structure of one embodiment of the present invention;
Fig. 3 A-3J is for making the cutaway view of the device that in three-dimensional integrated circuit structure process, each step obtains according to one embodiment of the present invention.
Embodiment
Next, in connection with accompanying drawing, the present invention is more intactly described, shown in the drawings of embodiments of the invention.But the present invention can be with multi-form enforcement, and should not be interpreted as the embodiment that is confined to propose here.On the contrary, provide these embodiment to expose thorough and complete, and scope of the present invention is fully passed to those skilled in the art.In the accompanying drawings, in order to know that size and the relative size in ,Ceng He district may be exaggerated.Same reference numerals represents identical element from start to finish.
Be understood that, when element or layer be called as " ... on ", " with ... adjacent ", " being connected to " or " being coupled to " other element or when layer, its can be directly on other element or layer, with it adjacent, connect or be coupled to other element or layer, or can there is element or layer between two parties.On the contrary, when element be called as " directly exist ... on ", when " with ... direct neighbor ", " being directly connected to " or " being directly coupled to " other element or layer, there is not element or layer between two parties.
The invention provides a kind of manufacture method of three-dimensional integrated circuit structure.Fig. 2 is according to the flow chart of the making three-dimensional integrated circuit structure of one embodiment of the present invention, and Fig. 3 A-3J is for making the cutaway view of the device that in three-dimensional integrated circuit structure process, each step obtains according to one embodiment of the present invention.Below in conjunction with Fig. 2 and Fig. 3 A-3J, method of the present invention is described in detail.
Execution step 201, provides substrate.
As shown in Figure 3A, provide substrate 301.Substrate 301 can be at least one in following mentioned material: stacked SiGe (S-SiGeOI), germanium on insulator SiClx (SiGeOI) and germanium on insulator (GeOI) etc. on stacked silicon (SSOI), insulator on silicon, silicon-on-insulator (SOI), insulator.Preferably, described substrate 301 is silicon base.
Execution step 202 forms the first chip dielectric layer in substrate, forms the first chip groove in the first chip dielectric layer, in the first chip groove, embeds the first chip, and the upper surface of the first chip has the first weld pad.
Continuation is referring to Fig. 3 A, and the material that forms the first chip dielectric layer 303, the first chip dielectric layers 303 in substrate 301 can be oxide, nitride etc.Preferably, be also formed with pad oxide skin(coating) 302 between substrate 301 and the first chip dielectric layer 303, this pad oxide skin(coating) 302 can be used as the transition zone of substrate 301 and the first chip dielectric layer 303.In order to reduce the parasitic capacitance of device, preferably, the material of the first chip dielectric layer 303 can be low k dielectric.
As shown in Figure 3 B, in the first chip dielectric layer 303, form the first chip groove 304.As example, can on the first chip dielectric layer 303, form the figuratum photoresist layer of tool, then etching forms the first chip groove 304.
As shown in Figure 3 C, the first chip 305 is embedded in the first chip groove 304.Because the first chip 305 will be contained in the first chip groove 304 in the first chip dielectric layer 303, therefore, the thickness of the first chip dielectric layer 303 can equal the thickness of the first chip 305 substantially.The thickness of the first chip 305 can be controlled at and be less than 50 microns, and depends on new technology and new technology, should allow the first chip dielectric layer 303 to have certain surplus (for example 900 dusts), holding, embeds the error causing in engineering.The upper surface of the first chip 305 is formed with the first weld pad 306, the first chips 305 and is electrically connected to external circuit by this first weld pad 306.Preferably, be formed with the first cover layer 307 that covers the first weld pad 306 on the first chip 305, this first cover layer 307 can be as the etching stop layer of subsequent technique.Preferably, the first cover layer 307 is nitrogenous silicon carbide layer or oxide skin(coating).
Execution step 203, on the first chip dielectric layer, form the first via dielectric layer, in the first via dielectric layer, form the first conductive through hole and the first conductive trench, wherein the first conductive through hole is electrically connected to the first weld pad, and the first conductive trench is positioned at the upper surface of the first via dielectric layer.
As shown in Figure 3 D, on the first chip dielectric layer 303, form the first via dielectric layer 308.In order to reduce the parasitic capacitance of device, preferably, the material of the first via dielectric layer 308 can be low k dielectric.
As shown in Fig. 3 E, in the first via dielectric layer 308, form the first conductive through hole 309a and the first conductive trench 309b.The first conductive through hole 309a is electrically connected to the first weld pad 306, and the first conductive trench 309b is positioned at the upper surface of the first via dielectric layer 308.The first conductive trench 309b connects the top of the first conductive through hole 309a at the upper surface of the first via dielectric layer 308, and form interconnection structure, for this interconnection structure is electrically connected to external circuit, the first conductive trench 309b also comprises peripheral conductive trench, and the upper surface that this periphery conductive trench is embedded in the first via dielectric layer 308 does not correspond in the region of the first chip 305.The projection cloth in substrate 301 is overlapping straight down for the peripheral conductive trench projection in substrate 301 straight down and the first chip 305, to facilitate subsequent technique to form the syndeton that connects the first conductive trench 309b.
The first conductive through hole 309a and the first conductive trench 309b can adopt method conventional in this area to form.As example, by etching technics, in the position of corresponding the first weld pad 306, form the through hole being communicated with the first weld pad 306; The position of then as required can be at the top of through hole and not being formed with through hole forms groove, to form interconnection structure; Finally in through hole and groove, fill metal (such as copper or tungsten etc.), to form the first conductive through hole 309a and the first conductive trench 309b.
Execution step 204 forms the second chip dielectric layer in the first via dielectric layer, forms the second chip groove in the second chip dielectric layer, in the second chip groove, embeds the second chip, and the upper surface of the second chip has the second weld pad.
Preferably, before forming the second chip dielectric layer, can in the first via dielectric layer 308, form etching stop layer 310(as shown in Fig. 3 F), it can form the etching stop layer that is communicated with the first conductive trench 309b as etching.Preferably, etching stop layer 310 can be nitrogenous silicon carbide layer.
This step and step 203 are basic identical, simply introduce just by reference to the accompanying drawings below to forming step 204.As shown in Fig. 3 G, in the first via dielectric layer 308, forming the second chip dielectric layer 311(is understandable that, when there is etching stop layer 310, the second chip dielectric layer 311 is formed on this etching stop layer 310), the material of the second chip dielectric layer 311 can be oxide, nitride etc.In order to reduce the parasitic capacitance of device, preferably, the material of the second chip dielectric layer 311 can be low k dielectric.Adopt photoetching technique in the second chip dielectric layer 311, to form the second chip groove, then the second chip 312 is embedded in this second chip groove.Similarly, the thickness of the second chip 312 can be controlled at and be less than 50 microns, and depends on new technology and new technology, should allow the second chip dielectric layer 311 to have certain surplus (for example 900 dusts), holding, embeds the error causing in engineering.The upper surface of the second chip 312 is formed with the second weld pad 313, the second chips 312 and is electrically connected to external circuit by this second weld pad 313.Preferably, be formed with the second cover layer 314 that covers the second weld pad 313 on the second chip 312, this second cover layer 314 can be as the etching stop layer of subsequent technique.Preferably, the second cover layer 314 is nitrogenous silicon carbide layer or oxide skin(coating).
Execution step 205, on the second chip dielectric layer, form the second via dielectric layer, in the second via dielectric layer, form the second conductive through hole, in the second chip dielectric layer and the second via dielectric layer, form the 3rd conductive through hole, in the second via dielectric layer, form the second conductive trench, wherein the second conductive through hole is electrically connected to the second weld pad, and the 3rd conductive through hole is electrically connected to the first conductive trench.
As shown in Fig. 3 H, on the second chip dielectric layer 311, form the second via dielectric layer 315.In order to reduce the parasitic capacitance of device, preferably, the material of the second via dielectric layer 315 can be low k dielectric.
As shown in Fig. 3 I, in the second via dielectric layer 315, form the second conductive through hole 316a and the 3rd conductive through hole 316b.The second conductive through hole 316a is formed in the second via dielectric layer 315, is electrically connected to the second weld pad 313, and the 3rd conductive through hole 316b is formed in the second chip dielectric layer 311 and the second via dielectric layer 315, is electrically connected to the first conductive trench 309b.The second conductive through hole 316a and the 3rd conductive through hole 316b can adopt method conventional in this area to form.As example, by etching technics, in the position of corresponding the second weld pad 313 and the first conductive trench 309b, form the through hole being communicated with the second weld pad 313 and the first conductive trench 309b respectively, wherein the through hole corresponding to the second weld pad 313 places is only formed in the second via dielectric layer 315, corresponding to the through hole at the first conductive trench 309b place, is formed in the second chip dielectric layer 311 and the second via dielectric layer 315; The position of then as required can be at the top of through hole and not being formed with through hole forms groove, to form interconnection structure; Finally in through hole and groove, fill metal (such as copper or tungsten etc.), to form the second conductive through hole 316a and the 3rd conductive through hole 316b.Preferably, the first via dielectric layer 308, the second via dielectric layer 315, the first chip dielectric layer 303 are identical with the material of the second chip dielectric layer 311.
Certainly, method provided by the invention is not limited to only integrated two chips, can also form the integrated circuit more than 2 chips.In the case, this manufacture method also comprises repetition above-mentioned steps 204 and step 205, to form a plurality of stacking chips in substrate 301.
Preferably, between chip and through-hole structure layer and the second via layer and between adjacent chip and through-hole structure layer, be formed with etching stop layer (with reference to the etching stop layer 317 shown in Fig. 3 J).
The present invention also provides a kind of three-dimensional integrated circuit structure, and this three-dimensional integrated circuit structure is made by method as above.
The manufacture method of three-dimensional integrated circuit structure provided by the invention, without the reduction process and the through-silicon-via etching technics that carry out Semiconductor substrate, has therefore reduced the cost of manufacture of three-dimensional integrated circuit structure.
The present invention is illustrated by above-described embodiment, but should be understood that, above-described embodiment is the object for giving an example and illustrating just, but not is intended to the present invention to be limited in described scope of embodiments.In addition it will be appreciated by persons skilled in the art that the present invention is not limited to above-described embodiment, according to instruction of the present invention, can also make more kinds of variants and modifications, these variants and modifications all drop in the present invention's scope required for protection.Protection scope of the present invention is defined by the appended claims and equivalent scope thereof.

Claims (13)

1. a manufacture method for three-dimensional integrated circuit structure, is characterized in that, comprising:
Steps A, provides substrate;
Step B forms the first chip dielectric layer in described substrate, in described the first chip dielectric layer, forms the first chip groove, in described the first chip groove, embeds the first chip, and the upper surface of described the first chip has the first weld pad;
Step C, on described the first chip dielectric layer, form the first via dielectric layer, in described the first via dielectric layer, form the first conductive through hole and the first conductive trench, wherein said the first conductive through hole is electrically connected to described the first weld pad, and described the first conductive trench is positioned at the upper surface of described the first via dielectric layer;
Step D forms the second chip dielectric layer in described the first via dielectric layer, in described the second chip dielectric layer, forms the second chip groove, in described the second chip groove, embeds the second chip, and the upper surface of described the second chip has the second weld pad; And
Step e, on described the second chip dielectric layer, form the second via dielectric layer, in described the second via dielectric layer, form the second conductive through hole, in described the second chip dielectric layer and described the second via dielectric layer, form the 3rd conductive through hole, in described the second via dielectric layer, form the second conductive trench, wherein said the second conductive through hole is electrically connected to described the second weld pad, and described the 3rd conductive through hole is electrically connected to described the first conductive trench.
2. manufacture method as claimed in claim 1, is characterized in that, between described the first chip dielectric layer and described substrate, is formed with pad oxide skin(coating).
3. manufacture method as claimed in claim 1, is characterized in that, between described the first via dielectric layer and described the second chip dielectric layer, forms etching stop layer.
4. manufacture method as claimed in claim 3, is characterized in that, described etching stop layer is nitrogenous silicon carbide layer.
5. manufacture method as claimed in claim 1, is characterized in that, described the first conductive trench comprises peripheral conductive trench, and the upper surface that described peripheral conductive trench is embedded in described the first via dielectric layer does not correspond in the region of described the first chip.
6. manufacture method as claimed in claim 1, is characterized in that, is formed with the first cover layer that covers described the first weld pad on described the first chip, is formed with the second cover layer that covers described the second weld pad on described the second chip.
7. manufacture method as claimed in claim 6, is characterized in that, described the first cover layer and described the second cover layer are nitrogenous silicon carbide layer or oxide skin(coating).
8. manufacture method as claimed in claim 1, is characterized in that, described the first chip dielectric layer, described the first via dielectric layer, described the second chip dielectric layer and/or described the second via dielectric layer are low k dielectric.
9. manufacture method as claimed in claim 1, is characterized in that, described manufacture method also comprises repetition above-mentioned steps D and E, to form a plurality of stacking chips in described substrate.
10. manufacture method as claimed in claim 1, is characterized in that, described substrate is silicon wafer.
11. manufacture methods as claimed in claim 1, is characterized in that, the thickness of described the first chip dielectric layer is greater than the thickness of described the first chip, and the thickness of described the second chip dielectric layer is greater than the thickness of described the second chip.
12. manufacture methods as claimed in claim 1, is characterized in that, described the first via dielectric layer, described the second via dielectric layer, described the first chip dielectric layer are identical with the material of described the second chip dielectric layer.
13. 1 kinds of three-dimensional integrated circuit structures, is characterized in that, described three-dimensional integrated circuit structure is that the method described in any one is made in claim 1-12.
CN201210261929.0A 2012-07-26 2012-07-26 A kind of manufacture method of three-dimensional integrated circuit structure and three-dimensional integrated circuit structure Active CN103579087B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201210261929.0A CN103579087B (en) 2012-07-26 2012-07-26 A kind of manufacture method of three-dimensional integrated circuit structure and three-dimensional integrated circuit structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210261929.0A CN103579087B (en) 2012-07-26 2012-07-26 A kind of manufacture method of three-dimensional integrated circuit structure and three-dimensional integrated circuit structure

Publications (2)

Publication Number Publication Date
CN103579087A true CN103579087A (en) 2014-02-12
CN103579087B CN103579087B (en) 2016-03-16

Family

ID=50050576

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210261929.0A Active CN103579087B (en) 2012-07-26 2012-07-26 A kind of manufacture method of three-dimensional integrated circuit structure and three-dimensional integrated circuit structure

Country Status (1)

Country Link
CN (1) CN103579087B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022227189A1 (en) * 2021-04-26 2022-11-03 武汉新芯集成电路制造有限公司 Three-dimensional integrated circuit module and fabrication method therefor

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1499590A (en) * 2002-11-05 2004-05-26 �¹������ҵ��ʽ���� Semiconductor device and its mfg. method
US20060118907A1 (en) * 2004-12-03 2006-06-08 Park Duk-Seo Semiconductor device and method for manufacturing the same
CN101552247A (en) * 2008-04-02 2009-10-07 台湾积体电路制造股份有限公司 Integrated circuit structure
CN102044512A (en) * 2009-10-09 2011-05-04 台湾积体电路制造股份有限公司 Integrated circuit and multi-chip module stacked in three dimensions
US20110193230A1 (en) * 2010-02-05 2011-08-11 International Business Machines Corporation Formation of air gap with protection of metal lines
CN102376737A (en) * 2010-08-24 2012-03-14 中芯国际集成电路制造(上海)有限公司 Integrated circuit embedded into MRAM(Magnetic Random Access Memory) and preparation method of integrated circuit

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1499590A (en) * 2002-11-05 2004-05-26 �¹������ҵ��ʽ���� Semiconductor device and its mfg. method
US20060118907A1 (en) * 2004-12-03 2006-06-08 Park Duk-Seo Semiconductor device and method for manufacturing the same
CN101552247A (en) * 2008-04-02 2009-10-07 台湾积体电路制造股份有限公司 Integrated circuit structure
CN102044512A (en) * 2009-10-09 2011-05-04 台湾积体电路制造股份有限公司 Integrated circuit and multi-chip module stacked in three dimensions
US20110193230A1 (en) * 2010-02-05 2011-08-11 International Business Machines Corporation Formation of air gap with protection of metal lines
CN102376737A (en) * 2010-08-24 2012-03-14 中芯国际集成电路制造(上海)有限公司 Integrated circuit embedded into MRAM(Magnetic Random Access Memory) and preparation method of integrated circuit

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022227189A1 (en) * 2021-04-26 2022-11-03 武汉新芯集成电路制造有限公司 Three-dimensional integrated circuit module and fabrication method therefor

Also Published As

Publication number Publication date
CN103579087B (en) 2016-03-16

Similar Documents

Publication Publication Date Title
US8933540B2 (en) Thermal via for 3D integrated circuits structures
TWI416679B (en) Semiconductor structure and manufacturing method thereof
CN102820280B (en) For the overstepping one's bounds laminar metal level of integrated circuit
JP5255700B2 (en) Semiconductor structure, method of forming semiconductor structure, and design structure
US20100164062A1 (en) Method of manufacturing through-silicon-via and through-silicon-via structure
CN107316840A (en) The 3DIC structures and method of mixing engagement semiconductor wafer
JP2012501077A (en) A semiconductor device including a stress relaxation gap to enhance chip-package interaction stability.
CN104752378A (en) Semiconductor devices and methods of manufacture thereof
TW201703200A (en) Electronic systems with through-substrate interconnects and MEMS device
TWI708353B (en) Method for forming interconnections and forming semiconductor structure
CN112582376B (en) Semiconductor device with sidewall interconnection structure, method of manufacturing the same, and electronic apparatus
TW202008566A (en) Memory structure and forming method thereof
JP2011233807A (en) Semiconductor device and manufacturing method therefor
CN109585452B (en) Memory and manufacturing method thereof
US8786094B2 (en) Semiconductor devices and methods of manufacture thereof
CN107305840A (en) A kind of semiconductor devices and its manufacture method and electronic installation
CN110931425B (en) Method for manufacturing semiconductor device
JP2010118410A (en) Semiconductor device
CN103579087B (en) A kind of manufacture method of three-dimensional integrated circuit structure and three-dimensional integrated circuit structure
US9236301B2 (en) Customized alleviation of stresses generated by through-substrate via(S)
CN114141698A (en) Semiconductor structure and preparation method thereof
JP5417169B2 (en) Contact MOSFET metallization
US11469095B2 (en) Etching method
CN112397519B (en) Semiconductor device and preparation method thereof
CN113363227B (en) Semiconductor structure and manufacturing method thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant